From f3a861ba827a4a5c231db1197d9eff2a75ca36d0 Mon Sep 17 00:00:00 2001 From: MHeinrichs Date: Fri, 18 Jul 2014 14:08:15 +0200 Subject: [PATCH] New file for PCB Rev 0.9d --- Layout and PCB/parts.txt | 84 + Logic/68030-68000-bus-lastworking.vhd | 582 + Logic/68030-68000-bus.vhd | 112 +- Logic/68030_TK.STY | 6 +- Logic/68030_TK.cmi | 8 +- Logic/68030_TK.lci | 15 +- Logic/68030_TK.lct | 15 +- Logic/68030_TK.tcl | 32185 +++++ Logic/68030_tk.b2_ | 1 - Logic/68030_tk.bl2 | 2199 - Logic/68030_tk.bl3 | 1312 - Logic/68030_tk.crf | 2 +- Logic/68030_tk.d0 | 1 - Logic/68030_tk.eq3 | 861 - Logic/68030_tk.err | 0 Logic/68030_tk.fti | 440 - Logic/68030_tk.grp | 26 - Logic/68030_tk.imp | 2 - Logic/68030_tk.ipr | 2 +- Logic/68030_tk.jed | 944 +- Logic/68030_tk.l0 | 1 - Logic/68030_tk.l2v | 0 Logic/68030_tk.lco | 239 - Logic/68030_tk.out | 100030 --------------- Logic/68030_tk.plc | 153 - Logic/68030_tk.prd | 1980 - Logic/68030_tk.rpt | 1941 - Logic/68030_tk.svl | 2 - Logic/68030_tk.tal | 128 - Logic/68030_tk.tlg | 34 - Logic/68030_tk.trp | 537 - Logic/68030_tk.tt2 | 472 - Logic/68030_tk.tt3 | 472 - Logic/68030_tk.tt4 | 277 - Logic/68030_tk.tte | 277 - Logic/68030_tk.v2l | 0 Logic/68030_tk.vcl | 239 - Logic/68030_tk.vco | 252 - Logic/68030_tk.vct | 216 - Logic/68030_tk.xrf | 16 - Logic/BUS68030.bl0 | 1565 - Logic/BUS68030.bl1 | 2199 - Logic/BUS68030.edi | 3520 - Logic/BUS68030.eq0 | 976 - Logic/BUS68030.fse | 46 +- Logic/BUS68030.naf | 59 - Logic/BUS68030.prj | 2 +- Logic/BUS68030.srm | 2903 - Logic/BUS68030.srr | 116 - Logic/BUS68030.srs | Bin 11522 -> 0 bytes Logic/Programming.xcf | 4 +- Logic/bus68030.exf | 702 - Logic/bus68030.srf | 43 +- Logic/clk_div_2by3.naf | 3 - Logic/run_options.txt | 2 +- Logic/syndos.env | 39 - Logic/synlog/bus68030_fpga_mapper.srr | 14 +- .../report/BUS68030_compiler_errors.txt | 2 +- .../synlog/report/BUS68030_compiler_notes.txt | 4 +- .../report/BUS68030_compiler_runstatus.xml | 4 +- .../report/BUS68030_compiler_warnings.txt | 19 +- .../report/BUS68030_fpga_mapper_runstatus.xml | 2 +- Logic/syntmp/run_option.xml | 2 +- Logic/synwork/BUS68030_compiler.fdep | 2 +- Logic/synwork/BUS68030_compiler.fdeporig | 2 +- Logic/synwork/BUS68030_compiler.srs | Bin 11522 -> 11079 bytes Logic/synwork/BUS68030_compiler.tlg | 25 +- 67 files changed, 33495 insertions(+), 124823 deletions(-) create mode 100644 Layout and PCB/parts.txt create mode 100644 Logic/68030-68000-bus-lastworking.vhd delete mode 100644 Logic/68030_tk.b2_ delete mode 100644 Logic/68030_tk.bl2 delete mode 100644 Logic/68030_tk.bl3 delete mode 100644 Logic/68030_tk.d0 delete mode 100644 Logic/68030_tk.eq3 delete mode 100644 Logic/68030_tk.err delete mode 100644 Logic/68030_tk.fti delete mode 100644 Logic/68030_tk.grp delete mode 100644 Logic/68030_tk.imp delete mode 100644 Logic/68030_tk.l0 delete mode 100644 Logic/68030_tk.l2v delete mode 100644 Logic/68030_tk.lco delete mode 100644 Logic/68030_tk.out delete mode 100644 Logic/68030_tk.plc delete mode 100644 Logic/68030_tk.prd delete mode 100644 Logic/68030_tk.rpt delete mode 100644 Logic/68030_tk.svl delete mode 100644 Logic/68030_tk.tal delete mode 100644 Logic/68030_tk.tlg delete mode 100644 Logic/68030_tk.trp delete mode 100644 Logic/68030_tk.tt2 delete mode 100644 Logic/68030_tk.tt3 delete mode 100644 Logic/68030_tk.tt4 delete mode 100644 Logic/68030_tk.tte delete mode 100644 Logic/68030_tk.v2l delete mode 100644 Logic/68030_tk.vcl delete mode 100644 Logic/68030_tk.vco delete mode 100644 Logic/68030_tk.vct delete mode 100644 Logic/68030_tk.xrf delete mode 100644 Logic/BUS68030.bl0 delete mode 100644 Logic/BUS68030.bl1 delete mode 100644 Logic/BUS68030.edi delete mode 100644 Logic/BUS68030.eq0 delete mode 100644 Logic/BUS68030.naf delete mode 100644 Logic/BUS68030.srm delete mode 100644 Logic/BUS68030.srr delete mode 100644 Logic/BUS68030.srs delete mode 100644 Logic/bus68030.exf delete mode 100644 Logic/clk_div_2by3.naf delete mode 100644 Logic/syndos.env diff --git a/Layout and PCB/parts.txt b/Layout and PCB/parts.txt new file mode 100644 index 0000000..8913cec --- /dev/null +++ b/Layout and PCB/parts.txt @@ -0,0 +1,84 @@ +Partlist + +Exported from 68030-TK-V09d.brd at 16.07.2014 09:03:11 + +EAGLE Version 6.6.0 Copyright (c) 1988-2014 CadSoft + +Assembly variant: + +Part Value Package Library Position (mil) Orientation + +C1 100nF C0603K rcl (1704 3823) R0 +C2 100nF C0603K rcl (1108 199) R180 +C3 100nF C0603K rcl (1503 1783) R0 +C4 100nF C0603K rcl (1209 1281) R180 +C5 100nF C0603K rcl (1873 2136) R90 +C6 100nF C0603K rcl (1708 2239) R0 +C7 15pF C0805K rcl (1187 3787) R0 +C8 10µF C1210 rcl (432 1410) R270 +C9 100nF C0603K rcl (374 3344) R0 +C10 100nF C0603K rcl (1270 3416) R180 +C11 100nF C0603K rcl (2148 3402) R0 +C12 100nF C0603K rcl (2410 643) R270 +C13 100nF C0603K rcl (2989 1250) R0 +C14 100nF C0603K rcl (2934 1835) R180 +C15 100nF C0603K rcl (1378 3114) R0 +C16 100nF C0603K rcl (1732 3025) R0 +C17 100nF C0603K rcl (2054 1798) R90 +C18 10µF C1210 rcl (2251 3822) R270 +C19 10µF C1210 rcl (2582 548) R90 +C21 100nF C0603K rcl (2220 1278) R0 +C22 100nF C0603K rcl (2404 160) R270 +C23 100nF C0603K rcl (1140 678) R270 +C24 100nF C0603K rcl (246 66) R0 +C25 100nF C0603K rcl (246 678) R0 +C26 100nF C0603K rcl (240 1530) R0 +C27 100nF C0603K rcl (234 2124) R0 +C28 100nF C0603K rcl (240 2718) R0 +IC1 MPGA128 micro-mc68000 (1753 1982) R0 +IC2 DIL64 micro-mc68000 (526 1639) R90 +IC3 PLCC68 micro-mc68000 (1771 659) R0 +IC4 74HCT245DW SO20W 74xx-eu (402 3018) R90 +IC5 74HCT245DW SO20W 74xx-eu (2770 1533) R270 +IC6 74HCT245DW SO20W 74xx-eu (2770 941) R270 +IC7 TQFP100 amd-mach-Small-pad (1724 3418) R180 +IC8 74HCT245DW SO20W 74xx-eu (402 2418) R90 +IC9 74HCT245DW SO20W 74xx-eu (402 1830) R90 +IC10 74HCT245DW SO20W 74xx-eu (408 972) R90 +IC11 74HCT245DW SO20W 74xx-eu (414 360) R90 +L1 R0805 rcl (234 3332) R0 +QG1 DIL14S crystal (544 3636) R0 +R1 10 R0805 rcl (1039 3787) R0 +R2 4,7k R0603 rcl (2922 2070) R180 +R3 4,7k R0603 rcl (3132 2430) R0 +R4 4,7k R0603 rcl (2749 3524) R270 +R5 4,7k R0603 rcl (2071 3770) R90 +R6 4,7k R0603 rcl (2151 3770) R90 +R7 10 R0603 rcl (2829 3524) R270 +R8 4,7k R0603 rcl (1434 2930) R270 +R9 4,7k R0603 rcl (3132 2544) R0 +R10 R0603 rcl (1240 3103) R270 +R11 R0603 rcl (1208 3221) R270 +R12 4,7k R0603 rcl (2526 2082) R0 +R13 4,7k R0603 rcl (3114 1302) R90 +R14 R0603 rcl (2310 3372) R180 +R15 4,7k R0603 rcl (2706 1974) R270 +R16 R0603 rcl (1002 3516) R90 +R17 4,7k R0603 rcl (3144 1482) R90 +R18 4,7k R0603 rcl (606 1386) R90 +R19 4,7k R0603 rcl (2834 2176) R90 +R20 4,7k R0603 rcl (3066 1014) R270 +R21 4,7k R0603 rcl (2526 2166) R0 +R22 4,7k R0603 rcl (3114 1182) R90 +R23 4,7k R0603 rcl (2904 1932) R0 +R24 4,7k R0603 rcl (2526 1998) R0 +RN1 4,7k EXBV8V resistor-dil (3120 2682) R90 +RN2 4,7k EXBV8V resistor-dil (3120 2820) R90 +RN3 4,7k EXBV8V resistor-dil (3120 2958) R90 +RN4 4,7k EXBV8V resistor-dil (3120 3096) R90 +RN5 4,7k EXBV8V resistor-dil (3120 3234) R90 +RN6 4,7k EXBV8V resistor-dil (3120 3372) R90 +RN7 4,7k EXBV8V resistor-dil (3120 3510) R90 +RN8 4,7k EXBV8V resistor-dil (3258 3738) R0 +SV1 ML10 con-harting-ml (2726 3763) R180 +X1 FABC96R FABC96R con-vg (3550 2078) MR180 diff --git a/Logic/68030-68000-bus-lastworking.vhd b/Logic/68030-68000-bus-lastworking.vhd new file mode 100644 index 0000000..adff212 --- /dev/null +++ b/Logic/68030-68000-bus-lastworking.vhd @@ -0,0 +1,582 @@ +-- Copyright: Matthias Heinrichs 2014 +-- Free for non-comercial use +-- No warranty just for fun +-- If you want to earn money with this code, ask me first! + + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity BUS68030 is + +port( + AS_030: inout std_logic ; + AS_000: inout std_logic ; + RW_000: inout std_logic ; + DS_030: inout std_logic ; + UDS_000: inout std_logic; + LDS_000: inout std_logic; + SIZE: inout std_logic_vector ( 1 downto 0 ); + A: in std_logic_vector ( 31 downto 16 ); + A0: inout std_logic; + nEXP_SPACE: in std_logic ; + BERR: inout std_logic ; + BG_030: in std_logic ; + BG_000: out std_logic ; + BGACK_030: out std_logic ; + BGACK_000: in std_logic ; + CLK_030: in std_logic ; + CLK_000: in std_logic ; + CLK_OSZI: in std_logic ; + CLK_DIV_OUT: out std_logic ; + CLK_EXP: out std_logic ; + FPU_CS: out std_logic ; + IPL_030: out std_logic_vector ( 2 downto 0 ); + IPL: in std_logic_vector ( 2 downto 0 ); + DSACK1: inout std_logic; + DTACK: inout std_logic ; + AVEC: out std_logic ; + AVEC_EXP: inout std_logic ; --this is a "free pin" + E: out std_logic ; + VPA: in std_logic ; + VMA: out std_logic ; + RST: in std_logic ; + RESET: out std_logic ; + RW: inout std_logic ; +-- D: inout std_logic_vector ( 31 downto 28 ); + FC: in std_logic_vector ( 1 downto 0 ); + AMIGA_BUS_ENABLE: out std_logic ; + AMIGA_BUS_DATA_DIR: out std_logic ; + AMIGA_BUS_ENABLE_LOW: out std_logic; + CIIN: out std_logic + ); +end BUS68030; + +architecture Behavioral of BUS68030 is + + +subtype ESTATE is std_logic_vector(3 downto 0); + +constant E1 : ESTATE := "0110"; +constant E2 : ESTATE := "0111"; +constant E3 : ESTATE := "0100"; +constant E4 : ESTATE := "0101"; +constant E5 : ESTATE := "0010"; +constant E6 : ESTATE := "0011"; +constant E7 : ESTATE := "1010"; +constant E8 : ESTATE := "1011"; +constant E9 : ESTATE := "1100"; +constant E10 : ESTATE := "1111"; +-- Illegal states +constant E20 : ESTATE := "0000"; +constant E4a : ESTATE := "0001"; +constant E21 : ESTATE := "1000"; +constant E22 : ESTATE := "1001"; +constant E23 : ESTATE := "1101"; +constant E24 : ESTATE := "1110"; + +signal cpu_est : ESTATE; + +subtype AMIGA_STATE is std_logic_vector(2 downto 0); + +constant IDLE_P : AMIGA_STATE := "000"; +constant IDLE_N : AMIGA_STATE := "001"; +constant AS_SET_P : AMIGA_STATE := "010"; +constant AS_SET_N : AMIGA_STATE := "011"; +constant SAMPLE_DTACK_P: AMIGA_STATE := "100"; +constant DATA_FETCH_N: AMIGA_STATE := "101"; +constant DATA_FETCH_P : AMIGA_STATE := "110"; +constant END_CYCLE_N : AMIGA_STATE := "111"; + +signal SM_AMIGA : AMIGA_STATE; + +--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000"; +signal AS_000_INT:STD_LOGIC := '1'; +signal RW_000_INT:STD_LOGIC := '1'; +signal AMIGA_BUS_ENABLE_INT:STD_LOGIC := '1'; +signal AS_030_000_SYNC:STD_LOGIC := '1'; +signal BGACK_030_INT:STD_LOGIC := '1'; +signal BGACK_030_INT_D:STD_LOGIC := '1'; +signal AS_000_DMA:STD_LOGIC := '1'; +signal DS_000_DMA:STD_LOGIC := '1'; +signal RW_000_DMA:STD_LOGIC := '1'; +signal SIZE_DMA: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11"; +signal A0_DMA: STD_LOGIC := '1'; +signal FPU_CS_INT:STD_LOGIC := '1'; +signal VMA_INT: STD_LOGIC := '1'; +signal VPA_D: STD_LOGIC := '1'; +signal UDS_000_INT: STD_LOGIC := '1'; +signal LDS_000_INT: STD_LOGIC := '1'; +signal DS_000_ENABLE: STD_LOGIC := '0'; +signal DSACK1_INT: STD_LOGIC := '1'; +signal CLK_CNT_P: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal CLK_CNT_N: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal CLK_REF: STD_LOGIC_VECTOR ( 1 downto 0 ) := "10"; +signal CLK_OUT_PRE_50: STD_LOGIC := '1'; +signal CLK_OUT_PRE_50_D: STD_LOGIC := '1'; +signal CLK_OUT_PRE_25: STD_LOGIC := '1'; +signal CLK_OUT_PRE_33: STD_LOGIC := '1'; +signal CLK_PRE_66:STD_LOGIC := '0'; +signal CLK_OUT_PRE: STD_LOGIC := '1'; +signal CLK_OUT_PRE_D: STD_LOGIC := '1'; +signal CLK_OUT_NE: STD_LOGIC := '1'; +signal CLK_OUT_INT: STD_LOGIC := '1'; +signal CLK_030_H: STD_LOGIC := '1'; +signal CLK_000_D0: STD_LOGIC := '1'; +signal CLK_000_D1: STD_LOGIC := '1'; +signal CLK_000_D2: STD_LOGIC := '1'; +signal CLK_000_D3: STD_LOGIC := '1'; +signal CLK_000_D4: STD_LOGIC := '1'; +signal CLK_000_P_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000"; +signal CLK_000_N_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000"; +signal CLK_000_PE: STD_LOGIC := '0'; +signal CLK_000_NE: STD_LOGIC := '0'; +signal CLK_000_NE_D: STD_LOGIC := '0'; +signal DTACK_D0: STD_LOGIC := '1'; +begin + + + --the clocks + neg_clk: process(RST, CLK_OSZI) + begin + if(RST = '0' ) then + CLK_CNT_N <= "10"; + elsif(falling_edge(CLK_OSZI)) then + if(CLK_CNT_N = "10") then + CLK_CNT_N <= "00"; + else + CLK_CNT_N <= CLK_CNT_N+1; + end if; + end if; + end process neg_clk; + --the state machine + state_machine: process(RST, CLK_OSZI) + begin + if(RST = '0' ) then + CLK_CNT_P <= "00"; + RESET <= '0'; + CLK_OUT_PRE_50 <= '0'; + CLK_OUT_PRE_50_D <= '0'; + --CLK_OUT_PRE_33 <= '0'; + CLK_OUT_PRE_25 <= '0'; + CLK_OUT_PRE <= '0'; + CLK_OUT_PRE_D <= '0'; + CLK_OUT_NE <= '0'; + CLK_OUT_INT <= '0'; + cpu_est <= E20; + CLK_000_D0 <= '1'; + CLK_000_D1 <= '1'; + CLK_000_D2 <= '1'; + CLK_000_D3 <= '1'; + CLK_000_D4 <= '1'; + VPA_D <= '1'; + DTACK_D0 <= '1'; + SM_AMIGA <= IDLE_P; + AS_000_INT <= '1'; + RW_000_INT <= '1'; + RW_000_DMA <= '1'; + AS_030_000_SYNC <= '1'; + UDS_000_INT <= '1'; + LDS_000_INT <= '1'; + DS_000_ENABLE <= '0'; + CLK_REF <= "00"; + VMA_INT <= '1'; + FPU_CS_INT <= '1'; + BG_000 <= '1'; + BGACK_030_INT <= '1'; + BGACK_030_INT_D <= '1'; + DSACK1_INT <= '1'; + IPL_030 <= "111"; + CLK_000_P_SYNC <= "0000000000000"; + CLK_000_N_SYNC <= "0000000000000"; + CLK_000_PE <= '0'; + CLK_000_NE <= '0'; + CLK_000_NE_D <= '0'; + AS_000_DMA <= '1'; + DS_000_DMA <= '1'; + SIZE_DMA <= "11"; + A0_DMA <= '1'; + AMIGA_BUS_ENABLE_INT <= '1'; + elsif(rising_edge(CLK_OSZI)) then + --reset buffer + RESET <= '1'; + + --clk generation : + + CLK_OUT_PRE_50 <= not CLK_OUT_PRE_50; + CLK_OUT_PRE_50_D<= CLK_OUT_PRE_50; + if(CLK_CNT_P = "10") then + CLK_CNT_P <= "00"; + else + CLK_CNT_P <= CLK_CNT_P+1; + end if; + + --if(CLK_CNT_P ="00" or CLK_CNT_N ="00")then --33MHz Clock + -- CLK_OUT_PRE_33 <= '0'; + --else + -- CLK_OUT_PRE_33 <= '1'; + --end if; + + if(CLK_OUT_PRE_50='1' and CLK_OUT_PRE_50_D='0')then + CLK_OUT_PRE_25 <= not CLK_OUT_PRE_25; + end if; + + --here the clock is selected + CLK_OUT_PRE <= CLK_OUT_PRE_25; + CLK_OUT_PRE_D <= CLK_OUT_PRE; + + --a negative edge is comming next cycle + if(CLK_OUT_PRE_D='1' and CLK_OUT_PRE='0' )then + CLK_OUT_NE <= '1'; + else + CLK_OUT_NE <= '0'; + end if; + -- the external clock to the processor is generated here + CLK_OUT_INT <= CLK_OUT_PRE_D; --this way we know the clock of the next state: Its like looking in the future, cool! + --delayed Clocks and signals for edge detection + CLK_000_D0 <= CLK_000; + CLK_000_D1 <= CLK_000_D0; + CLK_000_D2 <= CLK_000_D1; + CLK_000_D3 <= CLK_000_D2; + CLK_000_D4 <= CLK_000_D3; + + --shift registers for edge detection + CLK_000_P_SYNC( 12 downto 1 ) <= CLK_000_P_SYNC( 11 downto 0 ); + CLK_000_P_SYNC(0) <= CLK_000_D0 AND NOT CLK_000_D1 AND NOT CLK_000_D2 AND NOT CLK_000_D3; + CLK_000_N_SYNC( 12 downto 1 ) <= CLK_000_N_SYNC( 11 downto 0 ); + CLK_000_N_SYNC(0) <= NOT CLK_000_D0 AND CLK_000_D1 AND CLK_000_D2 AND CLK_000_D3; + + -- values are determined empiracally for 7.09 MHz Clock + -- since the clock is not symmetrically these values differ! + CLK_000_PE <= CLK_000_P_SYNC(9); + CLK_000_NE <= CLK_000_N_SYNC(11); + CLK_000_NE_D <= CLK_000_NE; + DTACK_D0 <= DTACK; + VPA_D <= VPA; + + --now: 68000 state machine and signals + + -- e-clock + if(CLK_000_PE = '1') then + --if(CLK_000_D1 = '0' and CLK_000_D0 = '1') then + case (cpu_est) is + when E1 => cpu_est <= E2 ; + when E2 => cpu_est <= E3 ; + when E3 => cpu_est <= E4; + when E4 => cpu_est <= E5 ; + when E5 => cpu_est <= E6 ; + when E6 => cpu_est <= E7 ; + when E7 => cpu_est <= E8 ; + when E8 => cpu_est <= E9 ; + when E9 => cpu_est <= E10; + when E10 => cpu_est <= E1 ; + -- Illegal states + when E4a => cpu_est <= E5 ; + when E20 => cpu_est <= E10; + when E21 => cpu_est <= E10; + when E22 => cpu_est <= E9 ; + when E23 => cpu_est <= E9 ; + when E24 => cpu_est <= E10; + when others => + null; + end case; + end if; + + + + + --bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock + if(BGACK_000='0') then + BGACK_030_INT <= '0'; + elsif ( BGACK_000='1' + AND CLK_000_PE='1' + --AND CLK_000_D1='0' and CLK_000_D0='1' + ) then -- BGACK_000 is high here! + BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high + end if; + BGACK_030_INT_D <= BGACK_030_INT; + + --bus grant only in idle state + if(BG_030= '1')then + BG_000 <= '1'; + elsif( BG_030= '0' --AND (SM_AMIGA = IDLE_P) + and nEXP_SPACE = '1' and AS_030='1' + and CLK_000='1' + --and CLK_000_D0='1' AND CLK_000_D1='0' + ) then --bus granted no local access and no AS_030 running! + BG_000 <= '0'; + end if; + + + --interrupt buffering to avoid ghost interrupts + if(CLK_000_PE='1')then + --if(CLK_000_D1='0' and CLK_000_D0='1')then + IPL_030<=IPL; + end if; + + -- as030-sampling and FPU-Select + + + if(AS_030 ='1' or BERR='0') then -- "async" reset of various signals + AS_030_000_SYNC <= '1'; + FPU_CS_INT <= '1'; + DSACK1_INT <= '1'; + AS_000_INT <= '1'; + DS_000_ENABLE <= '0'; + elsif( --CLK_030 = '1' AND --68030 has a valid AS on high clocks + AS_030 = '0') then + if(FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1') then + FPU_CS_INT <= '0'; + else + if( nEXP_SPACE ='1' and --not an expansion space cycle + SM_AMIGA = IDLE_P AND --last amiga cycle terminated + BGACK_030_INT = '1' --no dma -cycle + )then + AS_030_000_SYNC <= '0'; + end if; + end if; + end if; + + + -- VMA generation + if(CLK_000_NE='1' AND VPA_D='0' AND cpu_est = E4)then --assert + VMA_INT <= '0'; + elsif(CLK_000_PE='1' AND AS_000_INT='1' AND cpu_est=E1)then --deassert + VMA_INT <= '1'; + end if; + + --uds/lds precalculation + if (DS_030 = '0') then --DS: set udl/lds + if(A0='0') then + UDS_000_INT <= '0'; + else + UDS_000_INT <= '1'; + end if; + if((A0='1' OR SIZE(0)='0' OR SIZE(1)='1')) then + LDS_000_INT <= '0'; + else + LDS_000_INT <= '1'; + end if; + end if; + + + --Amiga statemachine + + if(BERR='0')then --"async" reset on errors + SM_AMIGA<=IDLE_P; + end if; + + case (SM_AMIGA) is + when IDLE_P => --68000:S0 wait for a falling edge + AMIGA_BUS_ENABLE_INT <= '1'; + RW_000_INT <= '1'; + if( CLK_000_D0='0' and CLK_000_D1= '1' and AS_030_000_SYNC = '0')then + if(nEXP_SPACE ='1')then -- if this a delayed expansion space detection, do not start an amiga cycle! + AMIGA_BUS_ENABLE_INT <= '0' ;--for now: allways on for amiga + SM_AMIGA<=IDLE_N; --go to s1 + end if; + end if; + when IDLE_N => --68000:S1 place Adress on bus and wait for rising edge, on a rising CLK_000 look for a amiga adressrobe + if(CLK_000_PE='1')then --go to s2 + --if(CLK_000_D0='1')then --go to s2 + SM_AMIGA <= AS_SET_P; --as for amiga set! + AS_000_INT <= '0'; + RW_000_INT <= RW; + if (RW='1' ) then --read: set udl/lds + DS_000_ENABLE <= '1'; + end if; + end if; + when AS_SET_P => --68000:S2 Amiga cycle starts here: since AS is asserted during transition to this state we simply wait here + if(CLK_000_NE='1')then --go to s3 + --if(CLK_000_D0='0')then --go to s3 + SM_AMIGA<=AS_SET_N; + end if; + when AS_SET_N => --68000:S3: nothing happens here; on a transition to s4: assert uds/lds on write + + if(CLK_000_PE='1')then --go to s4 + --if(CLK_000_D0='1')then --go to s4 + DS_000_ENABLE <= '1';--write: set udl/lds earlier than in the specs. this does not seem to harm anything and is saver, than sampling uds/lds too late + -- set DS-Enable without respect to rw: this simplifies the life for the syntesizer + SM_AMIGA <= SAMPLE_DTACK_P; + end if; + when SAMPLE_DTACK_P=> --68000:S4 wait for dtack or VMA + if( CLK_000_NE='1' and --falling edge + --if( CLK_000_D0 = '0' and CLK_000_D1='1' and --falling edge + ((VPA = '1' AND DTACK='0') OR --DTACK end cycle + (VPA='0' AND cpu_est=E9 AND VMA_INT='0')) --VPA end cycle + )then --go to s5 + SM_AMIGA<=DATA_FETCH_N; + end if; + when DATA_FETCH_N=> --68000:S5 nothing happens here just wait for positive clock + if(CLK_000_PE = '1')then --go to s6 + --if(CLK_000_D0='1')then --go to s6 + SM_AMIGA<=DATA_FETCH_P; + end if; + when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus! + if( CLK_000_N_SYNC(6)='1') then --go to s7 next 030-clock is not a falling edge: dsack is sampled at the falling edge + DSACK1_INT <='0'; + end if; + --if( CLK_000_D3 ='1' AND CLK_000_D4 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge + -- DSACK1_INT <='0'; + --end if; + if( CLK_000_NE ='1') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge + --if( CLK_000_D0 ='0') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge + SM_AMIGA<=END_CYCLE_N; + if(AS_030 ='1') then + AMIGA_BUS_ENABLE_INT <= '1'; + end if; + end if; + when END_CYCLE_N =>--68000:S7: Latch/Store data. Wait here for new cycle and go to IDLE on high clock + if(AS_030 ='1') then + AMIGA_BUS_ENABLE_INT <= '1'; + end if; + + if(CLK_000_PE='1')then --go to s0 + --if(CLK_000_D0='1')then --go to s0 + SM_AMIGA<=IDLE_P; + end if; + end case; + + if(BGACK_030_INT='0')then + --switch amiga bus on for DMA-Cycles + AMIGA_BUS_ENABLE_INT <= '0' ; + elsif(BGACK_030_INT_D='0' and BGACK_030_INT='1')then + AMIGA_BUS_ENABLE_INT <= '1' ; + end if; + + --dma stuff + --as can only be done if we know the uds/lds! + if(BGACK_030_INT='0' and AS_000='0' and (UDS_000='0' or LDS_000='0'))then + + --set AS_000 + if( CLK_030='1') then + AS_000_DMA <= '0'; --sampled on rising edges! + RW_000_DMA <= RW_000; + elsif(AS_000_DMA = '0' and CLK_030='0')then + CLK_030_H <= '1'; + end if; + + if(RW_000='1') then + DS_000_DMA <=AS_000_DMA; + elsif(RW_000='0' and CLK_030_H = '1' and CLK_030='1')then + DS_000_DMA <=AS_000_DMA; -- write: one clock delayed! + end if; + -- now determine the size: if both uds and lds is set its 16 bit else 8 bit! + if(UDS_000='0' and LDS_000='0') then + SIZE_DMA <= "10"; --16bit + else + SIZE_DMA <= "01"; --8 bit + end if; + + --now calculate the offset: + --if uds is set low, a0 is so too. + --if only lds is set a1 is high + --therefore a1 = uds + --great! life is simple here! + A0_DMA <= UDS_000; + + --A1 is set by the amiga side + else + AS_000_DMA <= '1'; + DS_000_DMA <= '1'; + SIZE_DMA <= "11"; + A0_DMA <= '0'; + RW_000_DMA <= '1'; + CLK_030_H <= '0'; + end if; + end if; + end process state_machine; + + CLK_PRE_66 <= (not CLK_CNT_N(0) and CLK_CNT_P(0)) or + (CLK_CNT_N(1) and CLK_CNT_P(1)); + + process_33_clk:process(RST, CLK_PRE_66) + begin + if(RST = '0' ) then + CLK_OUT_PRE_33 <= '0'; + elsif(rising_edge(CLK_PRE_66)) then + CLK_OUT_PRE_33 <= not CLK_OUT_PRE_33; + end if; + end process process_33_clk; + AMIGA_BUS_ENABLE_LOW <= CLK_OUT_PRE_33; + + + + --output clock assignment + CLK_DIV_OUT <= CLK_OUT_INT; + CLK_EXP <= CLK_OUT_INT; + --CLK_DIV_OUT <= CLK_OUT_PRE_33; + --CLK_EXP <= CLK_OUT_PRE_33; + AVEC_EXP <= CLK_000_PE; + AMIGA_BUS_ENABLE <= AMIGA_BUS_ENABLE_INT; + --dma stuff + DTACK <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else + DSACK1; + AS_030 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else + AS_000_DMA; + DS_030 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else + DS_000_DMA; + A0 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else + A0_DMA; + SIZE <= "ZZ" when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else + SIZE_DMA; + + --fpu + FPU_CS <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1' + else '1'; + + --if no copro is installed: + --BERR <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1' + -- else 'Z'; + BERR <= 'Z'; + + + + --cache inhibit: For now: disable + CIIN <= '1' WHEN A(31 downto 20) = x"00F" and AS_030 ='0' ELSE + --'1' WHEN A(31 downto 20) = x"002" ELSE + --'1' WHEN A(31 downto 20) = x"004" ELSE + 'Z' WHEN (not(A(31 downto 24) = x"00") and AS_030 ='0') OR nEXP_SPACE = '0' ELSE + '0'; + + --bus buffers + AMIGA_BUS_DATA_DIR <= '1' WHEN (RW='0' AND BGACK_030_INT ='1') ELSE --Amiga WRITE + '0' WHEN (RW='1' AND BGACK_030_INT ='1') ELSE --Amiga READ + '1' WHEN (RW='1' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA READ to expansion space + '0' WHEN (RW='0' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA WRITE to expansion space + '0'; --Point towarts TK + --AMIGA_BUS_ENABLE_LOW <= CLK_OUT_NE; --for now: allways off + + --e and VMA + E <= cpu_est(3); + VMA <= VMA_INT; + + + --AVEC + AVEC <= '1'; + + --as and uds/lds + AS_000 <= 'Z' when BGACK_030_INT ='0' else + AS_000_INT; + RW_000 <= 'Z' when BGACK_030_INT ='0' else + RW_000_INT; + + UDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + '1' when DS_000_ENABLE ='0' else -- datastrobe not ready jet + UDS_000_INT; + LDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + '1' when DS_000_ENABLE ='0' else -- datastrobe not ready jet + LDS_000_INT; + + --dsack + DSACK1 <= 'Z' when nEXP_SPACE = '0' else -- output on amiga cycle + DSACK1_INT; + --rw + RW <= 'Z' when BGACK_030_INT ='1' else + RW_000_DMA; + + BGACK_030 <= BGACK_030_INT; +end Behavioral; \ No newline at end of file diff --git a/Logic/68030-68000-bus.vhd b/Logic/68030-68000-bus.vhd index adff212..11fadab 100644 --- a/Logic/68030-68000-bus.vhd +++ b/Logic/68030-68000-bus.vhd @@ -34,12 +34,12 @@ port( CLK_DIV_OUT: out std_logic ; CLK_EXP: out std_logic ; FPU_CS: out std_logic ; + FPU_SENSE: in std_logic ; IPL_030: out std_logic_vector ( 2 downto 0 ); IPL: in std_logic_vector ( 2 downto 0 ); DSACK1: inout std_logic; DTACK: inout std_logic ; AVEC: out std_logic ; - AVEC_EXP: inout std_logic ; --this is a "free pin" E: out std_logic ; VPA: in std_logic ; VMA: out std_logic ; @@ -48,9 +48,10 @@ port( RW: inout std_logic ; -- D: inout std_logic_vector ( 31 downto 28 ); FC: in std_logic_vector ( 1 downto 0 ); - AMIGA_BUS_ENABLE: out std_logic ; + AMIGA_ADDR_ENABLE: out std_logic ; AMIGA_BUS_DATA_DIR: out std_logic ; AMIGA_BUS_ENABLE_LOW: out std_logic; + AMIGA_BUS_ENABLE_HIGH: out std_logic; CIIN: out std_logic ); end BUS68030; @@ -97,6 +98,8 @@ signal SM_AMIGA : AMIGA_STATE; signal AS_000_INT:STD_LOGIC := '1'; signal RW_000_INT:STD_LOGIC := '1'; signal AMIGA_BUS_ENABLE_INT:STD_LOGIC := '1'; +signal AS_030_D0:STD_LOGIC := '1'; +signal DS_030_D0:STD_LOGIC := '1'; signal AS_030_000_SYNC:STD_LOGIC := '1'; signal BGACK_030_INT:STD_LOGIC := '1'; signal BGACK_030_INT_D:STD_LOGIC := '1'; @@ -105,7 +108,6 @@ signal DS_000_DMA:STD_LOGIC := '1'; signal RW_000_DMA:STD_LOGIC := '1'; signal SIZE_DMA: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11"; signal A0_DMA: STD_LOGIC := '1'; -signal FPU_CS_INT:STD_LOGIC := '1'; signal VMA_INT: STD_LOGIC := '1'; signal VPA_D: STD_LOGIC := '1'; signal UDS_000_INT: STD_LOGIC := '1'; @@ -134,8 +136,9 @@ signal CLK_000_P_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000"; signal CLK_000_N_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000"; signal CLK_000_PE: STD_LOGIC := '0'; signal CLK_000_NE: STD_LOGIC := '0'; -signal CLK_000_NE_D: STD_LOGIC := '0'; +signal CLK_000_E_ADVANCE: STD_LOGIC := '0'; signal DTACK_D0: STD_LOGIC := '1'; + begin @@ -184,7 +187,6 @@ begin DS_000_ENABLE <= '0'; CLK_REF <= "00"; VMA_INT <= '1'; - FPU_CS_INT <= '1'; BG_000 <= '1'; BGACK_030_INT <= '1'; BGACK_030_INT_D <= '1'; @@ -194,12 +196,14 @@ begin CLK_000_N_SYNC <= "0000000000000"; CLK_000_PE <= '0'; CLK_000_NE <= '0'; - CLK_000_NE_D <= '0'; + CLK_000_E_ADVANCE <= '0'; AS_000_DMA <= '1'; DS_000_DMA <= '1'; SIZE_DMA <= "11"; A0_DMA <= '1'; AMIGA_BUS_ENABLE_INT <= '1'; + AS_030_D0 <= '1'; + DS_030_D0 <= '1'; elsif(rising_edge(CLK_OSZI)) then --reset buffer RESET <= '1'; @@ -245,23 +249,23 @@ begin --shift registers for edge detection CLK_000_P_SYNC( 12 downto 1 ) <= CLK_000_P_SYNC( 11 downto 0 ); - CLK_000_P_SYNC(0) <= CLK_000_D0 AND NOT CLK_000_D1 AND NOT CLK_000_D2 AND NOT CLK_000_D3; + CLK_000_P_SYNC(0) <= CLK_000_D0 AND NOT CLK_000_D1; CLK_000_N_SYNC( 12 downto 1 ) <= CLK_000_N_SYNC( 11 downto 0 ); - CLK_000_N_SYNC(0) <= NOT CLK_000_D0 AND CLK_000_D1 AND CLK_000_D2 AND CLK_000_D3; + CLK_000_N_SYNC(0) <= NOT CLK_000_D0 AND CLK_000_D1; -- values are determined empiracally for 7.09 MHz Clock -- since the clock is not symmetrically these values differ! CLK_000_PE <= CLK_000_P_SYNC(9); CLK_000_NE <= CLK_000_N_SYNC(11); - CLK_000_NE_D <= CLK_000_NE; + CLK_000_E_ADVANCE <= CLK_000_NE; DTACK_D0 <= DTACK; VPA_D <= VPA; --now: 68000 state machine and signals - -- e-clock - if(CLK_000_PE = '1') then - --if(CLK_000_D1 = '0' and CLK_000_D0 = '1') then + -- e-clock is changed on the FALLING edge! + + if(CLK_000_E_ADVANCE = '1' ) then case (cpu_est) is when E1 => cpu_est <= E2 ; when E2 => cpu_est <= E3 ; @@ -285,7 +289,8 @@ begin end case; end if; - + AS_030_D0 <= AS_030; + DS_030_D0 <= DS_030; --bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock @@ -299,12 +304,14 @@ begin end if; BGACK_030_INT_D <= BGACK_030_INT; + + --bus grant only in idle state if(BG_030= '1')then BG_000 <= '1'; elsif( BG_030= '0' --AND (SM_AMIGA = IDLE_P) - and nEXP_SPACE = '1' and AS_030='1' - and CLK_000='1' + and nEXP_SPACE = '1' and AS_030_D0='1' + and CLK_000_D0='1' --and CLK_000_D0='1' AND CLK_000_D1='0' ) then --bus granted no local access and no AS_030 running! BG_000 <= '0'; @@ -312,7 +319,7 @@ begin --interrupt buffering to avoid ghost interrupts - if(CLK_000_PE='1')then + if(CLK_000_NE='1')then --if(CLK_000_D1='0' and CLK_000_D0='1')then IPL_030<=IPL; end if; @@ -320,36 +327,32 @@ begin -- as030-sampling and FPU-Select - if(AS_030 ='1' or BERR='0') then -- "async" reset of various signals + if(AS_030_D0 ='1' or BERR='0') then -- "async" reset of various signals AS_030_000_SYNC <= '1'; - FPU_CS_INT <= '1'; DSACK1_INT <= '1'; AS_000_INT <= '1'; DS_000_ENABLE <= '0'; + AMIGA_BUS_ENABLE_INT <= '1'; elsif( --CLK_030 = '1' AND --68030 has a valid AS on high clocks - AS_030 = '0') then - if(FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1') then - FPU_CS_INT <= '0'; - else - if( nEXP_SPACE ='1' and --not an expansion space cycle - SM_AMIGA = IDLE_P AND --last amiga cycle terminated - BGACK_030_INT = '1' --no dma -cycle - )then - AS_030_000_SYNC <= '0'; - end if; - end if; + AS_030_D0 = '0' AND --as set + BGACK_000='1' AND --no dma -cycle + NOT (FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0') AND --FPU-Select + nEXP_SPACE ='1' and --not an expansion space cycle + SM_AMIGA = IDLE_P --last amiga cycle terminated + ) then + AS_030_000_SYNC <= '0'; end if; -- VMA generation if(CLK_000_NE='1' AND VPA_D='0' AND cpu_est = E4)then --assert VMA_INT <= '0'; - elsif(CLK_000_PE='1' AND AS_000_INT='1' AND cpu_est=E1)then --deassert - VMA_INT <= '1'; + --elsif(CLK_000_PE='1' AND AS_000_INT='1' AND cpu_est=E1)then --deassert + end if; --uds/lds precalculation - if (DS_030 = '0') then --DS: set udl/lds + if (DS_030_D0 = '0') then --DS: set udl/lds if(A0='0') then UDS_000_INT <= '0'; else @@ -371,8 +374,7 @@ begin case (SM_AMIGA) is when IDLE_P => --68000:S0 wait for a falling edge - AMIGA_BUS_ENABLE_INT <= '1'; - RW_000_INT <= '1'; + if( CLK_000_D0='0' and CLK_000_D1= '1' and AS_030_000_SYNC = '0')then if(nEXP_SPACE ='1')then -- if this a delayed expansion space detection, do not start an amiga cycle! AMIGA_BUS_ENABLE_INT <= '0' ;--for now: allways on for amiga @@ -380,8 +382,8 @@ begin end if; end if; when IDLE_N => --68000:S1 place Adress on bus and wait for rising edge, on a rising CLK_000 look for a amiga adressrobe - if(CLK_000_PE='1')then --go to s2 - --if(CLK_000_D0='1')then --go to s2 + --if(CLK_000_PE='1')then --go to s2 + if(CLK_000_D0='1')then --go to s2 SM_AMIGA <= AS_SET_P; --as for amiga set! AS_000_INT <= '0'; RW_000_INT <= RW; @@ -416,7 +418,8 @@ begin SM_AMIGA<=DATA_FETCH_P; end if; when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus! - if( CLK_000_N_SYNC(6)='1') then --go to s7 next 030-clock is not a falling edge: dsack is sampled at the falling edge + if( (CLK_000_N_SYNC( 5)='1' AND not (CLK_030 ='1' and CLK_OUT_PRE_D='0')) OR + (CLK_000_N_SYNC( 6)='1' )) then --go to s7 next 030-clock is not a falling edge: dsack is sampled at the falling edge DSACK1_INT <='0'; end if; --if( CLK_000_D3 ='1' AND CLK_000_D4 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge @@ -424,19 +427,14 @@ begin --end if; if( CLK_000_NE ='1') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge --if( CLK_000_D0 ='0') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge + SM_AMIGA<=END_CYCLE_N; - if(AS_030 ='1') then - AMIGA_BUS_ENABLE_INT <= '1'; - end if; end if; when END_CYCLE_N =>--68000:S7: Latch/Store data. Wait here for new cycle and go to IDLE on high clock - if(AS_030 ='1') then - AMIGA_BUS_ENABLE_INT <= '1'; - end if; - if(CLK_000_PE='1')then --go to s0 --if(CLK_000_D0='1')then --go to s0 - SM_AMIGA<=IDLE_P; + SM_AMIGA<=IDLE_P; + VMA_INT <= '1'; end if; end case; @@ -501,7 +499,7 @@ begin CLK_OUT_PRE_33 <= not CLK_OUT_PRE_33; end if; end process process_33_clk; - AMIGA_BUS_ENABLE_LOW <= CLK_OUT_PRE_33; + AMIGA_BUS_ENABLE_LOW <= '1'; @@ -510,8 +508,8 @@ begin CLK_EXP <= CLK_OUT_INT; --CLK_DIV_OUT <= CLK_OUT_PRE_33; --CLK_EXP <= CLK_OUT_PRE_33; - AVEC_EXP <= CLK_000_PE; - AMIGA_BUS_ENABLE <= AMIGA_BUS_ENABLE_INT; + AMIGA_ADDR_ENABLE <= AMIGA_BUS_ENABLE_INT; + AMIGA_BUS_ENABLE_HIGH <= AMIGA_BUS_ENABLE_INT; --dma stuff DTACK <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else DSACK1; @@ -525,28 +523,28 @@ begin SIZE_DMA; --fpu - FPU_CS <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1' + FPU_CS <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1' AND FPU_SENSE ='0' else '1'; --if no copro is installed: - --BERR <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1' - -- else 'Z'; - BERR <= 'Z'; + BERR <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1' AND FPU_SENSE ='1' + else 'Z'; + --BERR <= 'Z'; --cache inhibit: For now: disable - CIIN <= '1' WHEN A(31 downto 20) = x"00F" and AS_030 ='0' ELSE + CIIN <= '1' WHEN A(31 downto 20) = x"00F" and AS_030_D0 ='0' ELSE --'1' WHEN A(31 downto 20) = x"002" ELSE --'1' WHEN A(31 downto 20) = x"004" ELSE 'Z' WHEN (not(A(31 downto 24) = x"00") and AS_030 ='0') OR nEXP_SPACE = '0' ELSE '0'; --bus buffers - AMIGA_BUS_DATA_DIR <= '1' WHEN (RW='0' AND BGACK_030_INT ='1') ELSE --Amiga WRITE - '0' WHEN (RW='1' AND BGACK_030_INT ='1') ELSE --Amiga READ - '1' WHEN (RW='1' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA READ to expansion space - '0' WHEN (RW='0' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA WRITE to expansion space + AMIGA_BUS_DATA_DIR <= '1' WHEN (RW_000='0' AND BGACK_030_INT ='1') ELSE --Amiga WRITE + '0' WHEN (RW_000='1' AND BGACK_030_INT ='1') ELSE --Amiga READ + '1' WHEN (RW_000='1' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA READ to expansion space + '0' WHEN (RW_000='0' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA WRITE to expansion space '0'; --Point towarts TK --AMIGA_BUS_ENABLE_LOW <= CLK_OUT_NE; --for now: allways off diff --git a/Logic/68030_TK.STY b/Logic/68030_TK.STY index b246b0a..8f70318 100644 --- a/Logic/68030_TK.STY +++ b/Logic/68030_TK.STY @@ -1,4 +1,6 @@ -[STRATEGY-LIST] -Normal=True, 1385910337 [synthesis-type] tool=Synplify +[STRATEGY-LIST] +Normal=True, 1385910337 +[TOUCHED-REPORT] +Design.tt4File=1405595332 diff --git a/Logic/68030_TK.cmi b/Logic/68030_TK.cmi index 8e0c72c..77ae322 100644 --- a/Logic/68030_TK.cmi +++ b/Logic/68030_TK.cmi @@ -1,9 +1,9 @@ [WINDOWS] MAIN_WINDOW_POSITION=0,0,1920,1200 LEFT_PANE_WIDTH=634 -CHILD_FRAME_STATE=Normal -CHILD_WINDOW_SIZE=950,901 -CHILD_WINDOW_POS=950,0 +CHILD_FRAME_STATE=Maximal +CHILD_WINDOW_SIZE=1920,974 +CHILD_WINDOW_POS=-8,-30 [GUI SETTING] Remember_Setting=1 Open_PV_Opt=2 @@ -18,7 +18,7 @@ Sort_Type=0 Sort_Direction=0 Skip_Next_Pin=0 [Pin Attributes] -sort_column_-1=Type +sort_column_-1=Pin Type=42,no Signal/Group Name=209,no Group Members=111,no diff --git a/Logic/68030_TK.lci b/Logic/68030_TK.lci index 2335c4e..49aa587 100644 --- a/Logic/68030_TK.lci +++ b/Logic/68030_TK.lci @@ -12,8 +12,8 @@ EN_PinMacrocell = Yes; [Revision] Parent = m4a5.lci; -DATE = 06/08/2014; -TIME = 11:30:13; +DATE = 07/17/2014; +TIME = 13:08:52; Source_Format = Pure_VHDL; Synthesis = Synplify; @@ -69,17 +69,14 @@ IPL_030_2_ = Pin, 9, -, B, -; LDS_000 = Pin, 31, -, D, -; UDS_000 = Pin, 32, -, D, -; VMA = Pin, 35, -, D, -; -AS_000 = Pin, 33, -, D, -; DTACK = Pin, 30, -, D, -; RESET = Pin, 3, -, B, -; AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -; -AMIGA_BUS_ENABLE = Pin, 34, -, D, -; AMIGA_BUS_ENABLE_LOW = Pin, 20, -, C, -; CIIN = Pin, 47, -, E, -; A_20_ = Pin, 93, -, A, -; A_21_ = Pin, 94, -, A, -; -A_22_ = Pin, 85, -, H, -; -A_23_ = Pin, 84, -, H, -; +A_22_ = Pin, 84, -, H, -; A_24_ = Pin, 19, -, C, -; A_25_ = Pin, 18, -, C, -; A_26_ = Pin, 17, -, C, -; @@ -89,12 +86,16 @@ A_29_ = Pin, 6, -, B, -; A_30_ = Pin, 5, -, B, -; A_31_ = Pin, 4, -, B, -; DS_030 = Pin, 98, -, A, -; -AVEC_EXP = Pin, 22, -, C, -; BERR = Pin, 41, -, E, -; nEXP_SPACE = Pin, 14, -, -, -; A0 = Pin, 69, -, G, -; DSACK1 = Pin, 81, -, H, -; RW_000 = Pin, 80, -, H, -; +AS_000 = Pin, 42, -, E, -; +AMIGA_ADDR_ENABLE = Pin, 33, -, D, -; +AMIGA_BUS_ENABLE_HIGH = Pin, 34, -, D, -; +A_23_ = Pin, 85, -, H, -; +FPU_SENSE = Pin, 91, -, A, -; [Group Assignments] layer = OFF; diff --git a/Logic/68030_TK.lct b/Logic/68030_TK.lct index 2335c4e..49aa587 100644 --- a/Logic/68030_TK.lct +++ b/Logic/68030_TK.lct @@ -12,8 +12,8 @@ EN_PinMacrocell = Yes; [Revision] Parent = m4a5.lci; -DATE = 06/08/2014; -TIME = 11:30:13; +DATE = 07/17/2014; +TIME = 13:08:52; Source_Format = Pure_VHDL; Synthesis = Synplify; @@ -69,17 +69,14 @@ IPL_030_2_ = Pin, 9, -, B, -; LDS_000 = Pin, 31, -, D, -; UDS_000 = Pin, 32, -, D, -; VMA = Pin, 35, -, D, -; -AS_000 = Pin, 33, -, D, -; DTACK = Pin, 30, -, D, -; RESET = Pin, 3, -, B, -; AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -; -AMIGA_BUS_ENABLE = Pin, 34, -, D, -; AMIGA_BUS_ENABLE_LOW = Pin, 20, -, C, -; CIIN = Pin, 47, -, E, -; A_20_ = Pin, 93, -, A, -; A_21_ = Pin, 94, -, A, -; -A_22_ = Pin, 85, -, H, -; -A_23_ = Pin, 84, -, H, -; +A_22_ = Pin, 84, -, H, -; A_24_ = Pin, 19, -, C, -; A_25_ = Pin, 18, -, C, -; A_26_ = Pin, 17, -, C, -; @@ -89,12 +86,16 @@ A_29_ = Pin, 6, -, B, -; A_30_ = Pin, 5, -, B, -; A_31_ = Pin, 4, -, B, -; DS_030 = Pin, 98, -, A, -; -AVEC_EXP = Pin, 22, -, C, -; BERR = Pin, 41, -, E, -; nEXP_SPACE = Pin, 14, -, -, -; A0 = Pin, 69, -, G, -; DSACK1 = Pin, 81, -, H, -; RW_000 = Pin, 80, -, H, -; +AS_000 = Pin, 42, -, E, -; +AMIGA_ADDR_ENABLE = Pin, 33, -, D, -; +AMIGA_BUS_ENABLE_HIGH = Pin, 34, -, D, -; +A_23_ = Pin, 85, -, H, -; +FPU_SENSE = Pin, 91, -, A, -; [Group Assignments] layer = OFF; diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index 2275243..d0e4cf8 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -211701,3 +211701,32188 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 06/22/14 21:24:14 ########### + +########## Tcl recorder starts at 06/23/14 20:51:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/23/14 20:51:09 ########### + + +########## Tcl recorder starts at 06/23/14 20:51:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/23/14 20:51:09 ########### + + +########## Tcl recorder starts at 06/23/14 20:53:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/23/14 20:53:23 ########### + + +########## Tcl recorder starts at 06/23/14 20:53:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/23/14 20:53:23 ########### + + +########## Tcl recorder starts at 06/23/14 20:55:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/23/14 20:55:28 ########### + + +########## Tcl recorder starts at 06/23/14 20:55:29 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/23/14 20:55:29 ########### + + +########## Tcl recorder starts at 06/23/14 21:03:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/23/14 21:03:36 ########### + + +########## Tcl recorder starts at 06/23/14 21:03:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/23/14 21:03:36 ########### + + +########## Tcl recorder starts at 06/24/14 21:53:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 21:53:45 ########### + + +########## Tcl recorder starts at 06/24/14 21:53:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 21:53:46 ########### + + +########## Tcl recorder starts at 06/24/14 21:54:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 21:54:52 ########### + + +########## Tcl recorder starts at 06/24/14 21:54:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 21:54:52 ########### + + +########## Tcl recorder starts at 06/24/14 22:07:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:07:20 ########### + + +########## Tcl recorder starts at 06/24/14 22:07:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:07:20 ########### + + +########## Tcl recorder starts at 06/24/14 22:08:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:08:49 ########### + + +########## Tcl recorder starts at 06/24/14 22:08:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:08:49 ########### + + +########## Tcl recorder starts at 06/24/14 22:09:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:09:50 ########### + + +########## Tcl recorder starts at 06/24/14 22:09:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:09:50 ########### + + +########## Tcl recorder starts at 06/24/14 22:11:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:11:55 ########### + + +########## Tcl recorder starts at 06/24/14 22:11:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:11:56 ########### + + +########## Tcl recorder starts at 06/24/14 22:14:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:14:05 ########### + + +########## Tcl recorder starts at 06/24/14 22:14:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/24/14 22:14:05 ########### + + +########## Tcl recorder starts at 06/25/14 20:27:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 20:27:33 ########### + + +########## Tcl recorder starts at 06/25/14 20:27:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 20:27:33 ########### + + +########## Tcl recorder starts at 06/25/14 20:30:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 20:30:18 ########### + + +########## Tcl recorder starts at 06/25/14 20:30:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 20:30:18 ########### + + +########## Tcl recorder starts at 06/25/14 20:30:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 20:30:56 ########### + + +########## Tcl recorder starts at 06/25/14 20:36:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 20:36:13 ########### + + +########## Tcl recorder starts at 06/25/14 20:36:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 20:36:13 ########### + + +########## Tcl recorder starts at 06/25/14 20:48:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 20:48:06 ########### + + +########## Tcl recorder starts at 06/25/14 20:48:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 20:48:06 ########### + + +########## Tcl recorder starts at 06/25/14 23:30:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 23:30:28 ########### + + +########## Tcl recorder starts at 06/25/14 23:30:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 23:30:28 ########### + + +########## Tcl recorder starts at 06/25/14 23:32:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 23:32:17 ########### + + +########## Tcl recorder starts at 06/25/14 23:32:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/25/14 23:32:17 ########### + + +########## Tcl recorder starts at 06/26/14 10:35:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 10:35:48 ########### + + +########## Tcl recorder starts at 06/26/14 10:35:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 10:35:48 ########### + + +########## Tcl recorder starts at 06/26/14 10:36:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 10:36:57 ########### + + +########## Tcl recorder starts at 06/26/14 10:36:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 10:36:58 ########### + + +########## Tcl recorder starts at 06/26/14 10:39:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 10:39:21 ########### + + +########## Tcl recorder starts at 06/26/14 10:39:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 10:39:21 ########### + + +########## Tcl recorder starts at 06/26/14 10:41:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 10:41:17 ########### + + +########## Tcl recorder starts at 06/26/14 10:41:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 10:41:17 ########### + + +########## Tcl recorder starts at 06/26/14 12:16:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:16:47 ########### + + +########## Tcl recorder starts at 06/26/14 12:16:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:16:47 ########### + + +########## Tcl recorder starts at 06/26/14 12:19:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:19:57 ########### + + +########## Tcl recorder starts at 06/26/14 12:19:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:19:58 ########### + + +########## Tcl recorder starts at 06/26/14 12:23:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:23:21 ########### + + +########## Tcl recorder starts at 06/26/14 12:23:21 ########## + +# Commands to make the Process: +# ISC-1532 File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2i "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:23:21 ########### + + +########## Tcl recorder starts at 06/26/14 12:33:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:33:14 ########### + + +########## Tcl recorder starts at 06/26/14 12:33:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:33:14 ########### + + +########## Tcl recorder starts at 06/26/14 12:37:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:37:08 ########### + + +########## Tcl recorder starts at 06/26/14 12:37:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:37:08 ########### + + +########## Tcl recorder starts at 06/26/14 12:39:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:39:32 ########### + + +########## Tcl recorder starts at 06/26/14 12:39:32 ########## + +# Commands to make the Process: +# Post-Fit Pinouts +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Post-Fit Pinouts +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:39:32 ########### + + +########## Tcl recorder starts at 06/26/14 12:45:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:45:53 ########### + + +########## Tcl recorder starts at 06/26/14 12:45:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:45:53 ########### + + +########## Tcl recorder starts at 06/26/14 12:56:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:56:05 ########### + + +########## Tcl recorder starts at 06/26/14 12:56:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 12:56:05 ########### + + +########## Tcl recorder starts at 06/26/14 13:00:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 13:00:56 ########### + + +########## Tcl recorder starts at 06/26/14 13:00:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 13:00:56 ########### + + +########## Tcl recorder starts at 06/26/14 13:02:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 13:02:36 ########### + + +########## Tcl recorder starts at 06/26/14 13:02:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 13:02:36 ########### + + +########## Tcl recorder starts at 06/26/14 13:04:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 13:04:51 ########### + + +########## Tcl recorder starts at 06/26/14 13:04:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/26/14 13:04:51 ########### + + +########## Tcl recorder starts at 06/27/14 12:23:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:23:50 ########### + + +########## Tcl recorder starts at 06/27/14 12:23:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:23:50 ########### + + +########## Tcl recorder starts at 06/27/14 12:27:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:27:45 ########### + + +########## Tcl recorder starts at 06/27/14 12:27:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:27:45 ########### + + +########## Tcl recorder starts at 06/27/14 12:28:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:28:40 ########### + + +########## Tcl recorder starts at 06/27/14 12:28:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:28:40 ########### + + +########## Tcl recorder starts at 06/27/14 12:29:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:29:16 ########### + + +########## Tcl recorder starts at 06/27/14 12:29:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:29:16 ########### + + +########## Tcl recorder starts at 06/27/14 12:29:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:29:41 ########### + + +########## Tcl recorder starts at 06/27/14 12:29:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:29:41 ########### + + +########## Tcl recorder starts at 06/27/14 12:46:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:46:19 ########### + + +########## Tcl recorder starts at 06/27/14 12:46:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:46:19 ########### + + +########## Tcl recorder starts at 06/27/14 12:49:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:49:52 ########### + + +########## Tcl recorder starts at 06/27/14 12:49:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:49:53 ########### + + +########## Tcl recorder starts at 06/27/14 12:51:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:51:28 ########### + + +########## Tcl recorder starts at 06/27/14 12:51:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:51:28 ########### + + +########## Tcl recorder starts at 06/27/14 12:53:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:53:08 ########### + + +########## Tcl recorder starts at 06/27/14 12:53:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:53:08 ########### + + +########## Tcl recorder starts at 06/27/14 12:54:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:54:39 ########### + + +########## Tcl recorder starts at 06/27/14 12:54:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:54:40 ########### + + +########## Tcl recorder starts at 06/27/14 12:58:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:58:41 ########### + + +########## Tcl recorder starts at 06/27/14 12:58:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 12:58:41 ########### + + +########## Tcl recorder starts at 06/27/14 13:01:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 13:01:22 ########### + + +########## Tcl recorder starts at 06/27/14 13:01:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 13:01:22 ########### + + +########## Tcl recorder starts at 06/27/14 13:03:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 13:03:35 ########### + + +########## Tcl recorder starts at 06/27/14 13:03:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/27/14 13:03:35 ########### + + +########## Tcl recorder starts at 06/30/14 19:52:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:52:34 ########### + + +########## Tcl recorder starts at 06/30/14 19:52:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:52:34 ########### + + +########## Tcl recorder starts at 06/30/14 19:53:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:53:54 ########### + + +########## Tcl recorder starts at 06/30/14 19:53:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:53:55 ########### + + +########## Tcl recorder starts at 06/30/14 19:55:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:55:51 ########### + + +########## Tcl recorder starts at 06/30/14 19:55:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:55:51 ########### + + +########## Tcl recorder starts at 06/30/14 19:57:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:57:18 ########### + + +########## Tcl recorder starts at 06/30/14 19:57:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:57:18 ########### + + +########## Tcl recorder starts at 06/30/14 19:58:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:58:57 ########### + + +########## Tcl recorder starts at 06/30/14 19:58:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/30/14 19:58:57 ########### + + +########## Tcl recorder starts at 07/01/14 20:23:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:23:54 ########### + + +########## Tcl recorder starts at 07/01/14 20:23:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:23:55 ########### + + +########## Tcl recorder starts at 07/01/14 20:25:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:25:00 ########### + + +########## Tcl recorder starts at 07/01/14 20:25:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:25:00 ########### + + +########## Tcl recorder starts at 07/01/14 20:32:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:32:13 ########### + + +########## Tcl recorder starts at 07/01/14 20:32:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:32:13 ########### + + +########## Tcl recorder starts at 07/01/14 20:34:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:34:12 ########### + + +########## Tcl recorder starts at 07/01/14 20:34:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:34:12 ########### + + +########## Tcl recorder starts at 07/01/14 20:36:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:36:27 ########### + + +########## Tcl recorder starts at 07/01/14 20:36:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:36:27 ########### + + +########## Tcl recorder starts at 07/01/14 20:37:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:37:44 ########### + + +########## Tcl recorder starts at 07/01/14 20:37:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:37:44 ########### + + +########## Tcl recorder starts at 07/01/14 20:41:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:41:04 ########### + + +########## Tcl recorder starts at 07/01/14 20:41:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:41:04 ########### + + +########## Tcl recorder starts at 07/01/14 20:42:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:42:04 ########### + + +########## Tcl recorder starts at 07/01/14 20:42:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:42:04 ########### + + +########## Tcl recorder starts at 07/01/14 20:43:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:43:25 ########### + + +########## Tcl recorder starts at 07/01/14 20:43:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:43:25 ########### + + +########## Tcl recorder starts at 07/01/14 20:45:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:45:22 ########### + + +########## Tcl recorder starts at 07/01/14 20:45:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:45:22 ########### + + +########## Tcl recorder starts at 07/01/14 20:46:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:46:26 ########### + + +########## Tcl recorder starts at 07/01/14 20:46:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:46:26 ########### + + +########## Tcl recorder starts at 07/01/14 20:47:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:47:49 ########### + + +########## Tcl recorder starts at 07/01/14 20:47:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:47:50 ########### + + +########## Tcl recorder starts at 07/01/14 20:49:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:49:14 ########### + + +########## Tcl recorder starts at 07/01/14 20:49:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:49:15 ########### + + +########## Tcl recorder starts at 07/01/14 20:51:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:51:10 ########### + + +########## Tcl recorder starts at 07/01/14 20:51:10 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:51:10 ########### + + +########## Tcl recorder starts at 07/01/14 20:53:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:53:35 ########### + + +########## Tcl recorder starts at 07/01/14 20:53:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:53:35 ########### + + +########## Tcl recorder starts at 07/01/14 20:55:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:55:26 ########### + + +########## Tcl recorder starts at 07/01/14 20:55:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:55:26 ########### + + +########## Tcl recorder starts at 07/01/14 20:56:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:56:42 ########### + + +########## Tcl recorder starts at 07/01/14 20:56:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:56:42 ########### + + +########## Tcl recorder starts at 07/01/14 20:57:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:57:34 ########### + + +########## Tcl recorder starts at 07/01/14 20:57:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 20:57:35 ########### + + +########## Tcl recorder starts at 07/01/14 21:03:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:03:00 ########### + + +########## Tcl recorder starts at 07/01/14 21:03:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:03:00 ########### + + +########## Tcl recorder starts at 07/01/14 21:07:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:07:47 ########### + + +########## Tcl recorder starts at 07/01/14 21:07:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:07:47 ########### + + +########## Tcl recorder starts at 07/01/14 21:09:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:09:02 ########### + + +########## Tcl recorder starts at 07/01/14 21:09:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:09:02 ########### + + +########## Tcl recorder starts at 07/01/14 21:10:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:10:07 ########### + + +########## Tcl recorder starts at 07/01/14 21:10:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:10:07 ########### + + +########## Tcl recorder starts at 07/01/14 21:13:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:13:20 ########### + + +########## Tcl recorder starts at 07/01/14 21:13:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:13:21 ########### + + +########## Tcl recorder starts at 07/01/14 21:14:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:14:39 ########### + + +########## Tcl recorder starts at 07/01/14 21:14:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:14:39 ########### + + +########## Tcl recorder starts at 07/01/14 21:17:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:17:11 ########### + + +########## Tcl recorder starts at 07/01/14 21:17:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:17:11 ########### + + +########## Tcl recorder starts at 07/01/14 21:18:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:18:59 ########### + + +########## Tcl recorder starts at 07/01/14 21:18:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:19:00 ########### + + +########## Tcl recorder starts at 07/01/14 21:21:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:21:07 ########### + + +########## Tcl recorder starts at 07/01/14 21:21:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:21:07 ########### + + +########## Tcl recorder starts at 07/01/14 21:22:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:22:21 ########### + + +########## Tcl recorder starts at 07/01/14 21:22:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:22:22 ########### + + +########## Tcl recorder starts at 07/01/14 21:25:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:25:20 ########### + + +########## Tcl recorder starts at 07/01/14 21:25:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:25:21 ########### + + +########## Tcl recorder starts at 07/01/14 21:31:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:31:19 ########### + + +########## Tcl recorder starts at 07/01/14 21:31:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:31:20 ########### + + +########## Tcl recorder starts at 07/01/14 21:32:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:32:15 ########### + + +########## Tcl recorder starts at 07/01/14 21:32:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:32:15 ########### + + +########## Tcl recorder starts at 07/01/14 21:33:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:33:23 ########### + + +########## Tcl recorder starts at 07/01/14 21:33:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:33:24 ########### + + +########## Tcl recorder starts at 07/01/14 21:36:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:36:07 ########### + + +########## Tcl recorder starts at 07/01/14 21:36:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:36:07 ########### + + +########## Tcl recorder starts at 07/01/14 21:37:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:37:19 ########### + + +########## Tcl recorder starts at 07/01/14 21:37:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/01/14 21:37:20 ########### + + +########## Tcl recorder starts at 07/02/14 10:16:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:16:14 ########### + + +########## Tcl recorder starts at 07/02/14 10:16:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:16:15 ########### + + +########## Tcl recorder starts at 07/02/14 10:16:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:16:23 ########### + + +########## Tcl recorder starts at 07/02/14 10:16:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:16:23 ########### + + +########## Tcl recorder starts at 07/02/14 10:16:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:16:42 ########### + + +########## Tcl recorder starts at 07/02/14 10:16:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:16:42 ########### + + +########## Tcl recorder starts at 07/02/14 10:21:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:21:37 ########### + + +########## Tcl recorder starts at 07/02/14 10:21:37 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:21:37 ########### + + +########## Tcl recorder starts at 07/02/14 10:24:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:24:14 ########### + + +########## Tcl recorder starts at 07/02/14 10:24:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:24:14 ########### + + +########## Tcl recorder starts at 07/02/14 10:25:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:25:49 ########### + + +########## Tcl recorder starts at 07/02/14 10:25:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/02/14 10:25:49 ########### + + +########## Tcl recorder starts at 07/03/14 11:41:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:41:46 ########### + + +########## Tcl recorder starts at 07/03/14 11:41:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:41:46 ########### + + +########## Tcl recorder starts at 07/03/14 11:48:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:48:35 ########### + + +########## Tcl recorder starts at 07/03/14 11:48:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:48:35 ########### + + +########## Tcl recorder starts at 07/03/14 11:50:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:50:47 ########### + + +########## Tcl recorder starts at 07/03/14 11:50:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:50:47 ########### + + +########## Tcl recorder starts at 07/03/14 11:52:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:52:30 ########### + + +########## Tcl recorder starts at 07/03/14 11:52:30 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:52:30 ########### + + +########## Tcl recorder starts at 07/03/14 11:54:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:54:09 ########### + + +########## Tcl recorder starts at 07/03/14 11:54:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:54:09 ########### + + +########## Tcl recorder starts at 07/03/14 11:55:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:55:25 ########### + + +########## Tcl recorder starts at 07/03/14 11:55:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 11:55:25 ########### + + +########## Tcl recorder starts at 07/03/14 12:05:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:05:54 ########### + + +########## Tcl recorder starts at 07/03/14 12:05:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:05:55 ########### + + +########## Tcl recorder starts at 07/03/14 12:07:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:07:53 ########### + + +########## Tcl recorder starts at 07/03/14 12:07:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:07:53 ########### + + +########## Tcl recorder starts at 07/03/14 12:09:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:09:25 ########### + + +########## Tcl recorder starts at 07/03/14 12:09:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:09:26 ########### + + +########## Tcl recorder starts at 07/03/14 12:10:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:10:42 ########### + + +########## Tcl recorder starts at 07/03/14 12:10:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:10:42 ########### + + +########## Tcl recorder starts at 07/03/14 12:48:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:48:14 ########### + + +########## Tcl recorder starts at 07/03/14 12:48:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:48:14 ########### + + +########## Tcl recorder starts at 07/03/14 12:49:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:49:50 ########### + + +########## Tcl recorder starts at 07/03/14 12:49:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 12:49:50 ########### + + +########## Tcl recorder starts at 07/03/14 19:31:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 19:31:05 ########### + + +########## Tcl recorder starts at 07/03/14 19:31:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 19:31:06 ########### + + +########## Tcl recorder starts at 07/03/14 19:39:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 19:39:20 ########### + + +########## Tcl recorder starts at 07/03/14 19:39:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 19:39:20 ########### + + +########## Tcl recorder starts at 07/03/14 19:42:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 19:42:56 ########### + + +########## Tcl recorder starts at 07/03/14 19:42:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 19:42:57 ########### + + +########## Tcl recorder starts at 07/03/14 19:48:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 19:48:55 ########### + + +########## Tcl recorder starts at 07/03/14 19:48:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 19:48:55 ########### + + +########## Tcl recorder starts at 07/03/14 20:04:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:04:41 ########### + + +########## Tcl recorder starts at 07/03/14 20:04:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:04:41 ########### + + +########## Tcl recorder starts at 07/03/14 20:06:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:06:43 ########### + + +########## Tcl recorder starts at 07/03/14 20:06:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:06:43 ########### + + +########## Tcl recorder starts at 07/03/14 20:08:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:08:57 ########### + + +########## Tcl recorder starts at 07/03/14 20:08:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:08:58 ########### + + +########## Tcl recorder starts at 07/03/14 20:09:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:09:15 ########### + + +########## Tcl recorder starts at 07/03/14 20:09:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:09:15 ########### + + +########## Tcl recorder starts at 07/03/14 20:13:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:13:44 ########### + + +########## Tcl recorder starts at 07/03/14 20:13:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/03/14 20:13:44 ########### + + +########## Tcl recorder starts at 07/04/14 13:46:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/04/14 13:46:46 ########### + + +########## Tcl recorder starts at 07/04/14 13:46:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/04/14 13:46:46 ########### + + +########## Tcl recorder starts at 07/04/14 13:48:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/04/14 13:48:35 ########### + + +########## Tcl recorder starts at 07/04/14 13:48:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/04/14 13:48:35 ########### + + +########## Tcl recorder starts at 07/16/14 17:22:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/16/14 17:22:05 ########### + + +########## Tcl recorder starts at 07/16/14 17:22:05 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/16/14 17:22:05 ########### + + +########## Tcl recorder starts at 07/16/14 19:32:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/16/14 19:32:30 ########### + + +########## Tcl recorder starts at 07/16/14 19:32:30 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/16/14 19:32:30 ########### + + +########## Tcl recorder starts at 07/16/14 19:39:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/16/14 19:39:14 ########### + + +########## Tcl recorder starts at 07/16/14 19:39:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/16/14 19:39:14 ########### + + +########## Tcl recorder starts at 07/16/14 19:40:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/16/14 19:40:32 ########### + + +########## Tcl recorder starts at 07/16/14 19:40:32 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/16/14 19:40:32 ########### + + +########## Tcl recorder starts at 07/16/14 19:40:50 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/16/14 19:40:50 ########### + + +########## Tcl recorder starts at 07/17/14 12:27:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:27:06 ########### + + +########## Tcl recorder starts at 07/17/14 12:27:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:27:06 ########### + + +########## Tcl recorder starts at 07/17/14 12:40:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:40:58 ########### + + +########## Tcl recorder starts at 07/17/14 12:40:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:40:59 ########### + + +########## Tcl recorder starts at 07/17/14 12:43:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:43:33 ########### + + +########## Tcl recorder starts at 07/17/14 12:43:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:43:33 ########### + + +########## Tcl recorder starts at 07/17/14 12:47:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:47:22 ########### + + +########## Tcl recorder starts at 07/17/14 12:47:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:47:23 ########### + + +########## Tcl recorder starts at 07/17/14 12:51:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:51:22 ########### + + +########## Tcl recorder starts at 07/17/14 12:51:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:51:22 ########### + + +########## Tcl recorder starts at 07/17/14 12:53:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:53:21 ########### + + +########## Tcl recorder starts at 07/17/14 12:53:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:53:21 ########### + + +########## Tcl recorder starts at 07/17/14 12:55:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:55:02 ########### + + +########## Tcl recorder starts at 07/17/14 12:55:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:55:02 ########### + + +########## Tcl recorder starts at 07/17/14 12:55:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:55:30 ########### + + +########## Tcl recorder starts at 07/17/14 12:55:30 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:55:30 ########### + + +########## Tcl recorder starts at 07/17/14 12:57:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:57:19 ########### + + +########## Tcl recorder starts at 07/17/14 12:57:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:57:19 ########### + + +########## Tcl recorder starts at 07/17/14 12:59:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:59:48 ########### + + +########## Tcl recorder starts at 07/17/14 12:59:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 12:59:48 ########### + + +########## Tcl recorder starts at 07/17/14 13:01:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:01:00 ########### + + +########## Tcl recorder starts at 07/17/14 13:01:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:01:00 ########### + + +########## Tcl recorder starts at 07/17/14 13:02:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:02:58 ########### + + +########## Tcl recorder starts at 07/17/14 13:02:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:02:59 ########### + + +########## Tcl recorder starts at 07/17/14 13:06:52 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:06:52 ########### + + +########## Tcl recorder starts at 07/17/14 13:08:00 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:08:00 ########### + + +########## Tcl recorder starts at 07/17/14 13:08:38 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:08:38 ########### + + +########## Tcl recorder starts at 07/17/14 13:08:56 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:08:56 ########### + + +########## Tcl recorder starts at 07/17/14 13:12:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:12:26 ########### + + +########## Tcl recorder starts at 07/17/14 13:12:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 13:12:26 ########### + + +########## Tcl recorder starts at 07/17/14 20:17:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:17:03 ########### + + +########## Tcl recorder starts at 07/17/14 20:17:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:17:03 ########### + + +########## Tcl recorder starts at 07/17/14 20:24:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:24:28 ########### + + +########## Tcl recorder starts at 07/17/14 20:24:29 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:24:29 ########### + + +########## Tcl recorder starts at 07/17/14 20:24:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:24:53 ########### + + +########## Tcl recorder starts at 07/17/14 20:24:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:24:53 ########### + + +########## Tcl recorder starts at 07/17/14 20:42:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:42:51 ########### + + +########## Tcl recorder starts at 07/17/14 20:42:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:42:51 ########### + + +########## Tcl recorder starts at 07/17/14 20:49:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:49:24 ########### + + +########## Tcl recorder starts at 07/17/14 20:49:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:49:24 ########### + + +########## Tcl recorder starts at 07/17/14 20:50:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:50:50 ########### + + +########## Tcl recorder starts at 07/17/14 20:50:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:50:50 ########### + + +########## Tcl recorder starts at 07/17/14 20:51:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:51:46 ########### + + +########## Tcl recorder starts at 07/17/14 20:51:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:51:46 ########### + + +########## Tcl recorder starts at 07/17/14 20:52:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:52:01 ########### + + +########## Tcl recorder starts at 07/17/14 20:52:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:52:01 ########### + + +########## Tcl recorder starts at 07/17/14 20:52:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:52:55 ########### + + +########## Tcl recorder starts at 07/17/14 20:52:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 20:52:55 ########### + + +########## Tcl recorder starts at 07/17/14 21:02:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:02:17 ########### + + +########## Tcl recorder starts at 07/17/14 21:02:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:02:17 ########### + + +########## Tcl recorder starts at 07/17/14 21:44:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:44:22 ########### + + +########## Tcl recorder starts at 07/17/14 21:44:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:44:22 ########### + + +########## Tcl recorder starts at 07/17/14 21:47:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:47:19 ########### + + +########## Tcl recorder starts at 07/17/14 21:47:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:47:19 ########### + + +########## Tcl recorder starts at 07/17/14 21:48:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:48:23 ########### + + +########## Tcl recorder starts at 07/17/14 21:48:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:48:23 ########### + + +########## Tcl recorder starts at 07/17/14 21:49:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:49:51 ########### + + +########## Tcl recorder starts at 07/17/14 21:49:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:49:52 ########### + + +########## Tcl recorder starts at 07/17/14 21:52:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:52:03 ########### + + +########## Tcl recorder starts at 07/17/14 21:52:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:52:03 ########### + + +########## Tcl recorder starts at 07/17/14 21:53:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:53:35 ########### + + +########## Tcl recorder starts at 07/17/14 21:53:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 21:53:35 ########### + + +########## Tcl recorder starts at 07/17/14 22:05:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 22:05:12 ########### + + +########## Tcl recorder starts at 07/17/14 22:05:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/17/14 22:05:12 ########### + + +########## Tcl recorder starts at 07/18/14 12:58:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 12:58:15 ########### + + +########## Tcl recorder starts at 07/18/14 12:58:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 12:58:15 ########### + + +########## Tcl recorder starts at 07/18/14 13:00:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:00:08 ########### + + +########## Tcl recorder starts at 07/18/14 13:00:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:00:08 ########### + + +########## Tcl recorder starts at 07/18/14 13:00:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:00:27 ########### + + +########## Tcl recorder starts at 07/18/14 13:00:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:00:28 ########### + + +########## Tcl recorder starts at 07/18/14 13:04:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:04:17 ########### + + +########## Tcl recorder starts at 07/18/14 13:04:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:04:17 ########### + + +########## Tcl recorder starts at 07/18/14 13:06:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:06:28 ########### + + +########## Tcl recorder starts at 07/18/14 13:06:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:06:28 ########### + + +########## Tcl recorder starts at 07/18/14 13:08:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:08:22 ########### + + +########## Tcl recorder starts at 07/18/14 13:08:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:08:22 ########### + + +########## Tcl recorder starts at 07/18/14 13:10:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:10:06 ########### + + +########## Tcl recorder starts at 07/18/14 13:10:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:10:06 ########### + + +########## Tcl recorder starts at 07/18/14 13:10:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:10:27 ########### + + +########## Tcl recorder starts at 07/18/14 13:10:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:10:27 ########### + + +########## Tcl recorder starts at 07/18/14 13:13:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:13:18 ########### + + +########## Tcl recorder starts at 07/18/14 13:13:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:13:18 ########### + + +########## Tcl recorder starts at 07/18/14 13:14:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:14:17 ########### + + +########## Tcl recorder starts at 07/18/14 13:14:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:14:17 ########### + + +########## Tcl recorder starts at 07/18/14 13:17:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:17:10 ########### + + +########## Tcl recorder starts at 07/18/14 13:17:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:17:11 ########### + + +########## Tcl recorder starts at 07/18/14 13:18:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:18:14 ########### + + +########## Tcl recorder starts at 07/18/14 13:18:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:18:14 ########### + + +########## Tcl recorder starts at 07/18/14 13:25:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:25:24 ########### + + +########## Tcl recorder starts at 07/18/14 13:25:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:25:25 ########### + + +########## Tcl recorder starts at 07/18/14 13:26:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:26:44 ########### + + +########## Tcl recorder starts at 07/18/14 13:26:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:26:44 ########### + + +########## Tcl recorder starts at 07/18/14 13:32:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:32:54 ########### + + +########## Tcl recorder starts at 07/18/14 13:32:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:32:54 ########### + + +########## Tcl recorder starts at 07/18/14 13:35:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:35:10 ########### + + +########## Tcl recorder starts at 07/18/14 13:35:10 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:35:10 ########### + + +########## Tcl recorder starts at 07/18/14 13:37:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:37:00 ########### + + +########## Tcl recorder starts at 07/18/14 13:37:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:37:00 ########### + + +########## Tcl recorder starts at 07/18/14 13:38:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:38:52 ########### + + +########## Tcl recorder starts at 07/18/14 13:38:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:38:53 ########### + + +########## Tcl recorder starts at 07/18/14 13:39:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:39:53 ########### + + +########## Tcl recorder starts at 07/18/14 13:39:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:39:53 ########### + + +########## Tcl recorder starts at 07/18/14 13:40:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:40:41 ########### + + +########## Tcl recorder starts at 07/18/14 13:40:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:40:41 ########### + + +########## Tcl recorder starts at 07/18/14 13:41:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:41:55 ########### + + +########## Tcl recorder starts at 07/18/14 13:41:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:41:56 ########### + + +########## Tcl recorder starts at 07/18/14 13:43:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:43:27 ########### + + +########## Tcl recorder starts at 07/18/14 13:43:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:43:27 ########### + + +########## Tcl recorder starts at 07/18/14 13:58:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:58:30 ########### + + +########## Tcl recorder starts at 07/18/14 13:58:30 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 13:58:31 ########### + + +########## Tcl recorder starts at 07/18/14 14:02:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 14:02:26 ########### + + +########## Tcl recorder starts at 07/18/14 14:02:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 14:02:27 ########### + + +########## Tcl recorder starts at 07/18/14 14:03:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 14:03:49 ########### + + +########## Tcl recorder starts at 07/18/14 14:03:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 14:03:49 ########### + + +########## Tcl recorder starts at 07/18/14 14:04:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 14:04:35 ########### + + +########## Tcl recorder starts at 07/18/14 14:04:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 14:04:35 ########### + + +########## Tcl recorder starts at 07/18/14 14:05:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 14:05:25 ########### + + +########## Tcl recorder starts at 07/18/14 14:05:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/18/14 14:05:26 ########### + diff --git a/Logic/68030_tk.b2_ b/Logic/68030_tk.b2_ deleted file mode 100644 index 4ef6a67..0000000 --- a/Logic/68030_tk.b2_ +++ /dev/null @@ -1 +0,0 @@ - -collapse all -pterms 16 -nmax 32 -clust 5 -reduce bypin choose -xorsyn -dev M4A5_clk diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 deleted file mode 100644 index d2d1aa5..0000000 --- a/Logic/68030_tk.bl2 +++ /dev/null @@ -1,2199 +0,0 @@ -#$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sun Jun 22 21:24:20 2014 -#$ MODULE 68030_tk -#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 SIZE_0_ DS_030 \ -# A_30_ UDS_000 A_29_ LDS_000 A_28_ A0 A_27_ nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ BG_000 \ -# A_23_ BGACK_030 A_22_ BGACK_000 A_21_ CLK_030 A_20_ CLK_000 A_19_ CLK_OSZI A_18_ \ -# CLK_DIV_OUT A_17_ CLK_EXP A_16_ FPU_CS IPL_030_1_ DSACK1 IPL_030_0_ DTACK IPL_1_ AVEC \ -# IPL_0_ AVEC_EXP FC_0_ E VPA VMA RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ -# AMIGA_BUS_ENABLE_LOW CIIN -#$ NODES 489 DSACK1_INT_0_sqmuxa_i un1_bgack_030_int_d_0_1 N_99_i un12_ciin_1 \ -# DTACK_i un12_ciin_2 CLK_000_D0_i un12_ciin_3 a_i_19__n un12_ciin_4 a_i_16__n \ -# un12_ciin_5 inst_BGACK_030_INTreg a_i_18__n un12_ciin_6 vcc_n_n \ -# state_machine_un28_as_030_i_n un5_ciin_1 inst_avec_expreg \ -# AS_030_000_SYNC_0_sqmuxa_i un5_ciin_2 inst_VMA_INTreg clk_cnt_n_i_0__n un5_ciin_3 \ -# inst_AMIGA_BUS_ENABLE_INTreg RST_i un5_ciin_4 inst_CLK_OUT_PRE_33reg un5_ciin_5 \ -# inst_AS_030_000_SYNC un5_ciin_6 inst_BGACK_030_INT_D un5_ciin_7 inst_AS_000_DMA \ -# CLK_OSZI_i un5_ciin_8 inst_VPA_D un5_ciin_9 inst_CLK_OUT_PRE_50_D un16_ciin_i \ -# un5_ciin_10 CLK_CNT_N_0_ CLK_OUT_PRE_50_D_i un5_ciin_11 inst_CLK_OUT_PRE_50 \ -# AS_030_c amiga_bus_enable_int_0_un3_n inst_CLK_OUT_PRE_25 \ -# amiga_bus_enable_int_0_un1_n inst_CLK_000_D1 AS_000_c \ -# amiga_bus_enable_int_0_un0_n inst_CLK_000_D2 ds_000_dma_0_un3_n inst_CLK_000_D3 \ -# RW_000_c ds_000_dma_0_un1_n inst_CLK_000_D0 ds_000_dma_0_un0_n inst_CLK_000_NE \ -# DS_030_c as_000_dma_0_un3_n inst_CLK_OUT_PRE_D as_000_dma_0_un1_n inst_CLK_OUT_PRE \ -# UDS_000_c as_000_dma_0_un0_n CLK_000_P_SYNC_9_ cpu_estse_2_un3_n \ -# CLK_000_N_SYNC_11_ LDS_000_c cpu_estse_2_un1_n inst_AS_000_INT cpu_estse_2_un0_n \ -# SM_AMIGA_7_ size_c_0__n cpu_estse_1_un3_n SM_AMIGA_6_ cpu_estse_1_un1_n SM_AMIGA_1_ \ -# size_c_1__n cpu_estse_1_un0_n SM_AMIGA_0_ cpu_estse_0_un3_n SM_AMIGA_4_ a_c_16__n \ -# cpu_estse_0_un1_n CLK_000_N_SYNC_6_ cpu_estse_0_un0_n inst_CLK_030_H a_c_17__n \ -# vma_int_0_un3_n CLK_CNT_P_1_ vma_int_0_un1_n CLK_CNT_N_1_ a_c_18__n vma_int_0_un0_n \ -# inst_RW_000_INT clk_030_h_0_un3_n inst_DSACK1_INT a_c_19__n clk_030_h_0_un1_n \ -# state_machine_un3_clk_out_pre_50_n clk_030_h_0_un0_n \ -# state_machine_un4_bgack_000_n a_c_20__n rw_000_dma_0_un3_n CLK_CNT_P_0_ \ -# rw_000_dma_0_un1_n inst_RW_000_DMA a_c_21__n rw_000_dma_0_un0_n un1_LDS_000_INT \ -# rw_000_int_0_un3_n inst_LDS_000_INT a_c_22__n rw_000_int_0_un1_n \ -# inst_DS_000_ENABLE rw_000_int_0_un0_n un1_UDS_000_INT a_c_23__n ipl_030_0_2__un3_n \ -# inst_UDS_000_INT ipl_030_0_2__un1_n a_c_24__n ipl_030_0_2__un0_n \ -# ipl_030_0_1__un3_n a_c_25__n ipl_030_0_1__un1_n inst_DS_000_DMA ipl_030_0_1__un0_n \ -# SIZE_DMA_0_ a_c_26__n ipl_030_0_0__un3_n SIZE_DMA_1_ ipl_030_0_0__un1_n inst_A0_DMA \ -# a_c_27__n ipl_030_0_0__un0_n G_109 as_030_000_sync_0_un3_n G_115 a_c_28__n \ -# as_030_000_sync_0_un1_n CLK_000_P_SYNC_0_ as_030_000_sync_0_un0_n \ -# CLK_000_P_SYNC_1_ a_c_29__n as_000_int_0_un3_n CLK_000_P_SYNC_2_ \ -# as_000_int_0_un1_n CLK_000_P_SYNC_3_ a_c_30__n as_000_int_0_un0_n \ -# CLK_000_P_SYNC_4_ ds_000_enable_0_un3_n CLK_000_P_SYNC_5_ a_c_31__n \ -# ds_000_enable_0_un1_n CLK_000_P_SYNC_6_ ds_000_enable_0_un0_n CLK_000_P_SYNC_7_ \ -# A0_c dsack1_int_0_un3_n CLK_000_P_SYNC_8_ dsack1_int_0_un1_n CLK_000_N_SYNC_0_ \ -# nEXP_SPACE_c dsack1_int_0_un0_n CLK_000_N_SYNC_1_ bg_000_0_un3_n CLK_000_N_SYNC_2_ \ -# BERR_c bg_000_0_un1_n CLK_000_N_SYNC_3_ bg_000_0_un0_n CLK_000_N_SYNC_4_ BG_030_c \ -# lds_000_int_0_un3_n CLK_000_N_SYNC_5_ lds_000_int_0_un1_n CLK_000_N_SYNC_7_ \ -# BG_000DFFSHreg lds_000_int_0_un0_n CLK_000_N_SYNC_8_ uds_000_int_0_un3_n \ -# CLK_000_N_SYNC_9_ uds_000_int_0_un1_n CLK_000_N_SYNC_10_ BGACK_000_c \ -# uds_000_int_0_un0_n state_machine_un1_as_030_n bgack_030_int_0_un3_n \ -# un1_SM_AMIGA_0_sqmuxa_2 CLK_030_c bgack_030_int_0_un1_n \ -# state_machine_un8_bg_030_n bgack_030_int_0_un0_n un1_AS_030_000_SYNC_0_sqmuxa_1 \ -# CLK_000_c un2_as_030 AS_000_INT_1_sqmuxa CLK_OSZI_c DSACK1_INT_1_sqmuxa un19_fpu_cs \ -# un5_ciin CLK_OUT_INTreg SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ IPL_030DFFSH_0_reg \ -# IPL_030DFFSH_1_reg un16_ciin IPL_030DFFSH_2_reg ipl_c_0__n ipl_c_1__n ipl_c_2__n \ -# DSACK1_c DTACK_c CLK_OUT_PRE_25_0 VPA_c RST_c RESETDFFRHreg RW_c fc_c_0__n fc_c_1__n \ -# cpu_est_0_ cpu_est_1_ cpu_est_2_ AMIGA_BUS_DATA_DIR_c cpu_est_3_reg cpu_estse \ -# un8_ciin_i un14_ciin_0 un6_clk_pre_66 state_machine_un1_as_030_i_n un2_clk_pre_66 \ -# N_105_i un19_fpu_cs_5 N_106_i AS_030_000_SYNC_0_sqmuxa sm_amiga_ns_0_1__n \ -# state_machine_un28_as_030_n N_107_i DSACK1_INT_0_sqmuxa sm_amiga_ns_0_2__n \ -# state_machine_un5_clk_000_n_sync_n N_109_i state_machine_un9_clk_000_ne_n N_108_i \ -# state_machine_un6_bg_030_n sm_amiga_ns_0_3__n N_99 N_111_i \ -# state_machine_un11_clk_000_ne_n N_112_i state_machine_un15_clk_000_ne_n \ -# sm_amiga_ns_0_5__n SM_AMIGA_0_sqmuxa_1 N_114_i state_machine_un15_clk_000_ne_1_n \ -# N_113_i DS_000_ENABLE_0_sqmuxa sm_amiga_ns_0_6__n SM_AMIGA_0_sqmuxa N_91_i N_75 \ -# N_92_i state_machine_rw_000_int_3_n sm_amiga_i_5__n N_179_1 N_93_i N_179 N_95_0 N_178 \ -# un3_dtack_i cpu_est_ns_2__n state_machine_un3_bgack_030_int_d_i_n N_172 \ -# un1_bgack_030_int_d_0 N_171 AMIGA_BUS_ENABLE_INT_3_sqmuxa_i \ -# state_machine_un26_clk_000_pe_2_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i \ -# state_machine_un26_clk_000_pe_n sm_amiga_i_6__n \ -# state_machine_un26_clk_000_pe_4_n N_96_i state_machine_un5_clk_000_ne_n \ -# sm_amiga_i_2__n N_169 sm_amiga_i_4__n N_175 N_104_i N_167 N_102_i N_168 N_101_i N_173 \ -# N_103_i N_174 N_100_i cpu_est_ns_1__n N_115_i state_machine_un28_clk_000_pe_n \ -# state_machine_clk_030_h_2_n SM_AMIGA_0_sqmuxa_i DS_000_DMA_1_sqmuxa_1 N_110_i \ -# AS_000_DMA_1_sqmuxa sm_amiga_ns_0_4__n CLK_030_H_1_sqmuxa_1 sm_amiga_ns_0_0__n \ -# DS_000_DMA_1_sqmuxa AMIGA_BUS_ENABLE_INT_2_sqmuxa_i \ -# state_machine_un8_bgack_030_int_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i \ -# state_machine_un24_bgack_030_int_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 \ -# state_machine_un10_bgack_030_int_n state_machine_un5_bgack_030_int_d_i_n \ -# state_machine_un31_bgack_030_int_n CLK_030_H_i state_machine_clk_030_h_2_f1_n \ -# CLK_030_H_1_sqmuxa_i CLK_030_H_1_sqmuxa state_machine_clk_030_h_2_f1_0_n \ -# un1_bgack_030_int_d state_machine_size_dma_4_0_1__n \ -# un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_size_dma_4_0_0__n \ -# state_machine_un3_bgack_030_int_d_n state_machine_un10_bgack_030_int_0_n \ -# AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 state_machine_un26_clk_000_pe_i_n \ -# AMIGA_BUS_ENABLE_INT_3_sqmuxa state_machine_un5_clk_000_ne_i_n N_98 \ -# state_machine_un28_clk_000_pe_0_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 \ -# cpu_est_ns_0_1__n AMIGA_BUS_ENABLE_INT_2_sqmuxa N_174_i N_103 N_173_i N_91 N_164_i \ -# N_105 N_168_i N_115 N_167_i N_95 N_175_i N_110 N_169_i N_100 \ -# state_machine_un26_clk_000_pe_2_i_n N_102 N_171_i N_101 N_172_i N_104 \ -# cpu_est_ns_0_2__n N_96 N_179_i N_92 N_178_i N_93 AMIGA_BUS_DATA_DIR_c_0 N_107 \ -# DS_000_ENABLE_0_sqmuxa_i N_114_1 un1_SM_AMIGA_0_sqmuxa_2_i N_114 \ -# state_machine_rw_000_int_3_0_n N_113 N_75_0 N_111 state_machine_un9_clk_000_ne_i_n \ -# N_112 state_machine_un15_clk_000_ne_i_n N_108 state_machine_un11_clk_000_ne_i_n \ -# N_109 BG_030_c_i N_106 state_machine_un6_bg_030_i_n un12_ciin \ -# state_machine_un8_bg_030_0_n un14_ciin state_machine_un4_bgack_000_0_n un8_ciin \ -# un2_clk_pre_66_i un19_fpu_cs_i un6_clk_pre_66_i AS_030_i CLK_PRE_66_0 un12_ciin_i \ -# LDS_000_INT_i nEXP_SPACE_i un1_LDS_000_INT_0 un5_ciin_i UDS_000_INT_i a_i_24__n \ -# un1_UDS_000_INT_0 a_i_25__n state_machine_un7_ds_030_i_n a_i_26__n A0_c_i a_i_27__n \ -# size_c_i_1__n a_i_28__n cpu_est_ns_0_1_2__n a_i_29__n sm_amiga_ns_0_1_0__n \ -# a_i_30__n sm_amiga_ns_0_2_0__n a_i_31__n sm_amiga_ns_0_3_0__n BERR_i un3_dtack_i_1 \ -# CLK_000_NE_i state_machine_un7_ds_030_i_1_n sm_amiga_i_1__n un19_fpu_cs_5_1 \ -# sm_amiga_i_3__n un19_fpu_cs_5_2 sm_amiga_i_0__n un19_fpu_cs_1 \ -# SM_AMIGA_0_sqmuxa_1_i un19_fpu_cs_2 avec_exp_i un19_fpu_cs_3 sm_amiga_i_7__n \ -# AS_030_000_SYNC_0_sqmuxa_1 N_98_i AS_030_000_SYNC_0_sqmuxa_2 BGACK_030_INT_i \ -# state_machine_un28_as_030_1_n BGACK_030_INT_D_i state_machine_un28_as_030_2_n \ -# state_machine_un24_bgack_030_int_i_n state_machine_un15_clk_000_ne_1_0_n \ -# RW_000_i state_machine_un6_bg_030_1_n AS_000_i \ -# state_machine_clk_000_p_sync_3_1_0__n AS_000_DMA_i \ -# state_machine_clk_000_p_sync_3_2_0__n CLK_030_i \ -# state_machine_clk_000_n_sync_2_1_0__n state_machine_un8_bgack_030_int_i_n \ -# SM_AMIGA_0_sqmuxa_1_1 state_machine_un31_bgack_030_int_i_n \ -# DS_000_ENABLE_0_sqmuxa_1 UDS_000_i N_179_1_0 LDS_000_i \ -# state_machine_un26_clk_000_pe_1_n CLK_030_H_1_sqmuxa_1_i \ -# state_machine_un26_clk_000_pe_4_1_n DS_000_DMA_1_sqmuxa_1_i \ -# state_machine_un5_clk_000_ne_1_n cpu_est_i_3__n state_machine_un5_clk_000_ne_2_n \ -# cpu_est_i_2__n cpu_est_ns_0_1_1__n cpu_est_i_1__n cpu_est_ns_0_2_1__n \ -# cpu_est_i_0__n AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 \ -# state_machine_un15_clk_000_ne_1_i_n AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i \ -# N_96_i_1 RW_i N_110_1 AS_030_000_SYNC_i N_100_1 CLK_000_D2_i N_101_1 CLK_000_D3_i \ -# N_102_1 CLK_000_D1_i N_104_1 VMA_INT_i N_104_2 VPA_i N_104_3 -.model bus68030 -.inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF \ -BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF VPA.BLIF \ -RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF \ -A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ -A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF SIZE_1_.BLIF \ -AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF \ -A0.BLIF DSACK1.BLIF DTACK.BLIF RW.BLIF SIZE_0_.BLIF DSACK1_INT_0_sqmuxa_i.BLIF \ -un1_bgack_030_int_d_0_1.BLIF N_99_i.BLIF un12_ciin_1.BLIF DTACK_i.BLIF \ -un12_ciin_2.BLIF CLK_000_D0_i.BLIF un12_ciin_3.BLIF a_i_19__n.BLIF \ -un12_ciin_4.BLIF a_i_16__n.BLIF un12_ciin_5.BLIF inst_BGACK_030_INTreg.BLIF \ -a_i_18__n.BLIF un12_ciin_6.BLIF vcc_n_n.BLIF \ -state_machine_un28_as_030_i_n.BLIF un5_ciin_1.BLIF inst_avec_expreg.BLIF \ -AS_030_000_SYNC_0_sqmuxa_i.BLIF un5_ciin_2.BLIF inst_VMA_INTreg.BLIF \ -clk_cnt_n_i_0__n.BLIF un5_ciin_3.BLIF inst_AMIGA_BUS_ENABLE_INTreg.BLIF \ -RST_i.BLIF un5_ciin_4.BLIF inst_CLK_OUT_PRE_33reg.BLIF un5_ciin_5.BLIF \ -inst_AS_030_000_SYNC.BLIF un5_ciin_6.BLIF inst_BGACK_030_INT_D.BLIF \ -un5_ciin_7.BLIF inst_AS_000_DMA.BLIF CLK_OSZI_i.BLIF un5_ciin_8.BLIF \ -inst_VPA_D.BLIF un5_ciin_9.BLIF inst_CLK_OUT_PRE_50_D.BLIF un16_ciin_i.BLIF \ -un5_ciin_10.BLIF CLK_CNT_N_0_.BLIF CLK_OUT_PRE_50_D_i.BLIF un5_ciin_11.BLIF \ -inst_CLK_OUT_PRE_50.BLIF AS_030_c.BLIF amiga_bus_enable_int_0_un3_n.BLIF \ -inst_CLK_OUT_PRE_25.BLIF amiga_bus_enable_int_0_un1_n.BLIF \ -inst_CLK_000_D1.BLIF AS_000_c.BLIF amiga_bus_enable_int_0_un0_n.BLIF \ -inst_CLK_000_D2.BLIF ds_000_dma_0_un3_n.BLIF inst_CLK_000_D3.BLIF \ -RW_000_c.BLIF ds_000_dma_0_un1_n.BLIF inst_CLK_000_D0.BLIF \ -ds_000_dma_0_un0_n.BLIF inst_CLK_000_NE.BLIF DS_030_c.BLIF \ -as_000_dma_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF as_000_dma_0_un1_n.BLIF \ -inst_CLK_OUT_PRE.BLIF UDS_000_c.BLIF as_000_dma_0_un0_n.BLIF \ -CLK_000_P_SYNC_9_.BLIF cpu_estse_2_un3_n.BLIF CLK_000_N_SYNC_11_.BLIF \ -LDS_000_c.BLIF cpu_estse_2_un1_n.BLIF inst_AS_000_INT.BLIF \ -cpu_estse_2_un0_n.BLIF SM_AMIGA_7_.BLIF size_c_0__n.BLIF \ -cpu_estse_1_un3_n.BLIF SM_AMIGA_6_.BLIF cpu_estse_1_un1_n.BLIF \ -SM_AMIGA_1_.BLIF size_c_1__n.BLIF cpu_estse_1_un0_n.BLIF SM_AMIGA_0_.BLIF \ -cpu_estse_0_un3_n.BLIF SM_AMIGA_4_.BLIF a_c_16__n.BLIF cpu_estse_0_un1_n.BLIF \ -CLK_000_N_SYNC_6_.BLIF cpu_estse_0_un0_n.BLIF inst_CLK_030_H.BLIF \ -a_c_17__n.BLIF vma_int_0_un3_n.BLIF CLK_CNT_P_1_.BLIF vma_int_0_un1_n.BLIF \ -CLK_CNT_N_1_.BLIF a_c_18__n.BLIF vma_int_0_un0_n.BLIF inst_RW_000_INT.BLIF \ -clk_030_h_0_un3_n.BLIF inst_DSACK1_INT.BLIF a_c_19__n.BLIF \ -clk_030_h_0_un1_n.BLIF state_machine_un3_clk_out_pre_50_n.BLIF \ -clk_030_h_0_un0_n.BLIF state_machine_un4_bgack_000_n.BLIF a_c_20__n.BLIF \ -rw_000_dma_0_un3_n.BLIF CLK_CNT_P_0_.BLIF rw_000_dma_0_un1_n.BLIF \ -inst_RW_000_DMA.BLIF a_c_21__n.BLIF rw_000_dma_0_un0_n.BLIF \ -un1_LDS_000_INT.BLIF rw_000_int_0_un3_n.BLIF inst_LDS_000_INT.BLIF \ -a_c_22__n.BLIF rw_000_int_0_un1_n.BLIF inst_DS_000_ENABLE.BLIF \ -rw_000_int_0_un0_n.BLIF un1_UDS_000_INT.BLIF a_c_23__n.BLIF \ -ipl_030_0_2__un3_n.BLIF inst_UDS_000_INT.BLIF ipl_030_0_2__un1_n.BLIF \ -a_c_24__n.BLIF ipl_030_0_2__un0_n.BLIF ipl_030_0_1__un3_n.BLIF a_c_25__n.BLIF \ -ipl_030_0_1__un1_n.BLIF inst_DS_000_DMA.BLIF ipl_030_0_1__un0_n.BLIF \ -SIZE_DMA_0_.BLIF a_c_26__n.BLIF ipl_030_0_0__un3_n.BLIF SIZE_DMA_1_.BLIF \ -ipl_030_0_0__un1_n.BLIF inst_A0_DMA.BLIF a_c_27__n.BLIF \ -ipl_030_0_0__un0_n.BLIF G_109.BLIF as_030_000_sync_0_un3_n.BLIF G_115.BLIF \ -a_c_28__n.BLIF as_030_000_sync_0_un1_n.BLIF CLK_000_P_SYNC_0_.BLIF \ -as_030_000_sync_0_un0_n.BLIF CLK_000_P_SYNC_1_.BLIF a_c_29__n.BLIF \ -as_000_int_0_un3_n.BLIF CLK_000_P_SYNC_2_.BLIF as_000_int_0_un1_n.BLIF \ -CLK_000_P_SYNC_3_.BLIF a_c_30__n.BLIF as_000_int_0_un0_n.BLIF \ -CLK_000_P_SYNC_4_.BLIF ds_000_enable_0_un3_n.BLIF CLK_000_P_SYNC_5_.BLIF \ -a_c_31__n.BLIF ds_000_enable_0_un1_n.BLIF CLK_000_P_SYNC_6_.BLIF \ -ds_000_enable_0_un0_n.BLIF CLK_000_P_SYNC_7_.BLIF A0_c.BLIF \ -dsack1_int_0_un3_n.BLIF CLK_000_P_SYNC_8_.BLIF dsack1_int_0_un1_n.BLIF \ -CLK_000_N_SYNC_0_.BLIF nEXP_SPACE_c.BLIF dsack1_int_0_un0_n.BLIF \ -CLK_000_N_SYNC_1_.BLIF bg_000_0_un3_n.BLIF CLK_000_N_SYNC_2_.BLIF BERR_c.BLIF \ -bg_000_0_un1_n.BLIF CLK_000_N_SYNC_3_.BLIF bg_000_0_un0_n.BLIF \ -CLK_000_N_SYNC_4_.BLIF BG_030_c.BLIF lds_000_int_0_un3_n.BLIF \ -CLK_000_N_SYNC_5_.BLIF lds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_7_.BLIF \ -BG_000DFFSHreg.BLIF lds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_8_.BLIF \ -uds_000_int_0_un3_n.BLIF CLK_000_N_SYNC_9_.BLIF uds_000_int_0_un1_n.BLIF \ -CLK_000_N_SYNC_10_.BLIF BGACK_000_c.BLIF uds_000_int_0_un0_n.BLIF \ -state_machine_un1_as_030_n.BLIF bgack_030_int_0_un3_n.BLIF \ -un1_SM_AMIGA_0_sqmuxa_2.BLIF CLK_030_c.BLIF bgack_030_int_0_un1_n.BLIF \ -state_machine_un8_bg_030_n.BLIF bgack_030_int_0_un0_n.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF CLK_000_c.BLIF un2_as_030.BLIF \ -AS_000_INT_1_sqmuxa.BLIF CLK_OSZI_c.BLIF DSACK1_INT_1_sqmuxa.BLIF \ -un19_fpu_cs.BLIF un5_ciin.BLIF CLK_OUT_INTreg.BLIF SM_AMIGA_5_.BLIF \ -SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF IPL_030DFFSH_0_reg.BLIF \ -IPL_030DFFSH_1_reg.BLIF un16_ciin.BLIF IPL_030DFFSH_2_reg.BLIF ipl_c_0__n.BLIF \ -ipl_c_1__n.BLIF ipl_c_2__n.BLIF DSACK1_c.BLIF DTACK_c.BLIF \ -CLK_OUT_PRE_25_0.BLIF VPA_c.BLIF RST_c.BLIF RESETDFFRHreg.BLIF RW_c.BLIF \ -fc_c_0__n.BLIF fc_c_1__n.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -AMIGA_BUS_DATA_DIR_c.BLIF cpu_est_3_reg.BLIF cpu_estse.BLIF un8_ciin_i.BLIF \ -un14_ciin_0.BLIF un6_clk_pre_66.BLIF state_machine_un1_as_030_i_n.BLIF \ -un2_clk_pre_66.BLIF N_105_i.BLIF un19_fpu_cs_5.BLIF N_106_i.BLIF \ -AS_030_000_SYNC_0_sqmuxa.BLIF sm_amiga_ns_0_1__n.BLIF \ -state_machine_un28_as_030_n.BLIF N_107_i.BLIF DSACK1_INT_0_sqmuxa.BLIF \ -sm_amiga_ns_0_2__n.BLIF state_machine_un5_clk_000_n_sync_n.BLIF N_109_i.BLIF \ -state_machine_un9_clk_000_ne_n.BLIF N_108_i.BLIF \ -state_machine_un6_bg_030_n.BLIF sm_amiga_ns_0_3__n.BLIF N_99.BLIF N_111_i.BLIF \ -state_machine_un11_clk_000_ne_n.BLIF N_112_i.BLIF \ -state_machine_un15_clk_000_ne_n.BLIF sm_amiga_ns_0_5__n.BLIF \ -SM_AMIGA_0_sqmuxa_1.BLIF N_114_i.BLIF state_machine_un15_clk_000_ne_1_n.BLIF \ -N_113_i.BLIF DS_000_ENABLE_0_sqmuxa.BLIF sm_amiga_ns_0_6__n.BLIF \ -SM_AMIGA_0_sqmuxa.BLIF N_91_i.BLIF N_75.BLIF N_92_i.BLIF \ -state_machine_rw_000_int_3_n.BLIF sm_amiga_i_5__n.BLIF N_179_1.BLIF \ -N_93_i.BLIF N_179.BLIF N_95_0.BLIF N_178.BLIF un3_dtack_i.BLIF \ -cpu_est_ns_2__n.BLIF state_machine_un3_bgack_030_int_d_i_n.BLIF N_172.BLIF \ -un1_bgack_030_int_d_0.BLIF N_171.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ -state_machine_un26_clk_000_pe_2_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF \ -state_machine_un26_clk_000_pe_n.BLIF sm_amiga_i_6__n.BLIF \ -state_machine_un26_clk_000_pe_4_n.BLIF N_96_i.BLIF \ -state_machine_un5_clk_000_ne_n.BLIF sm_amiga_i_2__n.BLIF N_169.BLIF \ -sm_amiga_i_4__n.BLIF N_175.BLIF N_104_i.BLIF N_167.BLIF N_102_i.BLIF \ -N_168.BLIF N_101_i.BLIF N_173.BLIF N_103_i.BLIF N_174.BLIF N_100_i.BLIF \ -cpu_est_ns_1__n.BLIF N_115_i.BLIF state_machine_un28_clk_000_pe_n.BLIF \ -state_machine_clk_030_h_2_n.BLIF SM_AMIGA_0_sqmuxa_i.BLIF \ -DS_000_DMA_1_sqmuxa_1.BLIF N_110_i.BLIF AS_000_DMA_1_sqmuxa.BLIF \ -sm_amiga_ns_0_4__n.BLIF CLK_030_H_1_sqmuxa_1.BLIF sm_amiga_ns_0_0__n.BLIF \ -DS_000_DMA_1_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF \ -state_machine_un8_bgack_030_int_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ -state_machine_un24_bgack_030_int_n.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ -state_machine_un10_bgack_030_int_n.BLIF \ -state_machine_un5_bgack_030_int_d_i_n.BLIF \ -state_machine_un31_bgack_030_int_n.BLIF CLK_030_H_i.BLIF \ -state_machine_clk_030_h_2_f1_n.BLIF CLK_030_H_1_sqmuxa_i.BLIF \ -CLK_030_H_1_sqmuxa.BLIF state_machine_clk_030_h_2_f1_0_n.BLIF \ -un1_bgack_030_int_d.BLIF state_machine_size_dma_4_0_1__n.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF state_machine_size_dma_4_0_0__n.BLIF \ -state_machine_un3_bgack_030_int_d_n.BLIF \ -state_machine_un10_bgack_030_int_0_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF \ -state_machine_un26_clk_000_pe_i_n.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF \ -state_machine_un5_clk_000_ne_i_n.BLIF N_98.BLIF \ -state_machine_un28_clk_000_pe_0_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF \ -cpu_est_ns_0_1__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF N_174_i.BLIF \ -N_103.BLIF N_173_i.BLIF N_91.BLIF N_164_i.BLIF N_105.BLIF N_168_i.BLIF \ -N_115.BLIF N_167_i.BLIF N_95.BLIF N_175_i.BLIF N_110.BLIF N_169_i.BLIF \ -N_100.BLIF state_machine_un26_clk_000_pe_2_i_n.BLIF N_102.BLIF N_171_i.BLIF \ -N_101.BLIF N_172_i.BLIF N_104.BLIF cpu_est_ns_0_2__n.BLIF N_96.BLIF \ -N_179_i.BLIF N_92.BLIF N_178_i.BLIF N_93.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ -N_107.BLIF DS_000_ENABLE_0_sqmuxa_i.BLIF N_114_1.BLIF \ -un1_SM_AMIGA_0_sqmuxa_2_i.BLIF N_114.BLIF state_machine_rw_000_int_3_0_n.BLIF \ -N_113.BLIF N_75_0.BLIF N_111.BLIF state_machine_un9_clk_000_ne_i_n.BLIF \ -N_112.BLIF state_machine_un15_clk_000_ne_i_n.BLIF N_108.BLIF \ -state_machine_un11_clk_000_ne_i_n.BLIF N_109.BLIF BG_030_c_i.BLIF N_106.BLIF \ -state_machine_un6_bg_030_i_n.BLIF un12_ciin.BLIF \ -state_machine_un8_bg_030_0_n.BLIF un14_ciin.BLIF \ -state_machine_un4_bgack_000_0_n.BLIF un8_ciin.BLIF un2_clk_pre_66_i.BLIF \ -un19_fpu_cs_i.BLIF un6_clk_pre_66_i.BLIF AS_030_i.BLIF CLK_PRE_66_0.BLIF \ -un12_ciin_i.BLIF LDS_000_INT_i.BLIF nEXP_SPACE_i.BLIF un1_LDS_000_INT_0.BLIF \ -un5_ciin_i.BLIF UDS_000_INT_i.BLIF a_i_24__n.BLIF un1_UDS_000_INT_0.BLIF \ -a_i_25__n.BLIF state_machine_un7_ds_030_i_n.BLIF a_i_26__n.BLIF A0_c_i.BLIF \ -a_i_27__n.BLIF size_c_i_1__n.BLIF a_i_28__n.BLIF cpu_est_ns_0_1_2__n.BLIF \ -a_i_29__n.BLIF sm_amiga_ns_0_1_0__n.BLIF a_i_30__n.BLIF \ -sm_amiga_ns_0_2_0__n.BLIF a_i_31__n.BLIF sm_amiga_ns_0_3_0__n.BLIF BERR_i.BLIF \ -un3_dtack_i_1.BLIF CLK_000_NE_i.BLIF state_machine_un7_ds_030_i_1_n.BLIF \ -sm_amiga_i_1__n.BLIF un19_fpu_cs_5_1.BLIF sm_amiga_i_3__n.BLIF \ -un19_fpu_cs_5_2.BLIF sm_amiga_i_0__n.BLIF un19_fpu_cs_1.BLIF \ -SM_AMIGA_0_sqmuxa_1_i.BLIF un19_fpu_cs_2.BLIF avec_exp_i.BLIF \ -un19_fpu_cs_3.BLIF sm_amiga_i_7__n.BLIF AS_030_000_SYNC_0_sqmuxa_1.BLIF \ -N_98_i.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF BGACK_030_INT_i.BLIF \ -state_machine_un28_as_030_1_n.BLIF BGACK_030_INT_D_i.BLIF \ -state_machine_un28_as_030_2_n.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ -state_machine_un15_clk_000_ne_1_0_n.BLIF RW_000_i.BLIF \ -state_machine_un6_bg_030_1_n.BLIF AS_000_i.BLIF \ -state_machine_clk_000_p_sync_3_1_0__n.BLIF AS_000_DMA_i.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n.BLIF CLK_030_i.BLIF \ -state_machine_clk_000_n_sync_2_1_0__n.BLIF \ -state_machine_un8_bgack_030_int_i_n.BLIF SM_AMIGA_0_sqmuxa_1_1.BLIF \ -state_machine_un31_bgack_030_int_i_n.BLIF DS_000_ENABLE_0_sqmuxa_1.BLIF \ -UDS_000_i.BLIF N_179_1_0.BLIF LDS_000_i.BLIF \ -state_machine_un26_clk_000_pe_1_n.BLIF CLK_030_H_1_sqmuxa_1_i.BLIF \ -state_machine_un26_clk_000_pe_4_1_n.BLIF DS_000_DMA_1_sqmuxa_1_i.BLIF \ -state_machine_un5_clk_000_ne_1_n.BLIF cpu_est_i_3__n.BLIF \ -state_machine_un5_clk_000_ne_2_n.BLIF cpu_est_i_2__n.BLIF \ -cpu_est_ns_0_1_1__n.BLIF cpu_est_i_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF \ -cpu_est_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ -state_machine_un15_clk_000_ne_1_i_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF \ -VPA_D_i.BLIF N_96_i_1.BLIF RW_i.BLIF N_110_1.BLIF AS_030_000_SYNC_i.BLIF \ -N_100_1.BLIF CLK_000_D2_i.BLIF N_101_1.BLIF CLK_000_D3_i.BLIF N_102_1.BLIF \ -CLK_000_D1_i.BLIF N_104_1.BLIF VMA_INT_i.BLIF N_104_2.BLIF VPA_i.BLIF \ -N_104_3.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF DS_030.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ -A0.PIN.BLIF DSACK1.PIN.BLIF DTACK.PIN.BLIF RW.PIN.BLIF -.outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC AVEC_EXP \ -E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ -IPL_030_1_ IPL_030_0_ cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D \ -cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR \ -cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR IPL_030DFFSH_0_reg.D \ -IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D \ -IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D \ -IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C \ -SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR \ -SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ -SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D \ -SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ -CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ -CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C \ -CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C \ -CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ -CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C \ -CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR \ -CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ -CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_DMA_0_.D \ -SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D SIZE_DMA_1_.C SIZE_DMA_1_.AP \ -CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR \ -CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR \ -CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR \ -CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR \ -CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR \ -CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR \ -CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR \ -CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR \ -CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR inst_RW_000_INT.D \ -inst_RW_000_INT.C inst_RW_000_INT.AP inst_VMA_INTreg.D inst_VMA_INTreg.C \ -inst_VMA_INTreg.AP inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C \ -inst_CLK_OUT_PRE_25.AR inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ -inst_BGACK_030_INTreg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ -inst_AS_030_000_SYNC.AP inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_000_INT.AP \ -inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR \ -inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP BG_000DFFSHreg.D \ -BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_LDS_000_INT.AP inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP \ -inst_A0_DMA.D inst_A0_DMA.C inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_DMA.AP inst_DS_000_DMA.D \ -inst_DS_000_DMA.C inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C \ -inst_AS_000_DMA.AP inst_AMIGA_BUS_ENABLE_INTreg.D \ -inst_AMIGA_BUS_ENABLE_INTreg.C inst_AMIGA_BUS_ENABLE_INTreg.AP \ -inst_CLK_OUT_PRE_33reg.D inst_CLK_OUT_PRE_33reg.C inst_CLK_OUT_PRE_33reg.AR \ -inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D \ -inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.D inst_CLK_000_D2.C \ -inst_CLK_000_D2.AP inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_OUT_PRE_50.AR RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ -CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_CLK_000_D1.D \ -inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.D \ -inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.D \ -inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.D \ -inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C \ -inst_CLK_000_D0.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_avec_expreg.D \ -inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_000_NE.D inst_CLK_000_NE.C \ -inst_CLK_000_NE.AR SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 \ -DSACK1 DTACK RW SIZE_0_ DSACK1_INT_0_sqmuxa_i un1_bgack_030_int_d_0_1 N_99_i \ -un12_ciin_1 DTACK_i un12_ciin_2 CLK_000_D0_i un12_ciin_3 a_i_19__n un12_ciin_4 \ -a_i_16__n un12_ciin_5 a_i_18__n un12_ciin_6 vcc_n_n \ -state_machine_un28_as_030_i_n un5_ciin_1 AS_030_000_SYNC_0_sqmuxa_i un5_ciin_2 \ -clk_cnt_n_i_0__n un5_ciin_3 RST_i un5_ciin_4 un5_ciin_5 un5_ciin_6 un5_ciin_7 \ -CLK_OSZI_i un5_ciin_8 un5_ciin_9 un16_ciin_i un5_ciin_10 CLK_OUT_PRE_50_D_i \ -un5_ciin_11 AS_030_c amiga_bus_enable_int_0_un3_n amiga_bus_enable_int_0_un1_n \ -AS_000_c amiga_bus_enable_int_0_un0_n ds_000_dma_0_un3_n RW_000_c \ -ds_000_dma_0_un1_n ds_000_dma_0_un0_n DS_030_c as_000_dma_0_un3_n \ -as_000_dma_0_un1_n UDS_000_c as_000_dma_0_un0_n cpu_estse_2_un3_n LDS_000_c \ -cpu_estse_2_un1_n cpu_estse_2_un0_n size_c_0__n cpu_estse_1_un3_n \ -cpu_estse_1_un1_n size_c_1__n cpu_estse_1_un0_n cpu_estse_0_un3_n a_c_16__n \ -cpu_estse_0_un1_n cpu_estse_0_un0_n a_c_17__n vma_int_0_un3_n vma_int_0_un1_n \ -a_c_18__n vma_int_0_un0_n clk_030_h_0_un3_n a_c_19__n clk_030_h_0_un1_n \ -state_machine_un3_clk_out_pre_50_n clk_030_h_0_un0_n \ -state_machine_un4_bgack_000_n a_c_20__n rw_000_dma_0_un3_n rw_000_dma_0_un1_n \ -a_c_21__n rw_000_dma_0_un0_n un1_LDS_000_INT rw_000_int_0_un3_n a_c_22__n \ -rw_000_int_0_un1_n rw_000_int_0_un0_n un1_UDS_000_INT a_c_23__n \ -ipl_030_0_2__un3_n ipl_030_0_2__un1_n a_c_24__n ipl_030_0_2__un0_n \ -ipl_030_0_1__un3_n a_c_25__n ipl_030_0_1__un1_n ipl_030_0_1__un0_n a_c_26__n \ -ipl_030_0_0__un3_n ipl_030_0_0__un1_n a_c_27__n ipl_030_0_0__un0_n \ -as_030_000_sync_0_un3_n a_c_28__n as_030_000_sync_0_un1_n \ -as_030_000_sync_0_un0_n a_c_29__n as_000_int_0_un3_n as_000_int_0_un1_n \ -a_c_30__n as_000_int_0_un0_n ds_000_enable_0_un3_n a_c_31__n \ -ds_000_enable_0_un1_n ds_000_enable_0_un0_n A0_c dsack1_int_0_un3_n \ -dsack1_int_0_un1_n nEXP_SPACE_c dsack1_int_0_un0_n bg_000_0_un3_n BERR_c \ -bg_000_0_un1_n bg_000_0_un0_n BG_030_c lds_000_int_0_un3_n lds_000_int_0_un1_n \ -lds_000_int_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n BGACK_000_c \ -uds_000_int_0_un0_n state_machine_un1_as_030_n bgack_030_int_0_un3_n \ -un1_SM_AMIGA_0_sqmuxa_2 CLK_030_c bgack_030_int_0_un1_n \ -state_machine_un8_bg_030_n bgack_030_int_0_un0_n \ -un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_000_c un2_as_030 AS_000_INT_1_sqmuxa \ -CLK_OSZI_c DSACK1_INT_1_sqmuxa un19_fpu_cs un5_ciin un16_ciin ipl_c_0__n \ -ipl_c_1__n ipl_c_2__n DSACK1_c DTACK_c VPA_c RST_c RW_c fc_c_0__n fc_c_1__n \ -AMIGA_BUS_DATA_DIR_c un8_ciin_i un14_ciin_0 un6_clk_pre_66 \ -state_machine_un1_as_030_i_n un2_clk_pre_66 N_105_i un19_fpu_cs_5 N_106_i \ -AS_030_000_SYNC_0_sqmuxa sm_amiga_ns_0_1__n state_machine_un28_as_030_n \ -N_107_i DSACK1_INT_0_sqmuxa sm_amiga_ns_0_2__n \ -state_machine_un5_clk_000_n_sync_n N_109_i state_machine_un9_clk_000_ne_n \ -N_108_i state_machine_un6_bg_030_n sm_amiga_ns_0_3__n N_99 N_111_i \ -state_machine_un11_clk_000_ne_n N_112_i state_machine_un15_clk_000_ne_n \ -sm_amiga_ns_0_5__n SM_AMIGA_0_sqmuxa_1 N_114_i \ -state_machine_un15_clk_000_ne_1_n N_113_i DS_000_ENABLE_0_sqmuxa \ -sm_amiga_ns_0_6__n SM_AMIGA_0_sqmuxa N_91_i N_75 N_92_i \ -state_machine_rw_000_int_3_n sm_amiga_i_5__n N_179_1 N_93_i N_179 N_95_0 N_178 \ -un3_dtack_i cpu_est_ns_2__n state_machine_un3_bgack_030_int_d_i_n N_172 \ -un1_bgack_030_int_d_0 N_171 AMIGA_BUS_ENABLE_INT_3_sqmuxa_i \ -state_machine_un26_clk_000_pe_2_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i \ -state_machine_un26_clk_000_pe_n sm_amiga_i_6__n \ -state_machine_un26_clk_000_pe_4_n N_96_i state_machine_un5_clk_000_ne_n \ -sm_amiga_i_2__n N_169 sm_amiga_i_4__n N_175 N_104_i N_167 N_102_i N_168 \ -N_101_i N_173 N_103_i N_174 N_100_i cpu_est_ns_1__n N_115_i \ -state_machine_un28_clk_000_pe_n state_machine_clk_030_h_2_n \ -SM_AMIGA_0_sqmuxa_i DS_000_DMA_1_sqmuxa_1 N_110_i AS_000_DMA_1_sqmuxa \ -sm_amiga_ns_0_4__n CLK_030_H_1_sqmuxa_1 sm_amiga_ns_0_0__n DS_000_DMA_1_sqmuxa \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_i state_machine_un8_bgack_030_int_n \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i state_machine_un24_bgack_030_int_n \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 state_machine_un10_bgack_030_int_n \ -state_machine_un5_bgack_030_int_d_i_n state_machine_un31_bgack_030_int_n \ -CLK_030_H_i state_machine_clk_030_h_2_f1_n CLK_030_H_1_sqmuxa_i \ -CLK_030_H_1_sqmuxa state_machine_clk_030_h_2_f1_0_n un1_bgack_030_int_d \ -state_machine_size_dma_4_0_1__n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa \ -state_machine_size_dma_4_0_0__n state_machine_un3_bgack_030_int_d_n \ -state_machine_un10_bgack_030_int_0_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 \ -state_machine_un26_clk_000_pe_i_n AMIGA_BUS_ENABLE_INT_3_sqmuxa \ -state_machine_un5_clk_000_ne_i_n N_98 state_machine_un28_clk_000_pe_0_n \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 cpu_est_ns_0_1__n \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa N_174_i N_103 N_173_i N_91 N_164_i N_105 N_168_i \ -N_115 N_167_i N_95 N_175_i N_110 N_169_i N_100 \ -state_machine_un26_clk_000_pe_2_i_n N_102 N_171_i N_101 N_172_i N_104 \ -cpu_est_ns_0_2__n N_96 N_179_i N_92 N_178_i N_93 AMIGA_BUS_DATA_DIR_c_0 N_107 \ -DS_000_ENABLE_0_sqmuxa_i N_114_1 un1_SM_AMIGA_0_sqmuxa_2_i N_114 \ -state_machine_rw_000_int_3_0_n N_113 N_75_0 N_111 \ -state_machine_un9_clk_000_ne_i_n N_112 state_machine_un15_clk_000_ne_i_n N_108 \ -state_machine_un11_clk_000_ne_i_n N_109 BG_030_c_i N_106 \ -state_machine_un6_bg_030_i_n un12_ciin state_machine_un8_bg_030_0_n un14_ciin \ -state_machine_un4_bgack_000_0_n un8_ciin un2_clk_pre_66_i un19_fpu_cs_i \ -un6_clk_pre_66_i AS_030_i CLK_PRE_66_0 un12_ciin_i LDS_000_INT_i nEXP_SPACE_i \ -un1_LDS_000_INT_0 un5_ciin_i UDS_000_INT_i a_i_24__n un1_UDS_000_INT_0 \ -a_i_25__n state_machine_un7_ds_030_i_n a_i_26__n A0_c_i a_i_27__n \ -size_c_i_1__n a_i_28__n cpu_est_ns_0_1_2__n a_i_29__n sm_amiga_ns_0_1_0__n \ -a_i_30__n sm_amiga_ns_0_2_0__n a_i_31__n sm_amiga_ns_0_3_0__n BERR_i \ -un3_dtack_i_1 CLK_000_NE_i state_machine_un7_ds_030_i_1_n sm_amiga_i_1__n \ -un19_fpu_cs_5_1 sm_amiga_i_3__n un19_fpu_cs_5_2 sm_amiga_i_0__n un19_fpu_cs_1 \ -SM_AMIGA_0_sqmuxa_1_i un19_fpu_cs_2 avec_exp_i un19_fpu_cs_3 sm_amiga_i_7__n \ -AS_030_000_SYNC_0_sqmuxa_1 N_98_i AS_030_000_SYNC_0_sqmuxa_2 BGACK_030_INT_i \ -state_machine_un28_as_030_1_n BGACK_030_INT_D_i state_machine_un28_as_030_2_n \ -state_machine_un24_bgack_030_int_i_n state_machine_un15_clk_000_ne_1_0_n \ -RW_000_i state_machine_un6_bg_030_1_n AS_000_i \ -state_machine_clk_000_p_sync_3_1_0__n AS_000_DMA_i \ -state_machine_clk_000_p_sync_3_2_0__n CLK_030_i \ -state_machine_clk_000_n_sync_2_1_0__n state_machine_un8_bgack_030_int_i_n \ -SM_AMIGA_0_sqmuxa_1_1 state_machine_un31_bgack_030_int_i_n \ -DS_000_ENABLE_0_sqmuxa_1 UDS_000_i N_179_1_0 LDS_000_i \ -state_machine_un26_clk_000_pe_1_n CLK_030_H_1_sqmuxa_1_i \ -state_machine_un26_clk_000_pe_4_1_n DS_000_DMA_1_sqmuxa_1_i \ -state_machine_un5_clk_000_ne_1_n cpu_est_i_3__n \ -state_machine_un5_clk_000_ne_2_n cpu_est_i_2__n cpu_est_ns_0_1_1__n \ -cpu_est_i_1__n cpu_est_ns_0_2_1__n cpu_est_i_0__n \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 state_machine_un15_clk_000_ne_1_i_n \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i N_96_i_1 RW_i N_110_1 \ -AS_030_000_SYNC_i N_100_1 CLK_000_D2_i N_101_1 CLK_000_D3_i N_102_1 \ -CLK_000_D1_i N_104_1 VMA_INT_i N_104_2 VPA_i N_104_3 AS_030.OE AS_000.OE \ -RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE \ -DSACK1.OE DTACK.OE RW.OE CIIN.OE G_109 G_115 CLK_OUT_PRE_25_0 cpu_estse -.names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names cpu_estse_1_un1_n.BLIF cpu_estse_1_un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF cpu_est_3_reg.D -1- 1 --1 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF IPL_030DFFSH_0_reg.D -1- 1 --1 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF IPL_030DFFSH_1_reg.D -1- 1 --1 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D -1- 1 --1 1 -.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D -0 1 -.names sm_amiga_ns_0_1__n.BLIF SM_AMIGA_6_.D -0 1 -.names sm_amiga_ns_0_2__n.BLIF SM_AMIGA_5_.D -0 1 -.names sm_amiga_ns_0_3__n.BLIF SM_AMIGA_4_.D -0 1 -.names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D -0 1 -.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D -0 1 -.names sm_amiga_ns_0_6__n.BLIF SM_AMIGA_1_.D -0 1 -.names N_103_i.BLIF N_115_i.BLIF SM_AMIGA_0_.D -11 1 -.names G_109.BLIF CLK_CNT_N_0_.D -0 1 -.names G_115.BLIF CLK_CNT_P_0_.D -0 1 -.names state_machine_size_dma_4_0_0__n.BLIF SIZE_DMA_0_.D -0 1 -.names state_machine_size_dma_4_0_1__n.BLIF SIZE_DMA_1_.D -0 1 -.names state_machine_clk_000_p_sync_3_1_0__n.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n.BLIF CLK_000_P_SYNC_0_.D -11 1 -.names state_machine_clk_000_n_sync_2_1_0__n.BLIF \ -state_machine_un5_clk_000_n_sync_n.BLIF CLK_000_N_SYNC_0_.D -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF inst_RW_000_INT.D -1- 1 --1 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D -1- 1 --1 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF \ -inst_BGACK_030_INTreg.D -1- 1 --1 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ -inst_AS_030_000_SYNC.D -1- 1 --1 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INT.D -1- 1 --1 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF \ -inst_DS_000_ENABLE.D -1- 1 --1 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF inst_DSACK1_INT.D -1- 1 --1 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D -1- 1 --1 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INT.D -1- 1 --1 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INT.D -1- 1 --1 1 -.names UDS_000_c.BLIF state_machine_un8_bgack_030_int_n.BLIF inst_A0_DMA.D -11 1 -.names clk_030_h_0_un1_n.BLIF clk_030_h_0_un0_n.BLIF inst_CLK_030_H.D -1- 1 --1 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF inst_RW_000_DMA.D -1- 1 --1 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF inst_DS_000_DMA.D -1- 1 --1 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF inst_AS_000_DMA.D -1- 1 --1 1 -.names amiga_bus_enable_int_0_un1_n.BLIF amiga_bus_enable_int_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_INTreg.D -1- 1 --1 1 -.names inst_CLK_OUT_PRE_33reg.BLIF inst_CLK_OUT_PRE_33reg.D -0 1 -.names CLK_PRE_66_0.BLIF inst_CLK_OUT_PRE_33reg.C -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i -0 1 -.names state_machine_un3_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 -11 1 -.names N_99.BLIF N_99_i -0 1 -.names a_i_24__n.BLIF a_i_25__n.BLIF un12_ciin_1 -11 1 -.names DTACK_c.BLIF DTACK_i -0 1 -.names a_i_26__n.BLIF a_i_27__n.BLIF un12_ciin_2 -11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un12_ciin_3 -11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names a_i_30__n.BLIF a_i_31__n.BLIF un12_ciin_4 -11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names un12_ciin_1.BLIF un12_ciin_2.BLIF un12_ciin_5 -11 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names un12_ciin_3.BLIF un12_ciin_4.BLIF un12_ciin_6 -11 1 -.names vcc_n_n - 1 -.names state_machine_un28_as_030_n.BLIF state_machine_un28_as_030_i_n -0 1 -.names AS_030_i.BLIF a_c_20__n.BLIF un5_ciin_1 -11 1 -.names AS_030_000_SYNC_0_sqmuxa.BLIF AS_030_000_SYNC_0_sqmuxa_i -0 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 -11 1 -.names CLK_CNT_N_0_.BLIF clk_cnt_n_i_0__n -0 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 -11 1 -.names RST_c.BLIF RST_i -0 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 -11 1 -.names CLK_OSZI_c.BLIF CLK_OSZI_i -0 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 -11 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names un16_ciin.BLIF un16_ciin_i -0 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names inst_CLK_OUT_PRE_50_D.BLIF CLK_OUT_PRE_50_D_i -0 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un3_n -0 1 -.names inst_AMIGA_BUS_ENABLE_INTreg.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un1_n -11 1 -.names un1_bgack_030_int_d.BLIF amiga_bus_enable_int_0_un3_n.BLIF \ -amiga_bus_enable_int_0_un0_n -11 1 -.names DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un3_n -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un1_n -11 1 -.names CLK_030_H_1_sqmuxa_1_i.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n -11 1 -.names state_machine_un8_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ -as_000_dma_0_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_2_un3_n -0 1 -.names N_164_i.BLIF inst_avec_expreg.BLIF cpu_estse_2_un1_n -11 1 -.names cpu_est_3_reg.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_1_un3_n -0 1 -.names cpu_est_ns_2__n.BLIF inst_avec_expreg.BLIF cpu_estse_1_un1_n -11 1 -.names cpu_est_2_.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_0_un3_n -0 1 -.names cpu_est_ns_1__n.BLIF inst_avec_expreg.BLIF cpu_estse_0_un1_n -11 1 -.names cpu_est_1_.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n -11 1 -.names state_machine_un28_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names state_machine_un26_clk_000_pe_4_n.BLIF \ -state_machine_un28_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names RST_c.BLIF clk_030_h_0_un3_n -0 1 -.names state_machine_clk_030_h_2_n.BLIF RST_c.BLIF clk_030_h_0_un1_n -11 1 -.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF \ -state_machine_un3_clk_out_pre_50_n -11 1 -.names inst_CLK_030_H.BLIF clk_030_h_0_un3_n.BLIF clk_030_h_0_un0_n -11 1 -.names state_machine_un4_bgack_000_0_n.BLIF state_machine_un4_bgack_000_n -0 1 -.names AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un3_n -0 1 -.names inst_RW_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un1_n -11 1 -.names DS_000_DMA_1_sqmuxa_1_i.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names N_75.BLIF rw_000_int_0_un3_n -0 1 -.names state_machine_rw_000_int_3_n.BLIF N_75.BLIF rw_000_int_0_un1_n -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names inst_avec_expreg.BLIF ipl_030_0_2__un3_n -0 1 -.names ipl_c_2__n.BLIF inst_avec_expreg.BLIF ipl_030_0_2__un1_n -11 1 -.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names inst_avec_expreg.BLIF ipl_030_0_1__un3_n -0 1 -.names ipl_c_1__n.BLIF inst_avec_expreg.BLIF ipl_030_0_1__un1_n -11 1 -.names IPL_030DFFSH_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names inst_avec_expreg.BLIF ipl_030_0_0__un3_n -0 1 -.names ipl_c_0__n.BLIF inst_avec_expreg.BLIF ipl_030_0_0__un1_n -11 1 -.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un3_n -0 1 -.names inst_AS_030_000_SYNC.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF \ -as_030_000_sync_0_un1_n -11 1 -.names state_machine_un1_as_030_n.BLIF as_030_000_sync_0_un3_n.BLIF \ -as_030_000_sync_0_un0_n -11 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n -11 1 -.names N_99_i.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names un2_as_030.BLIF ds_000_enable_0_un3_n -0 1 -.names inst_DS_000_ENABLE.BLIF un2_as_030.BLIF ds_000_enable_0_un1_n -11 1 -.names un1_SM_AMIGA_0_sqmuxa_2.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n -0 1 -.names inst_DSACK1_INT.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n -11 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names state_machine_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names BG_030_c.BLIF state_machine_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names DS_030_c.BLIF lds_000_int_0_un3_n -0 1 -.names inst_LDS_000_INT.BLIF DS_030_c.BLIF lds_000_int_0_un1_n -11 1 -.names state_machine_un7_ds_030_i_n.BLIF lds_000_int_0_un3_n.BLIF \ -lds_000_int_0_un0_n -11 1 -.names DS_030_c.BLIF uds_000_int_0_un3_n -0 1 -.names inst_UDS_000_INT.BLIF DS_030_c.BLIF uds_000_int_0_un1_n -11 1 -.names A0_c.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names state_machine_un1_as_030_i_n.BLIF state_machine_un1_as_030_n -0 1 -.names state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un1_SM_AMIGA_0_sqmuxa_2 -0 1 -.names BGACK_000_c.BLIF state_machine_un4_bgack_000_n.BLIF \ -bgack_030_int_0_un1_n -11 1 -.names state_machine_un8_bg_030_0_n.BLIF state_machine_un8_bg_030_n -0 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ -bgack_030_int_0_un0_n -11 1 -.names AS_030_000_SYNC_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names state_machine_un1_as_030_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF \ -un2_as_030 -11 1 -.names N_99_i.BLIF state_machine_un1_as_030_i_n.BLIF AS_000_INT_1_sqmuxa -11 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF \ -DSACK1_INT_1_sqmuxa -11 1 -.names un19_fpu_cs_3.BLIF un19_fpu_cs_5.BLIF un19_fpu_cs -11 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names un5_ciin_i.BLIF un14_ciin.BLIF un16_ciin -11 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names un8_ciin.BLIF un8_ciin_i -0 1 -.names nEXP_SPACE_c.BLIF un8_ciin_i.BLIF un14_ciin_0 -11 1 -.names CLK_CNT_N_1_.BLIF CLK_CNT_P_1_.BLIF un6_clk_pre_66 -11 1 -.names AS_030_i.BLIF BERR_c.BLIF state_machine_un1_as_030_i_n -11 1 -.names clk_cnt_n_i_0__n.BLIF CLK_CNT_P_0_.BLIF un2_clk_pre_66 -11 1 -.names N_105.BLIF N_105_i -0 1 -.names un19_fpu_cs_5_1.BLIF un19_fpu_cs_5_2.BLIF un19_fpu_cs_5 -11 1 -.names N_106.BLIF N_106_i -0 1 -.names AS_030_000_SYNC_0_sqmuxa_1.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF \ -AS_030_000_SYNC_0_sqmuxa -11 1 -.names N_105_i.BLIF N_106_i.BLIF sm_amiga_ns_0_1__n -11 1 -.names state_machine_un28_as_030_1_n.BLIF state_machine_un28_as_030_2_n.BLIF \ -state_machine_un28_as_030_n -11 1 -.names N_107.BLIF N_107_i -0 1 -.names CLK_000_N_SYNC_6_.BLIF SM_AMIGA_1_.BLIF DSACK1_INT_0_sqmuxa -11 1 -.names N_99_i.BLIF N_107_i.BLIF sm_amiga_ns_0_2__n -11 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF \ -state_machine_un5_clk_000_n_sync_n -11 1 -.names N_109.BLIF N_109_i -0 1 -.names DTACK_i.BLIF VPA_c.BLIF state_machine_un9_clk_000_ne_n -11 1 -.names N_108.BLIF N_108_i -0 1 -.names state_machine_un6_bg_030_1_n.BLIF CLK_000_c.BLIF \ -state_machine_un6_bg_030_n -11 1 -.names N_108_i.BLIF N_109_i.BLIF sm_amiga_ns_0_3__n -11 1 -.names SM_AMIGA_6_.BLIF inst_avec_expreg.BLIF N_99 -11 1 -.names N_111.BLIF N_111_i -0 1 -.names state_machine_un11_clk_000_ne_i_n.BLIF state_machine_un11_clk_000_ne_n -0 1 -.names N_112.BLIF N_112_i -0 1 -.names state_machine_un15_clk_000_ne_1_0_n.BLIF VPA_i.BLIF \ -state_machine_un15_clk_000_ne_n -11 1 -.names N_111_i.BLIF N_112_i.BLIF sm_amiga_ns_0_5__n -11 1 -.names SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un5_clk_000_n_sync_n.BLIF \ -SM_AMIGA_0_sqmuxa_1 -11 1 -.names N_114.BLIF N_114_i -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF \ -state_machine_un15_clk_000_ne_1_n -11 1 -.names N_113.BLIF N_113_i -0 1 -.names DS_000_ENABLE_0_sqmuxa_1.BLIF inst_avec_expreg.BLIF \ -DS_000_ENABLE_0_sqmuxa -11 1 -.names N_113_i.BLIF N_114_i.BLIF sm_amiga_ns_0_6__n -11 1 -.names SM_AMIGA_4_.BLIF inst_avec_expreg.BLIF SM_AMIGA_0_sqmuxa -11 1 -.names BERR_c.BLIF avec_exp_i.BLIF N_91_i -11 1 -.names N_75_0.BLIF N_75 -0 1 -.names inst_CLK_000_NE.BLIF state_machine_un11_clk_000_ne_n.BLIF N_92_i -11 1 -.names state_machine_rw_000_int_3_0_n.BLIF state_machine_rw_000_int_3_n -0 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_179_1 -11 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_93_i -11 1 -.names N_179_1_0.BLIF nEXP_SPACE_i.BLIF N_179 -11 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_95_0 -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_i.BLIF N_178 -11 1 -.names un3_dtack_i_1.BLIF BGACK_030_INT_i.BLIF un3_dtack_i -11 1 -.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n -0 1 -.names state_machine_un3_bgack_030_int_d_n.BLIF \ -state_machine_un3_bgack_030_int_d_i_n -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_172 -11 1 -.names un1_bgack_030_int_d_0_1.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ -un1_bgack_030_int_d_0 -11 1 -.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_171 -11 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF state_machine_un26_clk_000_pe_2_n -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i -0 1 -.names state_machine_un26_clk_000_pe_1_n.BLIF \ -state_machine_un26_clk_000_pe_4_n.BLIF state_machine_un26_clk_000_pe_n -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names state_machine_un26_clk_000_pe_4_1_n.BLIF inst_avec_expreg.BLIF \ -state_machine_un26_clk_000_pe_4_n -11 1 -.names N_96_i_1.BLIF sm_amiga_i_6__n.BLIF N_96_i -11 1 -.names state_machine_un5_clk_000_ne_1_n.BLIF \ -state_machine_un5_clk_000_ne_2_n.BLIF state_machine_un5_clk_000_ne_n -11 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_169 -11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_175 -11 1 -.names N_104.BLIF N_104_i -0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_167 -11 1 -.names N_102.BLIF N_102_i -0 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_168 -11 1 -.names N_101.BLIF N_101_i -0 1 -.names cpu_est_2_.BLIF state_machine_un15_clk_000_ne_1_i_n.BLIF N_173 -11 1 -.names N_103.BLIF N_103_i -0 1 -.names N_175.BLIF cpu_est_i_3__n.BLIF N_174 -11 1 -.names N_100.BLIF N_100_i -0 1 -.names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n -0 1 -.names N_115.BLIF N_115_i -0 1 -.names state_machine_un28_clk_000_pe_0_n.BLIF state_machine_un28_clk_000_pe_n -0 1 -.names state_machine_clk_030_h_2_f1_n.BLIF \ -state_machine_un8_bgack_030_int_n.BLIF state_machine_clk_030_h_2_n -11 1 -.names SM_AMIGA_0_sqmuxa.BLIF SM_AMIGA_0_sqmuxa_i -0 1 -.names RW_000_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -DS_000_DMA_1_sqmuxa_1 -11 1 -.names N_110.BLIF N_110_i -0 1 -.names CLK_030_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -AS_000_DMA_1_sqmuxa -11 1 -.names N_110_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF sm_amiga_ns_0_4__n -11 1 -.names AS_000_DMA_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -CLK_030_H_1_sqmuxa_1 -11 1 -.names sm_amiga_ns_0_3_0__n.BLIF N_103_i.BLIF sm_amiga_ns_0_0__n -11 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ -DS_000_DMA_1_sqmuxa -11 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i -0 1 -.names N_179_1.BLIF state_machine_un10_bgack_030_int_n.BLIF \ -state_machine_un8_bgack_030_int_n -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i -0 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF state_machine_un24_bgack_030_int_n -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 -11 1 -.names state_machine_un10_bgack_030_int_0_n.BLIF \ -state_machine_un10_bgack_030_int_n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -state_machine_un5_bgack_030_int_d_i_n -11 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF state_machine_un31_bgack_030_int_n -11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names state_machine_clk_030_h_2_f1_0_n.BLIF state_machine_clk_030_h_2_f1_n -0 1 -.names CLK_030_H_1_sqmuxa.BLIF CLK_030_H_1_sqmuxa_i -0 1 -.names AS_000_DMA_i.BLIF CLK_030_i.BLIF CLK_030_H_1_sqmuxa -11 1 -.names CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_i.BLIF \ -state_machine_clk_030_h_2_f1_0_n -11 1 -.names un1_bgack_030_int_d_0.BLIF un1_bgack_030_int_d -0 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_i_n.BLIF state_machine_size_dma_4_0_1__n -11 1 -.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa -0 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_n.BLIF state_machine_size_dma_4_0_0__n -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_D_i.BLIF \ -state_machine_un3_bgack_030_int_d_n -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF state_machine_un10_bgack_030_int_0_n -11 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 -11 1 -.names state_machine_un26_clk_000_pe_n.BLIF state_machine_un26_clk_000_pe_i_n -0 1 -.names N_98_i.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_3_sqmuxa -11 1 -.names state_machine_un5_clk_000_ne_n.BLIF state_machine_un5_clk_000_ne_i_n -0 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_1__n.BLIF N_98 -11 1 -.names state_machine_un5_clk_000_ne_i_n.BLIF \ -state_machine_un26_clk_000_pe_i_n.BLIF state_machine_un28_clk_000_pe_0_n -11 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AS_030_i.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 -11 1 -.names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n -11 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa -11 1 -.names N_174.BLIF N_174_i -0 1 -.names N_91.BLIF SM_AMIGA_0_.BLIF N_103 -11 1 -.names N_173.BLIF N_173_i -0 1 -.names N_91_i.BLIF N_91 -0 1 -.names N_173_i.BLIF N_174_i.BLIF N_164_i -11 1 -.names SM_AMIGA_7_.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_105 -11 1 -.names N_168.BLIF N_168_i -0 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF N_115 -11 1 -.names N_167.BLIF N_167_i -0 1 -.names N_95_0.BLIF N_95 -0 1 -.names N_175.BLIF N_175_i -0 1 -.names N_110_1.BLIF SM_AMIGA_3_.BLIF N_110 -11 1 -.names N_169.BLIF N_169_i -0 1 -.names N_100_1.BLIF avec_exp_i.BLIF N_100 -11 1 -.names state_machine_un26_clk_000_pe_2_n.BLIF \ -state_machine_un26_clk_000_pe_2_i_n -0 1 -.names N_102_1.BLIF SM_AMIGA_3_.BLIF N_102 -11 1 -.names N_171.BLIF N_171_i -0 1 -.names N_101_1.BLIF CLK_000_NE_i.BLIF N_101 -11 1 -.names N_172.BLIF N_172_i -0 1 -.names N_104_3.BLIF sm_amiga_i_3__n.BLIF N_104 -11 1 -.names cpu_est_ns_0_1_2__n.BLIF state_machine_un26_clk_000_pe_2_i_n.BLIF \ -cpu_est_ns_0_2__n -11 1 -.names N_96_i.BLIF N_96 -0 1 -.names N_179.BLIF N_179_i -0 1 -.names N_92_i.BLIF N_92 -0 1 -.names N_178.BLIF N_178_i -0 1 -.names N_93_i.BLIF N_93 -0 1 -.names N_178_i.BLIF N_179_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names N_114_1.BLIF SM_AMIGA_5_.BLIF N_107 -11 1 -.names DS_000_ENABLE_0_sqmuxa.BLIF DS_000_ENABLE_0_sqmuxa_i -0 1 -.names BERR_c.BLIF CLK_000_NE_i.BLIF N_114_1 -11 1 -.names DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF \ -un1_SM_AMIGA_0_sqmuxa_2_i -11 1 -.names N_114_1.BLIF SM_AMIGA_1_.BLIF N_114 -11 1 -.names RW_i.BLIF sm_amiga_i_7__n.BLIF state_machine_rw_000_int_3_0_n -11 1 -.names SM_AMIGA_2_.BLIF inst_avec_expreg.BLIF N_113 -11 1 -.names N_99_i.BLIF sm_amiga_i_7__n.BLIF N_75_0 -11 1 -.names N_92_i.BLIF SM_AMIGA_3_.BLIF N_111 -11 1 -.names state_machine_un9_clk_000_ne_n.BLIF state_machine_un9_clk_000_ne_i_n -0 1 -.names N_91_i.BLIF SM_AMIGA_2_.BLIF N_112 -11 1 -.names state_machine_un15_clk_000_ne_n.BLIF state_machine_un15_clk_000_ne_i_n -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_5_.BLIF N_108 -11 1 -.names state_machine_un9_clk_000_ne_i_n.BLIF \ -state_machine_un15_clk_000_ne_i_n.BLIF state_machine_un11_clk_000_ne_i_n -11 1 -.names N_91_i.BLIF SM_AMIGA_4_.BLIF N_109 -11 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names N_91_i.BLIF SM_AMIGA_6_.BLIF N_106 -11 1 -.names state_machine_un6_bg_030_n.BLIF state_machine_un6_bg_030_i_n -0 1 -.names un12_ciin_5.BLIF un12_ciin_6.BLIF un12_ciin -11 1 -.names BG_030_c_i.BLIF state_machine_un6_bg_030_i_n.BLIF \ -state_machine_un8_bg_030_0_n -11 1 -.names un14_ciin_0.BLIF un14_ciin -0 1 -.names BGACK_000_c.BLIF avec_exp_i.BLIF state_machine_un4_bgack_000_0_n -11 1 -.names AS_030_i.BLIF un12_ciin_i.BLIF un8_ciin -11 1 -.names un2_clk_pre_66.BLIF un2_clk_pre_66_i -0 1 -.names un19_fpu_cs.BLIF un19_fpu_cs_i -0 1 -.names un6_clk_pre_66.BLIF un6_clk_pre_66_i -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names un2_clk_pre_66_i.BLIF un6_clk_pre_66_i.BLIF CLK_PRE_66_0 -11 1 -.names un12_ciin.BLIF un12_ciin_i -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_i -0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 -11 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names state_machine_un7_ds_030_i_1_n.BLIF size_c_0__n.BLIF \ -state_machine_un7_ds_030_i_n -11 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names A0_c.BLIF A0_c_i -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names N_172_i.BLIF N_171_i.BLIF cpu_est_ns_0_1_2__n -11 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names N_101_i.BLIF N_102_i.BLIF sm_amiga_ns_0_1_0__n -11 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names N_104_i.BLIF N_100_i.BLIF sm_amiga_ns_0_2_0__n -11 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names sm_amiga_ns_0_1_0__n.BLIF sm_amiga_ns_0_2_0__n.BLIF \ -sm_amiga_ns_0_3_0__n -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names nEXP_SPACE_i.BLIF AS_000_DMA_i.BLIF un3_dtack_i_1 -11 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF state_machine_un7_ds_030_i_1_n -11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names a_c_17__n.BLIF BGACK_000_c.BLIF un19_fpu_cs_5_1 -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF un19_fpu_cs_5_2 -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names AS_030_i.BLIF a_i_16__n.BLIF un19_fpu_cs_1 -11 1 -.names SM_AMIGA_0_sqmuxa_1.BLIF SM_AMIGA_0_sqmuxa_1_i -0 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF un19_fpu_cs_2 -11 1 -.names inst_avec_expreg.BLIF avec_exp_i -0 1 -.names un19_fpu_cs_1.BLIF un19_fpu_cs_2.BLIF un19_fpu_cs_3 -11 1 -.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n -0 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_7_.BLIF AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names N_98.BLIF N_98_i -0 1 -.names nEXP_SPACE_c.BLIF state_machine_un28_as_030_i_n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_2 -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names a_i_16__n.BLIF a_i_18__n.BLIF state_machine_un28_as_030_1_n -11 1 -.names inst_BGACK_030_INT_D.BLIF BGACK_030_INT_D_i -0 1 -.names a_i_19__n.BLIF un19_fpu_cs_5.BLIF state_machine_un28_as_030_2_n -11 1 -.names state_machine_un24_bgack_030_int_n.BLIF \ -state_machine_un24_bgack_030_int_i_n -0 1 -.names state_machine_un15_clk_000_ne_1_n.BLIF VMA_INT_i.BLIF \ -state_machine_un15_clk_000_ne_1_0_n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names nEXP_SPACE_c.BLIF AS_030_c.BLIF state_machine_un6_bg_030_1_n -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF \ -state_machine_clk_000_p_sync_3_1_0__n -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names CLK_000_D2_i.BLIF CLK_000_D3_i.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n -11 1 -.names CLK_030_c.BLIF CLK_030_i -0 1 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF \ -state_machine_clk_000_n_sync_2_1_0__n -11 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un8_bgack_030_int_i_n -0 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF SM_AMIGA_0_sqmuxa_1_1 -11 1 -.names state_machine_un31_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_i_n -0 1 -.names RW_c.BLIF SM_AMIGA_6_.BLIF DS_000_ENABLE_0_sqmuxa_1 -11 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names N_179_1.BLIF RW_c.BLIF N_179_1_0 -11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF \ -state_machine_un26_clk_000_pe_1_n -11 1 -.names CLK_030_H_1_sqmuxa_1.BLIF CLK_030_H_1_sqmuxa_1_i -0 1 -.names state_machine_un26_clk_000_pe_2_n.BLIF inst_AS_000_INT.BLIF \ -state_machine_un26_clk_000_pe_4_1_n -11 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF DS_000_DMA_1_sqmuxa_1_i -0 1 -.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF state_machine_un5_clk_000_ne_1_n -11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF state_machine_un5_clk_000_ne_2_n -11 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names N_167_i.BLIF N_168_i.BLIF cpu_est_ns_0_1_1__n -11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names N_169_i.BLIF N_175_i.BLIF cpu_est_ns_0_2_1__n -11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 -11 1 -.names state_machine_un15_clk_000_ne_1_n.BLIF \ -state_machine_un15_clk_000_ne_1_i_n -0 1 -.names sm_amiga_i_7__n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 -11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_96_i_1 -11 1 -.names RW_c.BLIF RW_i -0 1 -.names BERR_c.BLIF N_92.BLIF N_110_1 -11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names BERR_i.BLIF N_96.BLIF N_100_1 -11 1 -.names inst_CLK_000_D2.BLIF CLK_000_D2_i -0 1 -.names N_93.BLIF BERR_i.BLIF N_101_1 -11 1 -.names inst_CLK_000_D3.BLIF CLK_000_D3_i -0 1 -.names BERR_i.BLIF N_92.BLIF N_102_1 -11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names N_93_i.BLIF N_96_i.BLIF N_104_1 -11 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names SM_AMIGA_0_sqmuxa_1_i.BLIF sm_amiga_i_0__n.BLIF N_104_2 -11 1 -.names VPA_c.BLIF VPA_i -0 1 -.names N_104_1.BLIF N_104_2.BLIF N_104_3 -11 1 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF G_109 -01 1 -10 1 -11 0 -00 0 -.names CLK_CNT_P_1_.BLIF CLK_CNT_P_0_.BLIF G_115 -01 1 -10 1 -11 0 -00 0 -.names inst_CLK_OUT_PRE_25.BLIF state_machine_un3_clk_out_pre_50_n.BLIF \ -CLK_OUT_PRE_25_0 -01 1 -10 1 -11 0 -00 0 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_estse -01 1 -10 1 -11 0 -00 0 -.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ -1 1 -0 0 -.names BG_000DFFSHreg.BLIF BG_000 -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -0 0 -.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT -1 1 -0 0 -.names CLK_OUT_INTreg.BLIF CLK_EXP -1 1 -0 0 -.names un19_fpu_cs_i.BLIF FPU_CS -1 1 -0 0 -.names vcc_n_n.BLIF AVEC -1 1 -0 0 -.names inst_avec_expreg.BLIF AVEC_EXP -1 1 -0 0 -.names cpu_est_3_reg.BLIF E -1 1 -0 0 -.names inst_VMA_INTreg.BLIF VMA -1 1 -0 0 -.names RESETDFFRHreg.BLIF RESET -1 1 -0 0 -.names inst_AMIGA_BUS_ENABLE_INTreg.BLIF AMIGA_BUS_ENABLE -1 1 -0 0 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -0 0 -.names inst_CLK_OUT_PRE_33reg.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -0 0 -.names un5_ciin.BLIF CIIN -1 1 -0 0 -.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ -1 1 -0 0 -.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ -1 1 -0 0 -.names cpu_estse.BLIF cpu_est_0_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -0 0 -.names RST_i.BLIF cpu_est_0_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -0 0 -.names RST_i.BLIF cpu_est_1_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -0 0 -.names RST_i.BLIF cpu_est_2_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C -1 1 -0 0 -.names RST_i.BLIF cpu_est_3_reg.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C -1 1 -0 0 -.names RST_i.BLIF IPL_030DFFSH_0_reg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C -1 1 -0 0 -.names RST_i.BLIF IPL_030DFFSH_1_reg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C -1 1 -0 0 -.names RST_i.BLIF IPL_030DFFSH_2_reg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_7_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_6_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_5_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_4_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_3_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_2_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_1_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_0_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_3_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_4_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_5_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_6_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_7_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_8_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_9_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_10_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_11_.AR -1 1 -0 0 -.names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_N_0_.AR -1 1 -0 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D -1 1 -0 0 -.names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_N_1_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_P_0_.AR -1 1 -0 0 -.names CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_CNT_P_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_P_1_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C -1 1 -0 0 -.names RST_i.BLIF SIZE_DMA_0_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -0 0 -.names RST_i.BLIF SIZE_DMA_1_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_0_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_1_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_2_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_3_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_4_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_5_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_6_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_7_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_8_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_9_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_0_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_1_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_2_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_RW_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -0 0 -.names RST_i.BLIF inst_VMA_INTreg.AP -1 1 -0 0 -.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_25.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_25.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 -.names RST_i.BLIF inst_BGACK_030_INTreg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -0 0 -.names RST_i.BLIF inst_AS_030_000_SYNC.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_AS_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -0 0 -.names RST_i.BLIF inst_DS_000_ENABLE.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DSACK1_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_DSACK1_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C -1 1 -0 0 -.names RST_i.BLIF BG_000DFFSHreg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_LDS_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_UDS_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -0 0 -.names RST_i.BLIF inst_A0_DMA.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -0 0 -.names RST_i.BLIF inst_RW_000_DMA.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -0 0 -.names RST_i.BLIF inst_DS_000_DMA.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -0 0 -.names RST_i.BLIF inst_AS_000_DMA.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C -1 1 -0 0 -.names RST_i.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_33reg.AR -1 1 -0 0 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE.AR -1 1 -0 0 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D3.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D3.AP -1 1 -0 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D2.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D2.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_50.AR -1 1 -0 0 -.names vcc_n_n.BLIF RESETDFFRHreg.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF RESETDFFRHreg.C -1 1 -0 0 -.names RST_i.BLIF RESETDFFRHreg.AR -1 1 -0 0 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C -1 1 -0 0 -.names RST_i.BLIF CLK_OUT_INTreg.AR -1 1 -0 0 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D1.AP -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -0 0 -.names RST_i.BLIF inst_BGACK_030_INT_D.AP -1 1 -0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50_D.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_50_D.AR -1 1 -0 0 -.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_PRE_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_D.AR -1 1 -0 0 -.names CLK_000_c.BLIF inst_CLK_000_D0.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D0.AP -1 1 -0 0 -.names VPA_c.BLIF inst_VPA_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -0 0 -.names RST_i.BLIF inst_VPA_D.AP -1 1 -0 0 -.names CLK_000_P_SYNC_9_.BLIF inst_avec_expreg.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_avec_expreg.C -1 1 -0 0 -.names RST_i.BLIF inst_avec_expreg.AR -1 1 -0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_NE.AR -1 1 -0 0 -.names SIZE_DMA_1_.BLIF SIZE_1_ -1 1 -0 0 -.names inst_AS_000_DMA.BLIF AS_030 -1 1 -0 0 -.names inst_AS_000_INT.BLIF AS_000 -1 1 -0 0 -.names inst_RW_000_INT.BLIF RW_000 -1 1 -0 0 -.names inst_DS_000_DMA.BLIF DS_030 -1 1 -0 0 -.names un1_UDS_000_INT.BLIF UDS_000 -1 1 -0 0 -.names un1_LDS_000_INT.BLIF LDS_000 -1 1 -0 0 -.names inst_A0_DMA.BLIF A0 -1 1 -0 0 -.names inst_DSACK1_INT.BLIF DSACK1 -1 1 -0 0 -.names DSACK1_c.BLIF DTACK -1 1 -0 0 -.names inst_RW_000_DMA.BLIF RW -1 1 -0 0 -.names SIZE_DMA_0_.BLIF SIZE_0_ -1 1 -0 0 -.names AS_030.PIN.BLIF AS_030_c -1 1 -0 0 -.names AS_000.PIN.BLIF AS_000_c -1 1 -0 0 -.names RW_000.PIN.BLIF RW_000_c -1 1 -0 0 -.names DS_030.PIN.BLIF DS_030_c -1 1 -0 0 -.names UDS_000.PIN.BLIF UDS_000_c -1 1 -0 0 -.names LDS_000.PIN.BLIF LDS_000_c -1 1 -0 0 -.names SIZE_0_.PIN.BLIF size_c_0__n -1 1 -0 0 -.names SIZE_1_.PIN.BLIF size_c_1__n -1 1 -0 0 -.names A_16_.BLIF a_c_16__n -1 1 -0 0 -.names A_17_.BLIF a_c_17__n -1 1 -0 0 -.names A_18_.BLIF a_c_18__n -1 1 -0 0 -.names A_19_.BLIF a_c_19__n -1 1 -0 0 -.names A_20_.BLIF a_c_20__n -1 1 -0 0 -.names A_21_.BLIF a_c_21__n -1 1 -0 0 -.names A_22_.BLIF a_c_22__n -1 1 -0 0 -.names A_23_.BLIF a_c_23__n -1 1 -0 0 -.names A_24_.BLIF a_c_24__n -1 1 -0 0 -.names A_25_.BLIF a_c_25__n -1 1 -0 0 -.names A_26_.BLIF a_c_26__n -1 1 -0 0 -.names A_27_.BLIF a_c_27__n -1 1 -0 0 -.names A_28_.BLIF a_c_28__n -1 1 -0 0 -.names A_29_.BLIF a_c_29__n -1 1 -0 0 -.names A_30_.BLIF a_c_30__n -1 1 -0 0 -.names A_31_.BLIF a_c_31__n -1 1 -0 0 -.names A0.PIN.BLIF A0_c -1 1 -0 0 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -0 0 -.names BERR.BLIF BERR_c -1 1 -0 0 -.names BG_030.BLIF BG_030_c -1 1 -0 0 -.names BGACK_000.BLIF BGACK_000_c -1 1 -0 0 -.names CLK_030.BLIF CLK_030_c -1 1 -0 0 -.names CLK_000.BLIF CLK_000_c -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -0 0 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -0 0 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -0 0 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -0 0 -.names DSACK1.PIN.BLIF DSACK1_c -1 1 -0 0 -.names DTACK.PIN.BLIF DTACK_c -1 1 -0 0 -.names VPA.BLIF VPA_c -1 1 -0 0 -.names RST.BLIF RST_c -1 1 -0 0 -.names RW.PIN.BLIF RW_c -1 1 -0 0 -.names FC_0_.BLIF fc_c_0__n -1 1 -0 0 -.names FC_1_.BLIF fc_c_1__n -1 1 -0 0 -.names un3_dtack_i.BLIF AS_030.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF AS_000.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF RW_000.OE -1 1 -0 0 -.names un3_dtack_i.BLIF DS_030.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF UDS_000.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF LDS_000.OE -1 1 -0 0 -.names un3_dtack_i.BLIF SIZE_0_.OE -1 1 -0 0 -.names un3_dtack_i.BLIF SIZE_1_.OE -1 1 -0 0 -.names un3_dtack_i.BLIF A0.OE -1 1 -0 0 -.names nEXP_SPACE_c.BLIF DSACK1.OE -1 1 -0 0 -.names un3_dtack_i.BLIF DTACK.OE -1 1 -0 0 -.names BGACK_030_INT_i.BLIF RW.OE -1 1 -0 0 -.names un16_ciin_i.BLIF CIIN.OE -1 1 -0 0 -.end diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 deleted file mode 100644 index 7b08a10..0000000 --- a/Logic/68030_tk.bl3 +++ /dev/null @@ -1,1312 +0,0 @@ -#$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sun Jun 22 21:24:20 2014 -#$ MODULE 68030_tk -#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 SIZE_0_ DS_030 \ -# A_30_ UDS_000 A_29_ LDS_000 A_28_ A0 A_27_ nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ BG_000 \ -# A_23_ BGACK_030 A_22_ BGACK_000 A_21_ CLK_030 A_20_ CLK_000 A_19_ CLK_OSZI A_18_ \ -# CLK_DIV_OUT A_17_ CLK_EXP A_16_ FPU_CS IPL_030_1_ DSACK1 IPL_030_0_ DTACK IPL_1_ AVEC \ -# IPL_0_ AVEC_EXP FC_0_ E VPA VMA RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ -# AMIGA_BUS_ENABLE_LOW CIIN -#$ NODES 76 inst_BGACK_030_INTreg inst_avec_expreg inst_VMA_INTreg \ -# inst_AMIGA_BUS_ENABLE_INTreg inst_CLK_OUT_PRE_33reg inst_AS_030_000_SYNC \ -# inst_BGACK_030_INT_D inst_AS_000_DMA inst_VPA_D inst_CLK_OUT_PRE_50_D CLK_CNT_N_0_ \ -# inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D2 \ -# inst_CLK_000_D3 inst_CLK_000_D0 inst_CLK_000_NE inst_CLK_OUT_PRE_D \ -# inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ inst_AS_000_INT SM_AMIGA_7_ \ -# SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ inst_CLK_030_H \ -# CLK_CNT_P_1_ CLK_CNT_N_1_ inst_RW_000_INT inst_DSACK1_INT CLK_CNT_P_0_ \ -# inst_RW_000_DMA inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT \ -# inst_DS_000_DMA SIZE_DMA_0_ SIZE_DMA_1_ inst_A0_DMA CLK_000_P_SYNC_0_ \ -# CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ \ -# CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ \ -# CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ \ -# CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ BG_000DFFSHreg \ -# CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ CLK_OUT_INTreg SM_AMIGA_5_ \ -# SM_AMIGA_3_ SM_AMIGA_2_ IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg un16_ciin \ -# IPL_030DFFSH_2_reg RESETDFFRHreg cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_reg -.model bus68030 -.inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF \ -BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF VPA.BLIF \ -RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF \ -A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ -A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ -inst_BGACK_030_INTreg.BLIF inst_avec_expreg.BLIF inst_VMA_INTreg.BLIF \ -inst_AMIGA_BUS_ENABLE_INTreg.BLIF inst_CLK_OUT_PRE_33reg.BLIF \ -inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF inst_AS_000_DMA.BLIF \ -inst_VPA_D.BLIF inst_CLK_OUT_PRE_50_D.BLIF CLK_CNT_N_0_.BLIF \ -inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_25.BLIF inst_CLK_000_D1.BLIF \ -inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF inst_CLK_000_D0.BLIF \ -inst_CLK_000_NE.BLIF inst_CLK_OUT_PRE_D.BLIF inst_CLK_OUT_PRE.BLIF \ -CLK_000_P_SYNC_9_.BLIF CLK_000_N_SYNC_11_.BLIF inst_AS_000_INT.BLIF \ -SM_AMIGA_7_.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ -SM_AMIGA_4_.BLIF CLK_000_N_SYNC_6_.BLIF inst_CLK_030_H.BLIF CLK_CNT_P_1_.BLIF \ -CLK_CNT_N_1_.BLIF inst_RW_000_INT.BLIF inst_DSACK1_INT.BLIF CLK_CNT_P_0_.BLIF \ -inst_RW_000_DMA.BLIF inst_LDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF \ -inst_UDS_000_INT.BLIF inst_DS_000_DMA.BLIF SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF \ -inst_A0_DMA.BLIF CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.BLIF \ -CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.BLIF \ -CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.BLIF \ -CLK_000_P_SYNC_8_.BLIF CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.BLIF \ -CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.BLIF \ -CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_7_.BLIF BG_000DFFSHreg.BLIF \ -CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.BLIF \ -CLK_OUT_INTreg.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \ -IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_1_reg.BLIF un16_ciin.BLIF \ -IPL_030DFFSH_2_reg.BLIF RESETDFFRHreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF cpu_est_3_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ -RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF DSACK1.PIN.BLIF DTACK.PIN.BLIF \ -RW.PIN.BLIF -.outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC AVEC_EXP \ -E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ -IPL_030_1_ IPL_030_0_ cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D \ -cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR \ -cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR IPL_030DFFSH_0_reg.D \ -IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D \ -IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D \ -IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C \ -SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR \ -SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ -SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D \ -SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ -CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ -CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C \ -CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C \ -CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ -CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C \ -CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR \ -CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ -CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_DMA_0_.D \ -SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D SIZE_DMA_1_.C SIZE_DMA_1_.AP \ -CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR \ -CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR \ -CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR \ -CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR \ -CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR \ -CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR \ -CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR \ -CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR \ -CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR inst_RW_000_INT.D \ -inst_RW_000_INT.C inst_RW_000_INT.AP inst_VMA_INTreg.C inst_VMA_INTreg.AP \ -inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP \ -inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP \ -inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_000_INT.AP inst_DS_000_ENABLE.D \ -inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR inst_DSACK1_INT.D inst_DSACK1_INT.C \ -inst_DSACK1_INT.AP BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP \ -inst_LDS_000_INT.D inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.D \ -inst_UDS_000_INT.C inst_UDS_000_INT.AP inst_A0_DMA.D inst_A0_DMA.C \ -inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C inst_RW_000_DMA.D \ -inst_RW_000_DMA.C inst_RW_000_DMA.AP inst_DS_000_DMA.D inst_DS_000_DMA.C \ -inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_000_DMA.AP \ -inst_AMIGA_BUS_ENABLE_INTreg.D inst_AMIGA_BUS_ENABLE_INTreg.C \ -inst_AMIGA_BUS_ENABLE_INTreg.AP inst_CLK_OUT_PRE_33reg.D \ -inst_CLK_OUT_PRE_33reg.C inst_CLK_OUT_PRE_33reg.AR inst_CLK_OUT_PRE.D \ -inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D inst_CLK_000_D3.C \ -inst_CLK_000_D3.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP \ -inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR \ -RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR CLK_OUT_INTreg.D \ -CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C \ -inst_CLK_000_D1.AP inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C \ -inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C \ -inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ -inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP \ -inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_avec_expreg.D inst_avec_expreg.C \ -inst_avec_expreg.AR inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_000_NE.AR \ -SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 DSACK1 DTACK RW SIZE_0_ \ -un16_ciin AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE \ -SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE \ -inst_VMA_INTreg.D.X1 inst_VMA_INTreg.D.X2 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -cpu_est_3_reg.BLIF cpu_est_1_.D -110-- 1 --01-- 1 -0-1-- 1 -1--00 1 -1--11 1 -11110 0 -11101 0 --0010 0 --0001 0 -0-0-- 0 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -cpu_est_3_reg.BLIF cpu_est_2_.D -100-- 1 ---11- 1 -0--1- 1 -11--1 1 ---100 0 -110-0 0 --010- 0 -0--0- 0 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -cpu_est_3_reg.BLIF cpu_est_3_reg.D -11-0- 1 -1-00- 1 ---0-1 1 -0---1 1 ----01 1 -1-11- 0 --01-0 0 -0---0 0 ----10 0 -.names IPL_0_.BLIF inst_avec_expreg.BLIF IPL_030DFFSH_0_reg.BLIF \ -IPL_030DFFSH_0_reg.D -11- 1 --01 1 -01- 0 --00 0 -.names IPL_1_.BLIF inst_avec_expreg.BLIF IPL_030DFFSH_1_reg.BLIF \ -IPL_030DFFSH_1_reg.D -11- 1 --01 1 -01- 0 --00 0 -.names IPL_2_.BLIF inst_avec_expreg.BLIF IPL_030DFFSH_2_reg.BLIF \ -IPL_030DFFSH_2_reg.D -11- 1 --01 1 -01- 0 --00 0 -.names nEXP_SPACE.BLIF BERR.BLIF VPA.BLIF inst_avec_expreg.BLIF \ -inst_VMA_INTreg.BLIF inst_AS_030_000_SYNC.BLIF inst_CLK_000_D1.BLIF \ -inst_CLK_000_D0.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_0_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF \ -SM_AMIGA_2_.BLIF cpu_est_1_.BLIF cpu_est_3_reg.BLIF DTACK.PIN.BLIF \ -SM_AMIGA_7_.D --------1-0000000--- 1 -------0--0000000--- 1 ------1---0000000--- 1 -0--------0000000--- 1 --00-----------1--0- 1 --00-----------1-1-- 1 --00-1---------1---- 1 --0-0-----------1--- 1 --0------0----1----- 1 --0-0--------1------ 1 --0------0-1-------- 1 --0-0-----1--------- 1 --01-----------1---1 1 --0------0-----1---- 1 ----1-------1------- 1 --0---------1------- 1 ---010---1--0--1-01- 0 --1-------1-0------- 0 --1---------01------ 0 ---11----1--0--1---0 0 --1---------0---1--- 0 ----1----1-10--0---- 0 ----1----1--0-10---- 0 -1----010-0000000--- 0 ---0-0---10-00-1001- 0 ---1-----10-00-10--0 0 ---------10-00100--- 0 ---------10100-00--- 0 ----1------00-001--- 0 ----1------00100---- 0 ----1-----100-00---- 0 --1-0-------1------- 0 --1---------0-1----- 0 --1--------10------- 0 --1---------0--1---- 0 -.names nEXP_SPACE.BLIF BERR.BLIF inst_avec_expreg.BLIF \ -inst_AS_030_000_SYNC.BLIF inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF \ -SM_AMIGA_7_.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_6_.D -1--0101- 1 --10----1 1 ---1---0- 0 --0----0- 0 ---1--1-- 0 --0---1-- 0 ---1-0--- 0 --0--0--- 0 ---11---- 0 --0-1---- 0 -0-1----- 0 -00------ 0 -------00 0 ------1-0 0 -----0--0 0 ----1---0 0 -0------0 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_6_.BLIF \ -SM_AMIGA_5_.BLIF SM_AMIGA_5_.D -1-0-1 1 --1-1- 1 ---10- 0 -0--0- 0 --01-- 0 -00--- 0 ----00 0 --0--0 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_4_.BLIF \ -SM_AMIGA_5_.BLIF SM_AMIGA_4_.D -10-1- 1 ---1-1 1 ---00- 0 --10-- 0 -0-0-- 0 ----00 0 --1--0 0 -0---0 0 -.names BERR.BLIF VPA.BLIF inst_avec_expreg.BLIF inst_VMA_INTreg.BLIF \ -inst_CLK_000_NE.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF cpu_est_1_.BLIF \ -cpu_est_3_reg.BLIF DTACK.PIN.BLIF SM_AMIGA_3_.D -10----1-0- 1 -10----11-- 1 -10-1--1--- 1 ---1--1---- 1 -1---0-1--- 1 -11----1--1 1 --0-010-01- 0 --0001--01- 0 --1--10---0 0 --10-1----0 0 ------00--- 0 ---0---0--- 0 -0----0---- 0 -0-0------- 0 -.names BERR.BLIF VPA.BLIF inst_avec_expreg.BLIF inst_VMA_INTreg.BLIF \ -inst_CLK_000_NE.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF cpu_est_1_.BLIF \ -cpu_est_3_reg.BLIF DTACK.PIN.BLIF SM_AMIGA_2_.D --0-011-01- 1 -1-0---1--- 1 --1--11---0 1 --0----0-0- 0 --01-----0- 0 -00------0- 0 --0----01-- 0 --01----1-- 0 -00-----1-- 0 --0-1--0--- 0 --011------ 0 -00-1------ 0 ------00--- 0 -----0-0--- 0 ---1--0---- 0 -0----0---- 0 ---1-0----- 0 -0---0----- 0 --1----0--1 0 --11------1 0 -01-------1 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_2_.BLIF SM_AMIGA_1_.D -1-01- 1 --1--1 1 --0-0- 0 --01-- 0 -00--- 0 ----00 0 ---1-0 0 -0---0 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_0_.BLIF SM_AMIGA_0_.D ---110 1 -10--1 1 ----00 0 ---0-0 0 --1--1 0 -0---1 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF CLK_CNT_N_0_.D -00 1 -11 1 -10 0 -01 0 -.names CLK_CNT_P_1_.BLIF CLK_CNT_P_0_.BLIF CLK_CNT_P_0_.D -00 1 -11 1 -10 0 -01 0 -.names inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF SIZE_DMA_0_.D ---1- 1 --1-- 1 -1--- 1 ----1 1 -0000 0 -.names inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF SIZE_DMA_1_.D ---00 1 ---11 1 --1-- 1 -1--- 1 -0010 0 -0001 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF \ -inst_CLK_000_D0.BLIF CLK_000_P_SYNC_0_.D -0001 1 ---1- 0 --1-- 0 -1--- 0 ----0 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF \ -inst_CLK_000_D0.BLIF CLK_000_N_SYNC_0_.D -1110 1 ---0- 0 --0-- 0 -0--- 0 ----1 0 -.names inst_avec_expreg.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.BLIF \ -inst_RW_000_INT.BLIF RW.PIN.BLIF inst_RW_000_INT.D ---01- 1 -0--1- 1 -1-1-1 1 --1--- 1 -101-0 0 --000- 0 -00-0- 0 -.names BGACK_000.BLIF inst_BGACK_030_INTreg.BLIF inst_avec_expreg.BLIF \ -inst_BGACK_030_INTreg.D -11- 1 -1-1 1 --00 0 -0-- 0 -.names FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF BGACK_000.BLIF A_19_.BLIF \ -A_18_.BLIF A_17_.BLIF A_16_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \ -inst_AS_030_000_SYNC.BLIF SM_AMIGA_7_.BLIF AS_030.PIN.BLIF \ -inst_AS_030_000_SYNC.D -1--100101-1-- 1 -----------10- 1 ----------01-- 1 --0--------1-- 1 ---0---------- 1 -------------1 1 --11-----01-10 0 --11----1-1-10 0 --11---0--1-10 0 --11--1---1-10 0 --11-1----1-10 0 --110-----1-10 0 -011------1-10 0 ---1-------0-0 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_AS_000_INT.BLIF SM_AMIGA_6_.BLIF \ -AS_030.PIN.BLIF inst_AS_000_INT.D ---10- 1 --01-- 1 -0--0- 1 -00--- 1 ----01 1 --0--1 1 -1-0-0 0 --1-1- 0 -.names BERR.BLIF inst_avec_expreg.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF \ -inst_DS_000_ENABLE.BLIF AS_030.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D -1---10- 1 --1-1--- 1 --11---1 1 ---00-1- 0 ---000-- 0 -0-00--- 0 ----0-10 0 --0---1- 0 ----00-0 0 --0--0-- 0 -0--0--0 0 -00----- 0 -.names BERR.BLIF SM_AMIGA_1_.BLIF CLK_000_N_SYNC_6_.BLIF inst_DSACK1_INT.BLIF \ -AS_030.PIN.BLIF inst_DSACK1_INT.D ---01- 1 --0-1- 1 -0-0-- 1 -00--- 1 ---0-1 1 --0--1 1 -1--00 0 --11-- 0 -.names nEXP_SPACE.BLIF BG_030.BLIF CLK_000.BLIF BG_000DFFSHreg.BLIF \ -AS_030.PIN.BLIF BG_000DFFSHreg.D ---01- 1 -0--1- 1 ----10 1 --1--- 1 -101-1 0 --0-0- 0 -.names inst_LDS_000_INT.BLIF DS_030.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ -A0.PIN.BLIF inst_LDS_000_INT.D --0100 1 -11--- 1 -01--- 0 --0-1- 0 --00-- 0 --0--1 0 -.names inst_UDS_000_INT.BLIF DS_030.PIN.BLIF A0.PIN.BLIF inst_UDS_000_INT.D -11- 1 --01 1 -01- 0 --00 0 -.names inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF inst_A0_DMA.D -0010 1 ---0- 0 --1-- 0 -1--- 0 ----1 0 -.names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -inst_CLK_030_H.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -inst_CLK_030_H.D -0100-00- 1 -0100-0-0 1 ---0-100- 1 ---0-10-0 1 --0--1--- 1 --1---1-- 0 --11----- 0 --1----11 0 ----10--- 0 --0--0--- 0 -1---0--- 0 -.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_RW_000_DMA.BLIF \ -AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -inst_RW_000_DMA.D -0-1---- 1 -1---1-- 1 ----1--- 1 --1----- 1 ------11 1 -10-000- 0 -0000-0- 0 -10-00-0 0 -0000--0 0 -.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -inst_CLK_030_H.BLIF inst_DS_000_DMA.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_DS_000_DMA.D -1-11----- 1 ----01-0-- 1 -0---1-0-- 1 ---1---1-- 1 ------1--- 1 --1------- 1 --------11 1 -1001-0-0- 0 --0-00000- 0 -00--0000- 0 -1001-0--0 0 --0-0000-0 0 -00--000-0 0 --00--010- 0 --00--01-0 0 -.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -AS_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_AS_000_DMA.D -0-1--- 1 ----1-- 1 --1---- 1 -----11 1 --0000- 0 -10-00- 0 --000-0 0 -10-0-0 0 -.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF \ -inst_AMIGA_BUS_ENABLE_INTreg.BLIF inst_AS_030_000_SYNC.BLIF \ -inst_BGACK_030_INT_D.BLIF inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF \ -inst_CLK_000_NE.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ -AS_030.PIN.BLIF inst_AMIGA_BUS_ENABLE_INTreg.D --1----1-100- 1 --1---0--100- 1 --1-1----100- 1 -01------100- 1 --11-------1- 1 --11-----0--- 1 --1-----1-1-1 1 --11------1-- 1 --1------11-1 1 --1--0------- 1 --1--------11 1 -1--0110-100- 0 ---0-1--00-0- 0 ---0-1---000- 0 ---0-1-----10 0 ---0-1----1-0 0 --0---------- 0 -.names inst_CLK_OUT_PRE_33reg.BLIF inst_CLK_OUT_PRE_33reg.D -0 1 -1 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_P_1_.BLIF CLK_CNT_N_1_.BLIF CLK_CNT_P_0_.BLIF \ -inst_CLK_OUT_PRE_33reg.C --11- 1 -0--1 1 -1-0- 0 -10-- 0 ---00 0 --0-0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -1 0 -.names A_31_.BLIF nEXP_SPACE.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ -A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -AS_030.PIN.BLIF un16_ciin --0----------0- 1 --0---------0-- 1 --0--------0--- 1 --0-------0---- 1 --0-----------1 1 ---------1----0 1 --------1-----0 1 -------1------0 1 ------1-------0 1 -----1--------0 1 ----1---------0 1 ---1----------0 1 -1------------0 1 -0-000000011110 0 -010000000----- 0 --1-----------1 0 -.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ -1 1 -0 0 -.names BG_000DFFSHreg.BLIF BG_000 -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -0 0 -.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT -1 1 -0 0 -.names CLK_OUT_INTreg.BLIF CLK_EXP -1 1 -0 0 -.names FC_1_.BLIF BGACK_000.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF \ -FC_0_.BLIF AS_030.PIN.BLIF FPU_CS -------0- 1 ------1-- 1 -----0--- 1 ----1---- 1 ---1----- 1 --0------ 1 -0------- 1 --------1 1 -11001010 0 -.names AVEC - 1 -.names inst_avec_expreg.BLIF AVEC_EXP -1 1 -0 0 -.names cpu_est_3_reg.BLIF E -1 1 -0 0 -.names inst_VMA_INTreg.BLIF VMA -1 1 -0 0 -.names RESETDFFRHreg.BLIF RESET -1 1 -0 0 -.names inst_AMIGA_BUS_ENABLE_INTreg.BLIF AMIGA_BUS_ENABLE -1 1 -0 0 -.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF RW.PIN.BLIF \ -AMIGA_BUS_DATA_DIR -0001 1 --1-0 1 -1--1 0 ---11 0 --0-0 0 --1-1 0 -.names inst_CLK_OUT_PRE_33reg.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -0 0 -.names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ -A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -AS_030.PIN.BLIF CIIN -0000000011110 1 ------------0- 0 -----------0-- 0 ----------0--- 0 ---------0---- 0 --------1----- 0 -------1------ 0 ------1------- 0 -----1-------- 0 ----1--------- 0 ---1---------- 0 --1----------- 0 -1------------ 0 -------------1 0 -.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ -1 1 -0 0 -.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ -1 1 -0 0 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_est_0_.D -10 1 -01 1 -00 0 -11 0 -.names CLK_OSZI.BLIF cpu_est_0_.C -1 1 -0 0 -.names RST.BLIF cpu_est_0_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF cpu_est_1_.C -1 1 -0 0 -.names RST.BLIF cpu_est_1_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF cpu_est_2_.C -1 1 -0 0 -.names RST.BLIF cpu_est_2_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF cpu_est_3_reg.C -1 1 -0 0 -.names RST.BLIF cpu_est_3_reg.AR -0 1 -1 0 -.names CLK_OSZI.BLIF IPL_030DFFSH_0_reg.C -1 1 -0 0 -.names RST.BLIF IPL_030DFFSH_0_reg.AP -0 1 -1 0 -.names CLK_OSZI.BLIF IPL_030DFFSH_1_reg.C -1 1 -0 0 -.names RST.BLIF IPL_030DFFSH_1_reg.AP -0 1 -1 0 -.names CLK_OSZI.BLIF IPL_030DFFSH_2_reg.C -1 1 -0 0 -.names RST.BLIF IPL_030DFFSH_2_reg.AP -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_7_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_7_.AP -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_6_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_6_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_5_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_4_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_3_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_3_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_2_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_2_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_1_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_1_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_0_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_0_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_3_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_3_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_4_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_4_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_5_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_6_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_7_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_8_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_8_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_9_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_10_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_11_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF CLK_CNT_N_0_.C -0 1 -1 0 -.names RST.BLIF CLK_CNT_N_0_.AR -0 1 -1 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_CNT_N_1_.C -0 1 -1 0 -.names RST.BLIF CLK_CNT_N_1_.AP -0 1 -1 0 -.names CLK_OSZI.BLIF CLK_CNT_P_0_.C -1 1 -0 0 -.names RST.BLIF CLK_CNT_P_0_.AR -0 1 -1 0 -.names CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_CNT_P_1_.C -1 1 -0 0 -.names RST.BLIF CLK_CNT_P_1_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SIZE_DMA_0_.C -1 1 -0 0 -.names RST.BLIF SIZE_DMA_0_.AP -0 1 -1 0 -.names CLK_OSZI.BLIF SIZE_DMA_1_.C -1 1 -0 0 -.names RST.BLIF SIZE_DMA_1_.AP -0 1 -1 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_0_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_0_.AR -0 1 -1 0 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_1_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_1_.AR -0 1 -1 0 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_2_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_2_.AR -0 1 -1 0 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_3_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_3_.AR -0 1 -1 0 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_4_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_4_.AR -0 1 -1 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_5_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_5_.AR -0 1 -1 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_6_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_6_.AR -0 1 -1 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_7_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_7_.AR -0 1 -1 0 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_8_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_8_.AR -0 1 -1 0 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_9_.C -1 1 -0 0 -.names RST.BLIF CLK_000_P_SYNC_9_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_0_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_0_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_1_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_1_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_2_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_2_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names RST.BLIF inst_RW_000_INT.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_VMA_INTreg.C -1 1 -0 0 -.names RST.BLIF inst_VMA_INTreg.AP -0 1 -1 0 -.names inst_CLK_OUT_PRE_50_D.BLIF inst_CLK_OUT_PRE_50.BLIF \ -inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D -010 1 --01 1 -1-1 1 -011 0 --00 0 -1-0 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_PRE_25.C -1 1 -0 0 -.names RST.BLIF inst_CLK_OUT_PRE_25.AR -0 1 -1 0 -.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 -.names RST.BLIF inst_BGACK_030_INTreg.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_AS_030_000_SYNC.C -1 1 -0 0 -.names RST.BLIF inst_AS_030_000_SYNC.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_AS_000_INT.C -1 1 -0 0 -.names RST.BLIF inst_AS_000_INT.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_DS_000_ENABLE.C -1 1 -0 0 -.names RST.BLIF inst_DS_000_ENABLE.AR -0 1 -1 0 -.names CLK_OSZI.BLIF inst_DSACK1_INT.C -1 1 -0 0 -.names RST.BLIF inst_DSACK1_INT.AP -0 1 -1 0 -.names CLK_OSZI.BLIF BG_000DFFSHreg.C -1 1 -0 0 -.names RST.BLIF BG_000DFFSHreg.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_LDS_000_INT.C -1 1 -0 0 -.names RST.BLIF inst_LDS_000_INT.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_UDS_000_INT.C -1 1 -0 0 -.names RST.BLIF inst_UDS_000_INT.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_A0_DMA.C -1 1 -0 0 -.names RST.BLIF inst_A0_DMA.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_CLK_030_H.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_RW_000_DMA.C -1 1 -0 0 -.names RST.BLIF inst_RW_000_DMA.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_DS_000_DMA.C -1 1 -0 0 -.names RST.BLIF inst_DS_000_DMA.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_AS_000_DMA.C -1 1 -0 0 -.names RST.BLIF inst_AS_000_DMA.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C -1 1 -0 0 -.names RST.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP -0 1 -1 0 -.names RST.BLIF inst_CLK_OUT_PRE_33reg.AR -0 1 -1 0 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_PRE.C -1 1 -0 0 -.names RST.BLIF inst_CLK_OUT_PRE.AR -0 1 -1 0 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_D3.C -1 1 -0 0 -.names RST.BLIF inst_CLK_000_D3.AP -0 1 -1 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_D2.C -1 1 -0 0 -.names RST.BLIF inst_CLK_000_D2.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_PRE_50.C -1 1 -0 0 -.names RST.BLIF inst_CLK_OUT_PRE_50.AR -0 1 -1 0 -.names RESETDFFRHreg.D - 1 -.names CLK_OSZI.BLIF RESETDFFRHreg.C -1 1 -0 0 -.names RST.BLIF RESETDFFRHreg.AR -0 1 -1 0 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_OUT_INTreg.C -1 1 -0 0 -.names RST.BLIF CLK_OUT_INTreg.AR -0 1 -1 0 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_D1.C -1 1 -0 0 -.names RST.BLIF inst_CLK_000_D1.AP -0 1 -1 0 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_BGACK_030_INT_D.C -1 1 -0 0 -.names RST.BLIF inst_BGACK_030_INT_D.AP -0 1 -1 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50_D.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_PRE_50_D.C -1 1 -0 0 -.names RST.BLIF inst_CLK_OUT_PRE_50_D.AR -0 1 -1 0 -.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_PRE_D.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_PRE_D.C -1 1 -0 0 -.names RST.BLIF inst_CLK_OUT_PRE_D.AR -0 1 -1 0 -.names CLK_000.BLIF inst_CLK_000_D0.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_D0.C -1 1 -0 0 -.names RST.BLIF inst_CLK_000_D0.AP -0 1 -1 0 -.names VPA.BLIF inst_VPA_D.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_VPA_D.C -1 1 -0 0 -.names RST.BLIF inst_VPA_D.AP -0 1 -1 0 -.names CLK_000_P_SYNC_9_.BLIF inst_avec_expreg.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_avec_expreg.C -1 1 -0 0 -.names RST.BLIF inst_avec_expreg.AR -0 1 -1 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_NE.C -1 1 -0 0 -.names RST.BLIF inst_CLK_000_NE.AR -0 1 -1 0 -.names SIZE_DMA_1_.BLIF SIZE_1_ -1 1 -0 0 -.names inst_AS_000_DMA.BLIF AS_030 -1 1 -0 0 -.names inst_AS_000_INT.BLIF AS_000 -1 1 -0 0 -.names inst_RW_000_INT.BLIF RW_000 -1 1 -0 0 -.names inst_DS_000_DMA.BLIF DS_030 -1 1 -0 0 -.names inst_DS_000_ENABLE.BLIF inst_UDS_000_INT.BLIF UDS_000 -0- 1 --1 1 -10 0 -.names inst_LDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF LDS_000 -1- 1 --0 1 -01 0 -.names inst_A0_DMA.BLIF A0 -1 1 -0 0 -.names inst_DSACK1_INT.BLIF DSACK1 -1 1 -0 0 -.names DSACK1.PIN.BLIF DTACK -1 1 -0 0 -.names inst_RW_000_DMA.BLIF RW -1 1 -0 0 -.names SIZE_DMA_0_.BLIF SIZE_0_ -1 1 -0 0 -.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -AS_030.OE -000 1 --1- 0 -1-- 0 ---1 0 -.names inst_BGACK_030_INTreg.BLIF AS_000.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF RW_000.OE -1 1 -0 0 -.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -DS_030.OE -000 1 --1- 0 -1-- 0 ---1 0 -.names inst_BGACK_030_INTreg.BLIF UDS_000.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF LDS_000.OE -1 1 -0 0 -.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -SIZE_0_.OE -000 1 --1- 0 -1-- 0 ---1 0 -.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -SIZE_1_.OE -000 1 --1- 0 -1-- 0 ---1 0 -.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF A0.OE -000 1 --1- 0 -1-- 0 ---1 0 -.names nEXP_SPACE.BLIF DSACK1.OE -1 1 -0 0 -.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -DTACK.OE -000 1 --1- 0 -1-- 0 ---1 0 -.names inst_BGACK_030_INTreg.BLIF RW.OE -0 1 -1 0 -.names un16_ciin.BLIF CIIN.OE -0 1 -1 0 -.names inst_VMA_INTreg.BLIF cpu_est_0_.BLIF inst_VMA_INTreg.D.X1 -11 1 -0- 0 --0 0 -.names inst_avec_expreg.BLIF inst_VMA_INTreg.BLIF inst_VPA_D.BLIF \ -inst_CLK_000_NE.BLIF inst_AS_000_INT.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.D.X2 --1---0--- 1 -1---10110 1 --101--0-- 1 -00------- 0 --0--0---- 0 ---1--1--- 0 ----0-1--- 0 ------11-- 0 --0----0-- 0 --0-----0- 0 --0------1 0 -.end diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index 4b53b0b..ccb4558 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 1.7.00.05.28.13 -// Design '68030_tk' created Sun Jun 22 21:24:20 2014 +// Design '68030_tk' created Fri Jul 18 14:05:32 2014 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.d0 b/Logic/68030_tk.d0 deleted file mode 100644 index 0541d3b..0000000 --- a/Logic/68030_tk.d0 +++ /dev/null @@ -1 +0,0 @@ - -dev mach4a_DT_NCE -clust 5 diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 deleted file mode 100644 index 1c16ba2..0000000 --- a/Logic/68030_tk.eq3 +++ /dev/null @@ -1,861 +0,0 @@ - ispLEVER Classic 1.7.00.05.28.13 Linked Equations File -Copyright(C), 1992-2013, Lattice Semiconductor Corp. -All Rights Reserved. - -Design bus68030 created Sun Jun 22 21:24:20 2014 - - - P-Terms Fan-in Fan-out Type Name (attributes) ---------- ------ ------- ---- ----------------- - 1 2 1 Pin UDS_000- - 1 1 1 Pin UDS_000.OE - 1 2 1 Pin LDS_000- - 1 1 1 Pin LDS_000.OE - 1 1 1 Pin CLK_DIV_OUT.AR - 1 1 1 Pin CLK_DIV_OUT.D - 1 1 1 Pin CLK_DIV_OUT.C - 1 8 1 Pin FPU_CS- - 1 1 1 Pin DTACK - 1 3 1 Pin DTACK.OE - 1 0 1 Pin AVEC - 2 4 1 Pin AMIGA_BUS_DATA_DIR - 1 13 1 Pin CIIN - 1 1 1 Pin CIIN.OE - 1 3 1 Pin SIZE_1_.OE - 2 4 1 Pin SIZE_1_.D- - 1 1 1 Pin SIZE_1_.AP - 1 1 1 Pin SIZE_1_.C - 2 3 1 Pin IPL_030_2_.D - 1 1 1 Pin IPL_030_2_.AP - 1 1 1 Pin IPL_030_2_.C - 1 3 1 Pin AS_030.OE - 4 6 1 Pin AS_030.D - 1 1 1 Pin AS_030.AP - 1 1 1 Pin AS_030.C - 1 1 1 Pin AS_000.OE - 2 5 1 Pin AS_000.D- - 1 1 1 Pin AS_000.AP - 1 1 1 Pin AS_000.C - 1 1 1 Pin RW_000.OE - 3 5 1 Pin RW_000.D- - 1 1 1 Pin RW_000.AP - 1 1 1 Pin RW_000.C - 1 3 1 Pin SIZE_0_.OE - 1 4 1 Pin SIZE_0_.D- - 1 1 1 Pin SIZE_0_.AP - 1 1 1 Pin SIZE_0_.C - 1 3 1 Pin DS_030.OE - 7 9 1 Pin DS_030.D - 1 1 1 Pin DS_030.AP - 1 1 1 Pin DS_030.C - 1 3 1 Pin A0.OE - 1 4 1 Pin A0.D - 1 1 1 Pin A0.AP - 1 1 1 Pin A0.C - 2 5 1 Pin BG_000.D- - 1 1 1 Pin BG_000.AP - 1 1 1 Pin BG_000.C - 2 3 1 Pin BGACK_030.D - 1 1 1 Pin BGACK_030.AP - 1 1 1 Pin BGACK_030.C - 1 1 1 Pin CLK_EXP.AR - 1 1 1 Pin CLK_EXP.D - 1 1 1 Pin CLK_EXP.C - 2 3 1 Pin IPL_030_1_.D - 1 1 1 Pin IPL_030_1_.AP - 1 1 1 Pin IPL_030_1_.C - 1 1 1 Pin DSACK1.OE - 2 5 1 Pin DSACK1.D- - 1 1 1 Pin DSACK1.AP - 1 1 1 Pin DSACK1.C - 2 3 1 Pin IPL_030_0_.D - 1 1 1 Pin IPL_030_0_.AP - 1 1 1 Pin IPL_030_0_.C - 1 1 1 Pin AVEC_EXP.AR - 1 1 1 Pin AVEC_EXP.D - 1 1 1 Pin AVEC_EXP.C - 1 1 1 Pin E.AR - 4 5 1 Pin E.D- - 1 1 1 Pin E.C - 2 7 1 PinX1 VMA.D.X1 - 1 5 1 PinX2 VMA.D.X2 - 1 1 1 Pin VMA.AP - 1 1 1 Pin VMA.C - 1 1 1 Pin RESET.AR - 1 0 1 Pin RESET.D - 1 1 1 Pin RESET.C - 1 1 1 Pin RW.OE - 4 7 1 Pin RW.D- - 1 1 1 Pin RW.AP - 1 1 1 Pin RW.C - 6 12 1 Pin AMIGA_BUS_ENABLE.D- - 1 1 1 Pin AMIGA_BUS_ENABLE.AP - 1 1 1 Pin AMIGA_BUS_ENABLE.C - 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.AR - 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.D - 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.C - 6 13 1 Node inst_AS_030_000_SYNC.D - 1 1 1 Node inst_AS_030_000_SYNC.AP - 1 1 1 Node inst_AS_030_000_SYNC.C - 1 1 1 Node inst_BGACK_030_INT_D.D - 1 1 1 Node inst_BGACK_030_INT_D.AP - 1 1 1 Node inst_BGACK_030_INT_D.C - 1 1 1 Node inst_VPA_D.D - 1 1 1 Node inst_VPA_D.AP - 1 1 1 Node inst_VPA_D.C - 1 1 1 Node inst_CLK_OUT_PRE_50_D.AR - 1 1 1 Node inst_CLK_OUT_PRE_50_D.D - 1 1 1 Node inst_CLK_OUT_PRE_50_D.C - 1 1 1 Node CLK_CNT_N_0_.AR - 2 2 1 Node CLK_CNT_N_0_.D - 1 1 1 Node CLK_CNT_N_0_.C - 1 1 1 Node inst_CLK_OUT_PRE_50.AR - 1 1 1 Node inst_CLK_OUT_PRE_50.D - 1 1 1 Node inst_CLK_OUT_PRE_50.C - 1 1 1 Node inst_CLK_OUT_PRE_25.AR - 3 3 1 Node inst_CLK_OUT_PRE_25.D - 1 1 1 Node inst_CLK_OUT_PRE_25.C - 1 1 1 Node inst_CLK_000_D1.D - 1 1 1 Node inst_CLK_000_D1.AP - 1 1 1 Node inst_CLK_000_D1.C - 1 1 1 Node inst_CLK_000_D2.D - 1 1 1 Node inst_CLK_000_D2.AP - 1 1 1 Node inst_CLK_000_D2.C - 1 1 1 Node inst_CLK_000_D3.D - 1 1 1 Node inst_CLK_000_D3.AP - 1 1 1 Node inst_CLK_000_D3.C - 1 1 1 Node inst_CLK_000_D0.D - 1 1 1 Node inst_CLK_000_D0.AP - 1 1 1 Node inst_CLK_000_D0.C - 1 1 1 Node inst_CLK_000_NE.AR - 1 1 1 Node inst_CLK_000_NE.D - 1 1 1 Node inst_CLK_000_NE.C - 1 1 1 Node inst_CLK_OUT_PRE_D.AR - 1 1 1 Node inst_CLK_OUT_PRE_D.D - 1 1 1 Node inst_CLK_OUT_PRE_D.C - 1 1 1 Node inst_CLK_OUT_PRE.AR - 1 1 1 Node inst_CLK_OUT_PRE.D - 1 1 1 Node inst_CLK_OUT_PRE.C - 1 1 1 Node CLK_000_P_SYNC_9_.AR - 1 1 1 Node CLK_000_P_SYNC_9_.D - 1 1 1 Node CLK_000_P_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_11_.AR - 1 1 1 Node CLK_000_N_SYNC_11_.D - 1 1 1 Node CLK_000_N_SYNC_11_.C - 13 17 1 Node SM_AMIGA_7_.D - 1 1 1 Node SM_AMIGA_7_.AP - 1 1 1 Node SM_AMIGA_7_.C - 1 1 1 Node SM_AMIGA_6_.AR - 2 8 1 Node SM_AMIGA_6_.D - 1 1 1 Node SM_AMIGA_6_.C - 1 1 1 Node SM_AMIGA_1_.AR - 2 5 1 Node SM_AMIGA_1_.D - 1 1 1 Node SM_AMIGA_1_.C - 1 1 1 Node SM_AMIGA_0_.AR - 2 5 1 Node SM_AMIGA_0_.D - 1 1 1 Node SM_AMIGA_0_.C - 1 1 1 Node SM_AMIGA_4_.AR - 2 5 1 Node SM_AMIGA_4_.D - 1 1 1 Node SM_AMIGA_4_.C - 1 1 1 Node CLK_000_N_SYNC_6_.AR - 1 1 1 Node CLK_000_N_SYNC_6_.D - 1 1 1 Node CLK_000_N_SYNC_6_.C - 5 8 1 Node inst_CLK_030_H.D - 1 1 1 Node inst_CLK_030_H.C - 1 1 1 Node CLK_CNT_P_1_.AR - 1 1 1 Node CLK_CNT_P_1_.D - 1 1 1 Node CLK_CNT_P_1_.C - 1 1 1 Node CLK_CNT_N_1_.D - 1 1 1 Node CLK_CNT_N_1_.AP - 1 1 1 Node CLK_CNT_N_1_.C - 1 1 1 Node CLK_CNT_P_0_.AR - 2 2 1 Node CLK_CNT_P_0_.D - 1 1 1 Node CLK_CNT_P_0_.C - 2 5 1 Node inst_LDS_000_INT.D - 1 1 1 Node inst_LDS_000_INT.AP - 1 1 1 Node inst_LDS_000_INT.C - 1 1 1 Node inst_DS_000_ENABLE.AR - 3 7 1 Node inst_DS_000_ENABLE.D - 1 1 1 Node inst_DS_000_ENABLE.C - 2 3 1 Node inst_UDS_000_INT.D - 1 1 1 Node inst_UDS_000_INT.AP - 1 1 1 Node inst_UDS_000_INT.C - 1 1 1 Node CLK_000_P_SYNC_0_.AR - 1 4 1 Node CLK_000_P_SYNC_0_.D - 1 1 1 Node CLK_000_P_SYNC_0_.C - 1 1 1 Node CLK_000_P_SYNC_1_.AR - 1 1 1 Node CLK_000_P_SYNC_1_.D - 1 1 1 Node CLK_000_P_SYNC_1_.C - 1 1 1 Node CLK_000_P_SYNC_2_.AR - 1 1 1 Node CLK_000_P_SYNC_2_.D - 1 1 1 Node CLK_000_P_SYNC_2_.C - 1 1 1 Node CLK_000_P_SYNC_3_.AR - 1 1 1 Node CLK_000_P_SYNC_3_.D - 1 1 1 Node CLK_000_P_SYNC_3_.C - 1 1 1 Node CLK_000_P_SYNC_4_.AR - 1 1 1 Node CLK_000_P_SYNC_4_.D - 1 1 1 Node CLK_000_P_SYNC_4_.C - 1 1 1 Node CLK_000_P_SYNC_5_.AR - 1 1 1 Node CLK_000_P_SYNC_5_.D - 1 1 1 Node CLK_000_P_SYNC_5_.C - 1 1 1 Node CLK_000_P_SYNC_6_.AR - 1 1 1 Node CLK_000_P_SYNC_6_.D - 1 1 1 Node CLK_000_P_SYNC_6_.C - 1 1 1 Node CLK_000_P_SYNC_7_.AR - 1 1 1 Node CLK_000_P_SYNC_7_.D - 1 1 1 Node CLK_000_P_SYNC_7_.C - 1 1 1 Node CLK_000_P_SYNC_8_.AR - 1 1 1 Node CLK_000_P_SYNC_8_.D - 1 1 1 Node CLK_000_P_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_0_.AR - 1 4 1 Node CLK_000_N_SYNC_0_.D - 1 1 1 Node CLK_000_N_SYNC_0_.C - 1 1 1 Node CLK_000_N_SYNC_1_.AR - 1 1 1 Node CLK_000_N_SYNC_1_.D - 1 1 1 Node CLK_000_N_SYNC_1_.C - 1 1 1 Node CLK_000_N_SYNC_2_.AR - 1 1 1 Node CLK_000_N_SYNC_2_.D - 1 1 1 Node CLK_000_N_SYNC_2_.C - 1 1 1 Node CLK_000_N_SYNC_3_.AR - 1 1 1 Node CLK_000_N_SYNC_3_.D - 1 1 1 Node CLK_000_N_SYNC_3_.C - 1 1 1 Node CLK_000_N_SYNC_4_.AR - 1 1 1 Node CLK_000_N_SYNC_4_.D - 1 1 1 Node CLK_000_N_SYNC_4_.C - 1 1 1 Node CLK_000_N_SYNC_5_.AR - 1 1 1 Node CLK_000_N_SYNC_5_.D - 1 1 1 Node CLK_000_N_SYNC_5_.C - 1 1 1 Node CLK_000_N_SYNC_7_.AR - 1 1 1 Node CLK_000_N_SYNC_7_.D - 1 1 1 Node CLK_000_N_SYNC_7_.C - 1 1 1 Node CLK_000_N_SYNC_8_.AR - 1 1 1 Node CLK_000_N_SYNC_8_.D - 1 1 1 Node CLK_000_N_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_9_.AR - 1 1 1 Node CLK_000_N_SYNC_9_.D - 1 1 1 Node CLK_000_N_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_10_.AR - 1 1 1 Node CLK_000_N_SYNC_10_.D - 1 1 1 Node CLK_000_N_SYNC_10_.C - 1 1 1 Node SM_AMIGA_5_.AR - 2 5 1 Node SM_AMIGA_5_.D - 1 1 1 Node SM_AMIGA_5_.C - 1 1 1 Node SM_AMIGA_3_.AR - 6 10 1 Node SM_AMIGA_3_.D - 1 1 1 Node SM_AMIGA_3_.C - 1 1 1 Node SM_AMIGA_2_.AR - 3 10 1 Node SM_AMIGA_2_.D - 1 1 1 Node SM_AMIGA_2_.C - 3 14 1 Node un16_ciin- - 1 1 1 Node cpu_est_0_.AR - 2 2 1 Node cpu_est_0_.D - 1 1 1 Node cpu_est_0_.C - 1 1 1 Node cpu_est_1_.AR - 5 5 1 Node cpu_est_1_.D - 1 1 1 Node cpu_est_1_.C - 1 1 1 Node cpu_est_2_.AR - 4 5 1 Node cpu_est_2_.D - 1 1 1 Node cpu_est_2_.C - 2 4 1 Node AMIGA_BUS_ENABLE_LOW_0 - 4 11 1 Node SM_AMIGA_7__0 -========= - 338 P-Term Total: 338 - Total Pins: 59 - Total Nodes: 57 - Average P-Term/Output: 2 - - -Equations: - -!UDS_000 = (inst_DS_000_ENABLE.Q & !inst_UDS_000_INT.Q); - -UDS_000.OE = (BGACK_030.Q); - -!LDS_000 = (!inst_LDS_000_INT.Q & inst_DS_000_ENABLE.Q); - -LDS_000.OE = (BGACK_030.Q); - -CLK_DIV_OUT.AR = (!RST); - -CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); - -CLK_DIV_OUT.C = (CLK_OSZI); - -!FPU_CS = (FC_1_ & BGACK_000 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); - -DTACK = (DSACK1.PIN); - -DTACK.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -AVEC = (1); - -AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW.PIN - # !nEXP_SPACE & !BGACK_030.Q & !AS_000.PIN & RW.PIN); - -CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN); - -CIIN.OE = (!un16_ciin); - -SIZE_1_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -!SIZE_1_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & LDS_000.PIN - # !BGACK_030.Q & !AS_000.PIN & UDS_000.PIN & !LDS_000.PIN); - -SIZE_1_.AP = (!RST); - -SIZE_1_.C = (CLK_OSZI); - -IPL_030_2_.D = (IPL_2_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_2_.Q); - -IPL_030_2_.AP = (!RST); - -IPL_030_2_.C = (CLK_OSZI); - -AS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -AS_030.D = (BGACK_030.Q - # AS_000.PIN - # !CLK_030 & AS_030.Q - # UDS_000.PIN & LDS_000.PIN); - -AS_030.AP = (!RST); - -AS_030.C = (CLK_OSZI); - -AS_000.OE = (BGACK_030.Q); - -!AS_000.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q - # BERR & !AS_000.Q & !AS_030.PIN); - -AS_000.AP = (!RST); - -AS_000.C = (CLK_OSZI); - -RW_000.OE = (BGACK_030.Q); - -!RW_000.D = (!AVEC_EXP.Q & !SM_AMIGA_7_.Q & !RW_000.Q - # !SM_AMIGA_7_.Q & !SM_AMIGA_6_.Q & !RW_000.Q - # AVEC_EXP.Q & !SM_AMIGA_7_.Q & SM_AMIGA_6_.Q & !RW.PIN); - -RW_000.AP = (!RST); - -RW_000.C = (CLK_OSZI); - -SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -!SIZE_0_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & !LDS_000.PIN); - -SIZE_0_.AP = (!RST); - -SIZE_0_.C = (CLK_OSZI); - -DS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -DS_030.D = (BGACK_030.Q - # AS_000.PIN - # AS_030.Q & RW_000.PIN - # UDS_000.PIN & LDS_000.PIN - # CLK_030 & AS_030.Q & inst_CLK_030_H.Q - # !CLK_030 & DS_030.Q & !RW_000.PIN - # !inst_CLK_030_H.Q & DS_030.Q & !RW_000.PIN); - -DS_030.AP = (!RST); - -DS_030.C = (CLK_OSZI); - -A0.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -A0.D = (!BGACK_030.Q & !AS_000.PIN & UDS_000.PIN & !LDS_000.PIN); - -A0.AP = (!RST); - -A0.C = (CLK_OSZI); - -!BG_000.D = (!BG_030 & !BG_000.Q - # nEXP_SPACE & !BG_030 & CLK_000 & AS_030.PIN); - -BG_000.AP = (!RST); - -BG_000.C = (CLK_OSZI); - -BGACK_030.D = (BGACK_000 & BGACK_030.Q - # BGACK_000 & AVEC_EXP.Q); - -BGACK_030.AP = (!RST); - -BGACK_030.C = (CLK_OSZI); - -CLK_EXP.AR = (!RST); - -CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); - -CLK_EXP.C = (CLK_OSZI); - -IPL_030_1_.D = (IPL_1_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_1_.Q); - -IPL_030_1_.AP = (!RST); - -IPL_030_1_.C = (CLK_OSZI); - -DSACK1.OE = (nEXP_SPACE); - -!DSACK1.D = (SM_AMIGA_1_.Q & CLK_000_N_SYNC_6_.Q - # BERR & !DSACK1.Q & !AS_030.PIN); - -DSACK1.AP = (!RST); - -DSACK1.C = (CLK_OSZI); - -IPL_030_0_.D = (IPL_0_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_0_.Q); - -IPL_030_0_.AP = (!RST); - -IPL_030_0_.C = (CLK_OSZI); - -AVEC_EXP.AR = (!RST); - -AVEC_EXP.D = (CLK_000_P_SYNC_9_.Q); - -AVEC_EXP.C = (CLK_OSZI); - -E.AR = (!RST); - -!E.D = (!AVEC_EXP.Q & !E.Q - # cpu_est_2_.Q & !E.Q - # AVEC_EXP.Q & cpu_est_1_.Q & cpu_est_2_.Q - # !cpu_est_0_.Q & cpu_est_1_.Q & !E.Q); - -E.C = (CLK_OSZI); - -VMA.D.X1 = (VMA.Q - # AVEC_EXP.Q & !VMA.Q & AS_000.Q & !cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & !E.Q); - -VMA.D.X2 = (VMA.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_0_.Q & !cpu_est_1_.Q); - -VMA.AP = (!RST); - -VMA.C = (CLK_OSZI); - -RESET.AR = (!RST); - -RESET.D = (1); - -RESET.C = (CLK_OSZI); - -RW.OE = (!BGACK_030.Q); - -!RW.D = (!CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !UDS_000.PIN - # CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !UDS_000.PIN - # !CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !LDS_000.PIN - # CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !LDS_000.PIN); - -RW.AP = (!RST); - -RW.C = (CLK_OSZI); - -!AMIGA_BUS_ENABLE.D = (!BGACK_030.Q - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_1_.Q & !AS_030.PIN - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_0_.Q & !AS_030.PIN - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !inst_CLK_000_NE.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_0_.Q - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q - # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_BGACK_030_INT_D.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); - -AMIGA_BUS_ENABLE.AP = (!RST); - -AMIGA_BUS_ENABLE.C = (CLK_OSZI); - -AMIGA_BUS_ENABLE_LOW.AR = (!RST); - -AMIGA_BUS_ENABLE_LOW.D = (!AMIGA_BUS_ENABLE_LOW.Q); - -AMIGA_BUS_ENABLE_LOW.C = (AMIGA_BUS_ENABLE_LOW_0); - -inst_AS_030_000_SYNC.D = (!BERR - # AS_030.PIN - # !nEXP_SPACE & inst_AS_030_000_SYNC.Q - # !BGACK_030.Q & inst_AS_030_000_SYNC.Q - # inst_AS_030_000_SYNC.Q & !SM_AMIGA_7_.Q - # FC_1_ & BGACK_000 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & inst_AS_030_000_SYNC.Q); - -inst_AS_030_000_SYNC.AP = (!RST); - -inst_AS_030_000_SYNC.C = (CLK_OSZI); - -inst_BGACK_030_INT_D.D = (BGACK_030.Q); - -inst_BGACK_030_INT_D.AP = (!RST); - -inst_BGACK_030_INT_D.C = (CLK_OSZI); - -inst_VPA_D.D = (VPA); - -inst_VPA_D.AP = (!RST); - -inst_VPA_D.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_50_D.AR = (!RST); - -inst_CLK_OUT_PRE_50_D.D = (inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_PRE_50_D.C = (CLK_OSZI); - -CLK_CNT_N_0_.AR = (!RST); - -CLK_CNT_N_0_.D = (CLK_CNT_N_0_.Q & CLK_CNT_N_1_.Q - # !CLK_CNT_N_0_.Q & !CLK_CNT_N_1_.Q); - -CLK_CNT_N_0_.C = (!CLK_OSZI); - -inst_CLK_OUT_PRE_50.AR = (!RST); - -inst_CLK_OUT_PRE_50.D = (!inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_PRE_50.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_25.AR = (!RST); - -inst_CLK_OUT_PRE_25.D = (inst_CLK_OUT_PRE_50_D.Q & inst_CLK_OUT_PRE_25.Q - # !inst_CLK_OUT_PRE_50.Q & inst_CLK_OUT_PRE_25.Q - # !inst_CLK_OUT_PRE_50_D.Q & inst_CLK_OUT_PRE_50.Q & !inst_CLK_OUT_PRE_25.Q); - -inst_CLK_OUT_PRE_25.C = (CLK_OSZI); - -inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); - -inst_CLK_000_D1.AP = (!RST); - -inst_CLK_000_D1.C = (CLK_OSZI); - -inst_CLK_000_D2.D = (inst_CLK_000_D1.Q); - -inst_CLK_000_D2.AP = (!RST); - -inst_CLK_000_D2.C = (CLK_OSZI); - -inst_CLK_000_D3.D = (inst_CLK_000_D2.Q); - -inst_CLK_000_D3.AP = (!RST); - -inst_CLK_000_D3.C = (CLK_OSZI); - -inst_CLK_000_D0.D = (CLK_000); - -inst_CLK_000_D0.AP = (!RST); - -inst_CLK_000_D0.C = (CLK_OSZI); - -inst_CLK_000_NE.AR = (!RST); - -inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q); - -inst_CLK_000_NE.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_D.AR = (!RST); - -inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE.Q); - -inst_CLK_OUT_PRE_D.C = (CLK_OSZI); - -inst_CLK_OUT_PRE.AR = (!RST); - -inst_CLK_OUT_PRE.D = (inst_CLK_OUT_PRE_25.Q); - -inst_CLK_OUT_PRE.C = (CLK_OSZI); - -CLK_000_P_SYNC_9_.AR = (!RST); - -CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q); - -CLK_000_P_SYNC_9_.C = (CLK_OSZI); - -CLK_000_N_SYNC_11_.AR = (!RST); - -CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q); - -CLK_000_N_SYNC_11_.C = (CLK_OSZI); - -SM_AMIGA_7_.D = (SM_AMIGA_7__0 - # !BERR & SM_AMIGA_0_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q - # !BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q - # !BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q - # !BERR & !VPA & SM_AMIGA_3_.Q & !E.Q - # !BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN - # !nEXP_SPACE & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); - -SM_AMIGA_7_.AP = (!RST); - -SM_AMIGA_7_.C = (CLK_OSZI); - -SM_AMIGA_6_.AR = (!RST); - -SM_AMIGA_6_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q - # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & SM_AMIGA_7_.Q); - -SM_AMIGA_6_.C = (CLK_OSZI); - -SM_AMIGA_1_.AR = (!RST); - -SM_AMIGA_1_.D = (AVEC_EXP.Q & SM_AMIGA_2_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q); - -SM_AMIGA_1_.C = (CLK_OSZI); - -SM_AMIGA_0_.AR = (!RST); - -SM_AMIGA_0_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_0_.Q - # inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); - -SM_AMIGA_0_.C = (CLK_OSZI); - -SM_AMIGA_4_.AR = (!RST); - -SM_AMIGA_4_.D = (inst_CLK_000_NE.Q & SM_AMIGA_5_.Q - # BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q); - -SM_AMIGA_4_.C = (CLK_OSZI); - -CLK_000_N_SYNC_6_.AR = (!RST); - -CLK_000_N_SYNC_6_.D = (CLK_000_N_SYNC_5_.Q); - -CLK_000_N_SYNC_6_.C = (CLK_OSZI); - -inst_CLK_030_H.D = (!RST & inst_CLK_030_H.Q - # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN - # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !UDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !LDS_000.PIN); - -inst_CLK_030_H.C = (CLK_OSZI); - -CLK_CNT_P_1_.AR = (!RST); - -CLK_CNT_P_1_.D = (CLK_CNT_P_0_.Q); - -CLK_CNT_P_1_.C = (CLK_OSZI); - -CLK_CNT_N_1_.D = (CLK_CNT_N_0_.Q); - -CLK_CNT_N_1_.AP = (!RST); - -CLK_CNT_N_1_.C = (!CLK_OSZI); - -CLK_CNT_P_0_.AR = (!RST); - -CLK_CNT_P_0_.D = (CLK_CNT_P_1_.Q & CLK_CNT_P_0_.Q - # !CLK_CNT_P_1_.Q & !CLK_CNT_P_0_.Q); - -CLK_CNT_P_0_.C = (CLK_OSZI); - -inst_LDS_000_INT.D = (inst_LDS_000_INT.Q & DS_030.PIN - # !DS_030.PIN & SIZE_0_.PIN & !SIZE_1_.PIN & !A0.PIN); - -inst_LDS_000_INT.AP = (!RST); - -inst_LDS_000_INT.C = (CLK_OSZI); - -inst_DS_000_ENABLE.AR = (!RST); - -inst_DS_000_ENABLE.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q - # BERR & inst_DS_000_ENABLE.Q & !AS_030.PIN - # AVEC_EXP.Q & SM_AMIGA_6_.Q & RW.PIN); - -inst_DS_000_ENABLE.C = (CLK_OSZI); - -inst_UDS_000_INT.D = (inst_UDS_000_INT.Q & DS_030.PIN - # !DS_030.PIN & A0.PIN); - -inst_UDS_000_INT.AP = (!RST); - -inst_UDS_000_INT.C = (CLK_OSZI); - -CLK_000_P_SYNC_0_.AR = (!RST); - -CLK_000_P_SYNC_0_.D = (!inst_CLK_000_D1.Q & !inst_CLK_000_D2.Q & !inst_CLK_000_D3.Q & inst_CLK_000_D0.Q); - -CLK_000_P_SYNC_0_.C = (CLK_OSZI); - -CLK_000_P_SYNC_1_.AR = (!RST); - -CLK_000_P_SYNC_1_.D = (CLK_000_P_SYNC_0_.Q); - -CLK_000_P_SYNC_1_.C = (CLK_OSZI); - -CLK_000_P_SYNC_2_.AR = (!RST); - -CLK_000_P_SYNC_2_.D = (CLK_000_P_SYNC_1_.Q); - -CLK_000_P_SYNC_2_.C = (CLK_OSZI); - -CLK_000_P_SYNC_3_.AR = (!RST); - -CLK_000_P_SYNC_3_.D = (CLK_000_P_SYNC_2_.Q); - -CLK_000_P_SYNC_3_.C = (CLK_OSZI); - -CLK_000_P_SYNC_4_.AR = (!RST); - -CLK_000_P_SYNC_4_.D = (CLK_000_P_SYNC_3_.Q); - -CLK_000_P_SYNC_4_.C = (CLK_OSZI); - -CLK_000_P_SYNC_5_.AR = (!RST); - -CLK_000_P_SYNC_5_.D = (CLK_000_P_SYNC_4_.Q); - -CLK_000_P_SYNC_5_.C = (CLK_OSZI); - -CLK_000_P_SYNC_6_.AR = (!RST); - -CLK_000_P_SYNC_6_.D = (CLK_000_P_SYNC_5_.Q); - -CLK_000_P_SYNC_6_.C = (CLK_OSZI); - -CLK_000_P_SYNC_7_.AR = (!RST); - -CLK_000_P_SYNC_7_.D = (CLK_000_P_SYNC_6_.Q); - -CLK_000_P_SYNC_7_.C = (CLK_OSZI); - -CLK_000_P_SYNC_8_.AR = (!RST); - -CLK_000_P_SYNC_8_.D = (CLK_000_P_SYNC_7_.Q); - -CLK_000_P_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_0_.AR = (!RST); - -CLK_000_N_SYNC_0_.D = (inst_CLK_000_D1.Q & inst_CLK_000_D2.Q & inst_CLK_000_D3.Q & !inst_CLK_000_D0.Q); - -CLK_000_N_SYNC_0_.C = (CLK_OSZI); - -CLK_000_N_SYNC_1_.AR = (!RST); - -CLK_000_N_SYNC_1_.D = (CLK_000_N_SYNC_0_.Q); - -CLK_000_N_SYNC_1_.C = (CLK_OSZI); - -CLK_000_N_SYNC_2_.AR = (!RST); - -CLK_000_N_SYNC_2_.D = (CLK_000_N_SYNC_1_.Q); - -CLK_000_N_SYNC_2_.C = (CLK_OSZI); - -CLK_000_N_SYNC_3_.AR = (!RST); - -CLK_000_N_SYNC_3_.D = (CLK_000_N_SYNC_2_.Q); - -CLK_000_N_SYNC_3_.C = (CLK_OSZI); - -CLK_000_N_SYNC_4_.AR = (!RST); - -CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q); - -CLK_000_N_SYNC_4_.C = (CLK_OSZI); - -CLK_000_N_SYNC_5_.AR = (!RST); - -CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q); - -CLK_000_N_SYNC_5_.C = (CLK_OSZI); - -CLK_000_N_SYNC_7_.AR = (!RST); - -CLK_000_N_SYNC_7_.D = (CLK_000_N_SYNC_6_.Q); - -CLK_000_N_SYNC_7_.C = (CLK_OSZI); - -CLK_000_N_SYNC_8_.AR = (!RST); - -CLK_000_N_SYNC_8_.D = (CLK_000_N_SYNC_7_.Q); - -CLK_000_N_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_9_.AR = (!RST); - -CLK_000_N_SYNC_9_.D = (CLK_000_N_SYNC_8_.Q); - -CLK_000_N_SYNC_9_.C = (CLK_OSZI); - -CLK_000_N_SYNC_10_.AR = (!RST); - -CLK_000_N_SYNC_10_.D = (CLK_000_N_SYNC_9_.Q); - -CLK_000_N_SYNC_10_.C = (CLK_OSZI); - -SM_AMIGA_5_.AR = (!RST); - -SM_AMIGA_5_.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q); - -SM_AMIGA_5_.C = (CLK_OSZI); - -SM_AMIGA_3_.AR = (!RST); - -SM_AMIGA_3_.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q - # BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q - # BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q - # BERR & !VPA & SM_AMIGA_3_.Q & !E.Q - # BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN); - -SM_AMIGA_3_.C = (CLK_OSZI); - -SM_AMIGA_2_.AR = (!RST); - -SM_AMIGA_2_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q - # VPA & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !DTACK.PIN - # !VPA & !VMA.Q & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q); - -SM_AMIGA_2_.C = (CLK_OSZI); - -!un16_ciin = (nEXP_SPACE & AS_030.PIN - # !A_31_ & nEXP_SPACE & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ - # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN); - -cpu_est_0_.AR = (!RST); - -cpu_est_0_.D = (!AVEC_EXP.Q & cpu_est_0_.Q - # AVEC_EXP.Q & !cpu_est_0_.Q); - -cpu_est_0_.C = (CLK_OSZI); - -cpu_est_1_.AR = (!RST); - -cpu_est_1_.D = (!AVEC_EXP.Q & cpu_est_1_.Q - # !cpu_est_0_.Q & cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_0_.Q & !cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_2_.Q & E.Q - # AVEC_EXP.Q & !cpu_est_2_.Q & !E.Q); - -cpu_est_1_.C = (CLK_OSZI); - -cpu_est_2_.AR = (!RST); - -cpu_est_2_.D = (!AVEC_EXP.Q & cpu_est_2_.Q - # cpu_est_1_.Q & cpu_est_2_.Q - # AVEC_EXP.Q & !cpu_est_0_.Q & !cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_0_.Q & E.Q); - -cpu_est_2_.C = (CLK_OSZI); - -AMIGA_BUS_ENABLE_LOW_0 = (CLK_CNT_P_1_.Q & CLK_CNT_N_1_.Q - # !CLK_CNT_N_0_.Q & CLK_CNT_P_0_.Q); - -SM_AMIGA_7__0 = (AVEC_EXP.Q & SM_AMIGA_0_.Q - # inst_AS_030_000_SYNC.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); - - -Reverse-Polarity Equations: - diff --git a/Logic/68030_tk.err b/Logic/68030_tk.err deleted file mode 100644 index e69de29..0000000 diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti deleted file mode 100644 index e6e3f72..0000000 --- a/Logic/68030_tk.fti +++ /dev/null @@ -1,440 +0,0 @@ -#PLAFILE 68030_tk.tt4 -#DATE 06/08/2014 -#DESIGN -#DEVICE mach447a - -DATA LOCATION A0:G_8_69 // IO -DATA LOCATION AMIGA_BUS_DATA_DIR:E_0_48 // OUT -DATA LOCATION AMIGA_BUS_ENABLE:D_5_34 // IO {RN_AMIGA_BUS_ENABLE} -DATA LOCATION AMIGA_BUS_ENABLE_LOW:C_12_20 // IO {RN_AMIGA_BUS_ENABLE_LOW} -DATA LOCATION AMIGA_BUS_ENABLE_LOW_0:E_5 // NOD -DATA LOCATION AS_000:D_4_33 // IO {RN_AS_000} -DATA LOCATION AS_030:H_8_82 // IO {RN_AS_030} -DATA LOCATION AVEC:A_4_92 // OUT -DATA LOCATION AVEC_EXP:C_0_22 // IO {RN_AVEC_EXP} -DATA LOCATION A_16_:A_*_96 // INP -DATA LOCATION A_17_:F_*_59 // INP -DATA LOCATION A_18_:A_*_95 // INP -DATA LOCATION A_19_:A_*_97 // INP -DATA LOCATION A_20_:A_*_93 // INP -DATA LOCATION A_21_:A_*_94 // INP -DATA LOCATION A_22_:H_*_85 // INP -DATA LOCATION A_23_:H_*_84 // INP -DATA LOCATION A_24_:C_*_19 // INP -DATA LOCATION A_25_:C_*_18 // INP -DATA LOCATION A_26_:C_*_17 // INP -DATA LOCATION A_27_:C_*_16 // INP -DATA LOCATION A_28_:C_*_15 // INP -DATA LOCATION A_29_:B_*_6 // INP -DATA LOCATION A_30_:B_*_5 // INP -DATA LOCATION A_31_:B_*_4 // INP -DATA LOCATION BERR:E_*_41 // INP -DATA LOCATION BGACK_000:D_*_28 // INP -DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030} -DATA LOCATION BG_000:D_13_29 // IO {RN_BG_000} -DATA LOCATION BG_030:C_*_21 // INP -DATA LOCATION CIIN:E_12_47 // OUT -DATA LOCATION CLK_000:*_*_11 // INP -DATA LOCATION CLK_000_N_SYNC_0_:A_5 // NOD -DATA LOCATION CLK_000_N_SYNC_10_:E_13 // NOD -DATA LOCATION CLK_000_N_SYNC_11_:A_6 // NOD -DATA LOCATION CLK_000_N_SYNC_1_:B_2 // NOD -DATA LOCATION CLK_000_N_SYNC_2_:F_2 // NOD -DATA LOCATION CLK_000_N_SYNC_3_:A_1 // NOD -DATA LOCATION CLK_000_N_SYNC_4_:G_2 // NOD -DATA LOCATION CLK_000_N_SYNC_5_:C_5 // NOD -DATA LOCATION CLK_000_N_SYNC_6_:C_6 // NOD -DATA LOCATION CLK_000_N_SYNC_7_:H_2 // NOD -DATA LOCATION CLK_000_N_SYNC_8_:C_1 // NOD -DATA LOCATION CLK_000_N_SYNC_9_:F_13 // NOD -DATA LOCATION CLK_000_P_SYNC_0_:A_2 // NOD -DATA LOCATION CLK_000_P_SYNC_1_:B_10 // NOD -DATA LOCATION CLK_000_P_SYNC_2_:B_6 // NOD -DATA LOCATION CLK_000_P_SYNC_3_:A_13 // NOD -DATA LOCATION CLK_000_P_SYNC_4_:E_2 // NOD -DATA LOCATION CLK_000_P_SYNC_5_:C_13 // NOD -DATA LOCATION CLK_000_P_SYNC_6_:C_9 // NOD -DATA LOCATION CLK_000_P_SYNC_7_:F_6 // NOD -DATA LOCATION CLK_000_P_SYNC_8_:A_9 // NOD -DATA LOCATION CLK_000_P_SYNC_9_:G_6 // NOD -DATA LOCATION CLK_030:*_*_64 // INP -DATA LOCATION CLK_CNT_N_0_:E_9 // NOD -DATA LOCATION CLK_CNT_N_1_:E_6 // NOD -DATA LOCATION CLK_CNT_P_0_:E_8 // NOD -DATA LOCATION CLK_CNT_P_1_:C_2 // NOD -DATA LOCATION CLK_DIV_OUT:G_1_65 // OUT -DATA LOCATION CLK_EXP:B_0_10 // OUT -DATA LOCATION CLK_OSZI:*_*_61 // Cin -DATA LOCATION DSACK1:H_12_81 // IO {RN_DSACK1} -DATA LOCATION DS_030:A_0_98 // IO {RN_DS_030} -DATA LOCATION DTACK:D_0_30 // IO -DATA LOCATION E:G_4_66 // IO {RN_E} -DATA LOCATION FC_0_:F_*_57 // INP -DATA LOCATION FC_1_:F_*_58 // INP -DATA LOCATION FPU_CS:H_5_78 // OUT -DATA LOCATION IPL_030_0_:B_8_8 // IO {RN_IPL_030_0_} -DATA LOCATION IPL_030_1_:B_12_7 // IO {RN_IPL_030_1_} -DATA LOCATION IPL_030_2_:B_4_9 // IO {RN_IPL_030_2_} -DATA LOCATION IPL_0_:G_*_67 // INP -DATA LOCATION IPL_1_:F_*_56 // INP -DATA LOCATION IPL_2_:G_*_68 // INP -DATA LOCATION LDS_000:D_12_31 // IO -DATA LOCATION RESET:B_1_3 // OUT -DATA LOCATION RN_AMIGA_BUS_ENABLE:D_5 // NOD {AMIGA_BUS_ENABLE} -DATA LOCATION RN_AMIGA_BUS_ENABLE_LOW:C_12 // NOD {AMIGA_BUS_ENABLE_LOW} -DATA LOCATION RN_AS_000:D_4 // NOD {AS_000} -DATA LOCATION RN_AS_030:H_8 // NOD {AS_030} -DATA LOCATION RN_AVEC_EXP:C_0 // NOD {AVEC_EXP} -DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030} -DATA LOCATION RN_BG_000:D_13 // NOD {BG_000} -DATA LOCATION RN_DSACK1:H_12 // NOD {DSACK1} -DATA LOCATION RN_DS_030:A_0 // NOD {DS_030} -DATA LOCATION RN_E:G_4 // NOD {E} -DATA LOCATION RN_IPL_030_0_:B_8 // NOD {IPL_030_0_} -DATA LOCATION RN_IPL_030_1_:B_12 // NOD {IPL_030_1_} -DATA LOCATION RN_IPL_030_2_:B_4 // NOD {IPL_030_2_} -DATA LOCATION RN_RW:G_0 // NOD {RW} -DATA LOCATION RN_RW_000:H_0 // NOD {RW_000} -DATA LOCATION RN_VMA:D_1 // NOD {VMA} -DATA LOCATION RST:*_*_86 // INP -DATA LOCATION RW:G_0_71 // IO {RN_RW} -DATA LOCATION RW_000:H_0_80 // IO {RN_RW_000} -DATA LOCATION SIZE_0_:G_12_70 // IO -DATA LOCATION SIZE_1_:H_1_79 // IO -DATA LOCATION SM_AMIGA_0_:D_6 // NOD -DATA LOCATION SM_AMIGA_1_:F_8 // NOD -DATA LOCATION SM_AMIGA_2_:F_9 // NOD -DATA LOCATION SM_AMIGA_3_:F_1 // NOD -DATA LOCATION SM_AMIGA_4_:B_13 // NOD -DATA LOCATION SM_AMIGA_5_:F_12 // NOD -DATA LOCATION SM_AMIGA_6_:D_9 // NOD -DATA LOCATION SM_AMIGA_7_:F_4 // NOD -DATA LOCATION SM_AMIGA_7__0:F_5 // NOD -DATA LOCATION UDS_000:D_8_32 // IO -DATA LOCATION VMA:D_1_35 // IO {RN_VMA} -DATA LOCATION VPA:*_*_36 // INP -DATA LOCATION cpu_est_0_:D_2 // NOD -DATA LOCATION cpu_est_1_:G_5 // NOD -DATA LOCATION cpu_est_2_:G_9 // NOD -DATA LOCATION inst_AS_030_000_SYNC:C_4 // NOD -DATA LOCATION inst_BGACK_030_INT_D:D_10 // NOD -DATA LOCATION inst_CLK_000_D0:F_0 // NOD -DATA LOCATION inst_CLK_000_D1:E_4 // NOD -DATA LOCATION inst_CLK_000_D2:G_10 // NOD -DATA LOCATION inst_CLK_000_D3:A_10 // NOD -DATA LOCATION inst_CLK_000_NE:C_8 // NOD -DATA LOCATION inst_CLK_030_H:A_12 // NOD -DATA LOCATION inst_CLK_OUT_PRE:E_10 // NOD -DATA LOCATION inst_CLK_OUT_PRE_25:A_8 // NOD -DATA LOCATION inst_CLK_OUT_PRE_50:H_13 // NOD -DATA LOCATION inst_CLK_OUT_PRE_50_D:H_6 // NOD -DATA LOCATION inst_CLK_OUT_PRE_D:H_9 // NOD -DATA LOCATION inst_DS_000_ENABLE:B_5 // NOD -DATA LOCATION inst_LDS_000_INT:G_13 // NOD -DATA LOCATION inst_UDS_000_INT:B_9 // NOD -DATA LOCATION inst_VPA_D:C_10 // NOD -DATA LOCATION nEXP_SPACE:*_*_14 // INP -DATA LOCATION un16_ciin:E_1 // NOD -DATA IO_DIR A0:BI -DATA IO_DIR AMIGA_BUS_DATA_DIR:OUT -DATA IO_DIR AMIGA_BUS_ENABLE:OUT -DATA IO_DIR AMIGA_BUS_ENABLE_LOW:OUT -DATA IO_DIR AS_000:BI -DATA IO_DIR AS_030:BI -DATA IO_DIR AVEC:OUT -DATA IO_DIR AVEC_EXP:OUT -DATA IO_DIR A_16_:IN -DATA IO_DIR A_17_:IN -DATA IO_DIR A_18_:IN -DATA IO_DIR A_19_:IN -DATA IO_DIR A_20_:IN -DATA IO_DIR A_21_:IN -DATA IO_DIR A_22_:IN -DATA IO_DIR A_23_:IN -DATA IO_DIR A_24_:IN -DATA IO_DIR A_25_:IN -DATA IO_DIR A_26_:IN -DATA IO_DIR A_27_:IN -DATA IO_DIR A_28_:IN -DATA IO_DIR A_29_:IN -DATA IO_DIR A_30_:IN -DATA IO_DIR A_31_:IN -DATA IO_DIR BERR:IN -DATA IO_DIR BGACK_000:IN -DATA IO_DIR BGACK_030:OUT -DATA IO_DIR BG_000:OUT -DATA IO_DIR BG_030:IN -DATA IO_DIR CIIN:OUT -DATA IO_DIR CLK_000:IN -DATA IO_DIR CLK_030:IN -DATA IO_DIR CLK_DIV_OUT:OUT -DATA IO_DIR CLK_EXP:OUT -DATA IO_DIR CLK_OSZI:IN -DATA IO_DIR DSACK1:BI -DATA IO_DIR DS_030:BI -DATA IO_DIR DTACK:BI -DATA IO_DIR E:OUT -DATA IO_DIR FC_0_:IN -DATA IO_DIR FC_1_:IN -DATA IO_DIR FPU_CS:OUT -DATA IO_DIR IPL_030_0_:OUT -DATA IO_DIR IPL_030_1_:OUT -DATA IO_DIR IPL_030_2_:OUT -DATA IO_DIR IPL_0_:IN -DATA IO_DIR IPL_1_:IN -DATA IO_DIR IPL_2_:IN -DATA IO_DIR LDS_000:BI -DATA IO_DIR RESET:OUT -DATA IO_DIR RST:IN -DATA IO_DIR RW:BI -DATA IO_DIR RW_000:BI -DATA IO_DIR SIZE_0_:BI -DATA IO_DIR SIZE_1_:BI -DATA IO_DIR UDS_000:BI -DATA IO_DIR VMA:OUT -DATA IO_DIR VPA:IN -DATA IO_DIR nEXP_SPACE:IN -DATA GLB_CLOCK CLK_OSZI -DATA PW_LEVEL A_31_:0 -DATA SLEW A_31_:1 -DATA PW_LEVEL IPL_2_:0 -DATA SLEW IPL_2_:1 -DATA PW_LEVEL FC_1_:0 -DATA SLEW FC_1_:1 -DATA PW_LEVEL A_30_:0 -DATA SLEW A_30_:1 -DATA PW_LEVEL UDS_000:0 -DATA SLEW UDS_000:1 -DATA PW_LEVEL A_29_:0 -DATA SLEW A_29_:1 -DATA PW_LEVEL LDS_000:0 -DATA SLEW LDS_000:1 -DATA PW_LEVEL A_28_:0 -DATA SLEW A_28_:1 -DATA PW_LEVEL A_27_:0 -DATA SLEW A_27_:1 -DATA SLEW nEXP_SPACE:1 -DATA PW_LEVEL A_26_:0 -DATA SLEW A_26_:1 -DATA PW_LEVEL BERR:0 -DATA SLEW BERR:1 -DATA PW_LEVEL A_25_:0 -DATA SLEW A_25_:1 -DATA PW_LEVEL BG_030:0 -DATA SLEW BG_030:1 -DATA PW_LEVEL A_24_:0 -DATA SLEW A_24_:1 -DATA PW_LEVEL A_23_:0 -DATA SLEW A_23_:1 -DATA PW_LEVEL A_22_:0 -DATA SLEW A_22_:1 -DATA PW_LEVEL BGACK_000:0 -DATA SLEW BGACK_000:1 -DATA PW_LEVEL A_21_:0 -DATA SLEW A_21_:1 -DATA SLEW CLK_030:1 -DATA PW_LEVEL A_20_:0 -DATA SLEW A_20_:1 -DATA SLEW CLK_000:1 -DATA PW_LEVEL A_19_:0 -DATA SLEW A_19_:1 -DATA SLEW CLK_OSZI:1 -DATA PW_LEVEL A_18_:0 -DATA SLEW A_18_:1 -DATA PW_LEVEL CLK_DIV_OUT:0 -DATA SLEW CLK_DIV_OUT:1 -DATA PW_LEVEL A_17_:0 -DATA SLEW A_17_:1 -DATA PW_LEVEL A_16_:0 -DATA SLEW A_16_:1 -DATA PW_LEVEL FPU_CS:0 -DATA SLEW FPU_CS:1 -DATA PW_LEVEL DTACK:0 -DATA SLEW DTACK:1 -DATA PW_LEVEL IPL_1_:0 -DATA SLEW IPL_1_:1 -DATA PW_LEVEL AVEC:0 -DATA SLEW AVEC:1 -DATA PW_LEVEL IPL_0_:0 -DATA SLEW IPL_0_:1 -DATA PW_LEVEL FC_0_:0 -DATA SLEW FC_0_:1 -DATA SLEW VPA:1 -DATA SLEW RST:1 -DATA PW_LEVEL AMIGA_BUS_DATA_DIR:0 -DATA SLEW AMIGA_BUS_DATA_DIR:1 -DATA PW_LEVEL CIIN:0 -DATA SLEW CIIN:1 -DATA PW_LEVEL SIZE_1_:0 -DATA SLEW SIZE_1_:1 -DATA PW_LEVEL IPL_030_2_:0 -DATA SLEW IPL_030_2_:1 -DATA PW_LEVEL AS_030:0 -DATA SLEW AS_030:1 -DATA PW_LEVEL AS_000:0 -DATA SLEW AS_000:1 -DATA PW_LEVEL RW_000:0 -DATA SLEW RW_000:1 -DATA PW_LEVEL SIZE_0_:0 -DATA SLEW SIZE_0_:1 -DATA PW_LEVEL DS_030:0 -DATA SLEW DS_030:1 -DATA PW_LEVEL A0:0 -DATA SLEW A0:1 -DATA PW_LEVEL BG_000:0 -DATA SLEW BG_000:1 -DATA PW_LEVEL BGACK_030:0 -DATA SLEW BGACK_030:1 -DATA PW_LEVEL CLK_EXP:0 -DATA SLEW CLK_EXP:1 -DATA PW_LEVEL IPL_030_1_:0 -DATA SLEW IPL_030_1_:1 -DATA PW_LEVEL DSACK1:0 -DATA SLEW DSACK1:1 -DATA PW_LEVEL IPL_030_0_:0 -DATA SLEW IPL_030_0_:1 -DATA PW_LEVEL AVEC_EXP:0 -DATA SLEW AVEC_EXP:1 -DATA PW_LEVEL E:0 -DATA SLEW E:1 -DATA PW_LEVEL VMA:0 -DATA SLEW VMA:1 -DATA PW_LEVEL RESET:0 -DATA SLEW RESET:1 -DATA PW_LEVEL RW:0 -DATA SLEW RW:1 -DATA PW_LEVEL AMIGA_BUS_ENABLE:0 -DATA SLEW AMIGA_BUS_ENABLE:1 -DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:0 -DATA SLEW AMIGA_BUS_ENABLE_LOW:1 -DATA PW_LEVEL inst_AS_030_000_SYNC:0 -DATA SLEW inst_AS_030_000_SYNC:1 -DATA PW_LEVEL inst_BGACK_030_INT_D:0 -DATA SLEW inst_BGACK_030_INT_D:1 -DATA PW_LEVEL inst_VPA_D:0 -DATA SLEW inst_VPA_D:1 -DATA PW_LEVEL inst_CLK_OUT_PRE_50_D:0 -DATA SLEW inst_CLK_OUT_PRE_50_D:1 -DATA PW_LEVEL CLK_CNT_N_0_:0 -DATA SLEW CLK_CNT_N_0_:1 -DATA PW_LEVEL inst_CLK_OUT_PRE_50:0 -DATA SLEW inst_CLK_OUT_PRE_50:1 -DATA PW_LEVEL inst_CLK_OUT_PRE_25:0 -DATA SLEW inst_CLK_OUT_PRE_25:1 -DATA PW_LEVEL inst_CLK_000_D1:0 -DATA SLEW inst_CLK_000_D1:1 -DATA PW_LEVEL inst_CLK_000_D2:0 -DATA SLEW inst_CLK_000_D2:1 -DATA PW_LEVEL inst_CLK_000_D3:0 -DATA SLEW inst_CLK_000_D3:1 -DATA PW_LEVEL inst_CLK_000_D0:0 -DATA SLEW inst_CLK_000_D0:1 -DATA PW_LEVEL inst_CLK_000_NE:0 -DATA SLEW inst_CLK_000_NE:1 -DATA PW_LEVEL inst_CLK_OUT_PRE_D:0 -DATA SLEW inst_CLK_OUT_PRE_D:1 -DATA PW_LEVEL inst_CLK_OUT_PRE:0 -DATA SLEW inst_CLK_OUT_PRE:1 -DATA PW_LEVEL CLK_000_P_SYNC_9_:0 -DATA SLEW CLK_000_P_SYNC_9_:1 -DATA PW_LEVEL CLK_000_N_SYNC_11_:0 -DATA SLEW CLK_000_N_SYNC_11_:1 -DATA PW_LEVEL SM_AMIGA_7_:0 -DATA SLEW SM_AMIGA_7_:1 -DATA PW_LEVEL SM_AMIGA_6_:0 -DATA SLEW SM_AMIGA_6_:1 -DATA PW_LEVEL SM_AMIGA_1_:0 -DATA SLEW SM_AMIGA_1_:1 -DATA PW_LEVEL SM_AMIGA_0_:0 -DATA SLEW SM_AMIGA_0_:1 -DATA PW_LEVEL SM_AMIGA_4_:0 -DATA SLEW SM_AMIGA_4_:1 -DATA PW_LEVEL CLK_000_N_SYNC_6_:0 -DATA SLEW CLK_000_N_SYNC_6_:1 -DATA PW_LEVEL inst_CLK_030_H:0 -DATA SLEW inst_CLK_030_H:1 -DATA PW_LEVEL CLK_CNT_P_1_:0 -DATA SLEW CLK_CNT_P_1_:1 -DATA PW_LEVEL CLK_CNT_N_1_:0 -DATA SLEW CLK_CNT_N_1_:1 -DATA PW_LEVEL CLK_CNT_P_0_:0 -DATA SLEW CLK_CNT_P_0_:1 -DATA PW_LEVEL inst_LDS_000_INT:0 -DATA SLEW inst_LDS_000_INT:1 -DATA PW_LEVEL inst_DS_000_ENABLE:0 -DATA SLEW inst_DS_000_ENABLE:1 -DATA PW_LEVEL inst_UDS_000_INT:0 -DATA SLEW inst_UDS_000_INT:1 -DATA PW_LEVEL CLK_000_P_SYNC_0_:0 -DATA SLEW CLK_000_P_SYNC_0_:1 -DATA PW_LEVEL CLK_000_P_SYNC_1_:0 -DATA SLEW CLK_000_P_SYNC_1_:1 -DATA PW_LEVEL CLK_000_P_SYNC_2_:0 -DATA SLEW CLK_000_P_SYNC_2_:1 -DATA PW_LEVEL CLK_000_P_SYNC_3_:0 -DATA SLEW CLK_000_P_SYNC_3_:1 -DATA PW_LEVEL CLK_000_P_SYNC_4_:0 -DATA SLEW CLK_000_P_SYNC_4_:1 -DATA PW_LEVEL CLK_000_P_SYNC_5_:0 -DATA SLEW CLK_000_P_SYNC_5_:1 -DATA PW_LEVEL CLK_000_P_SYNC_6_:0 -DATA SLEW CLK_000_P_SYNC_6_:1 -DATA PW_LEVEL CLK_000_P_SYNC_7_:0 -DATA SLEW CLK_000_P_SYNC_7_:1 -DATA PW_LEVEL CLK_000_P_SYNC_8_:0 -DATA SLEW CLK_000_P_SYNC_8_:1 -DATA PW_LEVEL CLK_000_N_SYNC_0_:0 -DATA SLEW CLK_000_N_SYNC_0_:1 -DATA PW_LEVEL CLK_000_N_SYNC_1_:0 -DATA SLEW CLK_000_N_SYNC_1_:1 -DATA PW_LEVEL CLK_000_N_SYNC_2_:0 -DATA SLEW CLK_000_N_SYNC_2_:1 -DATA PW_LEVEL CLK_000_N_SYNC_3_:0 -DATA SLEW CLK_000_N_SYNC_3_:1 -DATA PW_LEVEL CLK_000_N_SYNC_4_:0 -DATA SLEW CLK_000_N_SYNC_4_:1 -DATA PW_LEVEL CLK_000_N_SYNC_5_:0 -DATA SLEW CLK_000_N_SYNC_5_:1 -DATA PW_LEVEL CLK_000_N_SYNC_7_:0 -DATA SLEW CLK_000_N_SYNC_7_:1 -DATA PW_LEVEL CLK_000_N_SYNC_8_:0 -DATA SLEW CLK_000_N_SYNC_8_:1 -DATA PW_LEVEL CLK_000_N_SYNC_9_:0 -DATA SLEW CLK_000_N_SYNC_9_:1 -DATA PW_LEVEL CLK_000_N_SYNC_10_:0 -DATA SLEW CLK_000_N_SYNC_10_:1 -DATA PW_LEVEL SM_AMIGA_5_:0 -DATA SLEW SM_AMIGA_5_:1 -DATA PW_LEVEL SM_AMIGA_3_:0 -DATA SLEW SM_AMIGA_3_:1 -DATA PW_LEVEL SM_AMIGA_2_:0 -DATA SLEW SM_AMIGA_2_:1 -DATA PW_LEVEL un16_ciin:0 -DATA SLEW un16_ciin:1 -DATA PW_LEVEL cpu_est_0_:0 -DATA SLEW cpu_est_0_:1 -DATA PW_LEVEL cpu_est_1_:0 -DATA SLEW cpu_est_1_:1 -DATA PW_LEVEL cpu_est_2_:0 -DATA SLEW cpu_est_2_:1 -DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW_0:0 -DATA SLEW AMIGA_BUS_ENABLE_LOW_0:1 -DATA PW_LEVEL SM_AMIGA_7__0:0 -DATA SLEW SM_AMIGA_7__0:1 -DATA PW_LEVEL RN_IPL_030_2_:0 -DATA PW_LEVEL RN_AS_030:0 -DATA PW_LEVEL RN_AS_000:0 -DATA PW_LEVEL RN_RW_000:0 -DATA PW_LEVEL RN_DS_030:0 -DATA PW_LEVEL RN_BG_000:0 -DATA PW_LEVEL RN_BGACK_030:0 -DATA PW_LEVEL RN_IPL_030_1_:0 -DATA PW_LEVEL RN_DSACK1:0 -DATA PW_LEVEL RN_IPL_030_0_:0 -DATA PW_LEVEL RN_AVEC_EXP:0 -DATA PW_LEVEL RN_E:0 -DATA PW_LEVEL RN_VMA:0 -DATA PW_LEVEL RN_RW:0 -DATA PW_LEVEL RN_AMIGA_BUS_ENABLE:0 -DATA PW_LEVEL RN_AMIGA_BUS_ENABLE_LOW:0 -END diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp deleted file mode 100644 index 901fb39..0000000 --- a/Logic/68030_tk.grp +++ /dev/null @@ -1,26 +0,0 @@ - -GROUP MACH_SEG_A DS_030 RN_DS_030 CLK_000_P_SYNC_0_ CLK_000_N_SYNC_0_ inst_CLK_OUT_PRE_25 - CLK_000_N_SYNC_11_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_3_ - inst_CLK_000_D3 AVEC inst_CLK_030_H -GROUP MACH_SEG_B IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_ - RN_IPL_030_2_ CLK_EXP RESET inst_DS_000_ENABLE SM_AMIGA_4_ inst_UDS_000_INT - CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_N_SYNC_1_ -GROUP MACH_SEG_C AMIGA_BUS_ENABLE_LOW RN_AMIGA_BUS_ENABLE_LOW AVEC_EXP - RN_AVEC_EXP inst_AS_030_000_SYNC inst_CLK_000_NE CLK_000_N_SYNC_6_ - CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_8_ - CLK_CNT_P_1_ inst_VPA_D -GROUP MACH_SEG_D AMIGA_BUS_ENABLE RN_AMIGA_BUS_ENABLE VMA RN_VMA AS_000 - RN_AS_000 BG_000 RN_BG_000 SM_AMIGA_6_ SM_AMIGA_0_ cpu_est_0_ inst_BGACK_030_INT_D - DTACK LDS_000 UDS_000 -GROUP MACH_SEG_E CLK_CNT_N_0_ CLK_CNT_P_0_ CLK_000_P_SYNC_4_ CLK_000_N_SYNC_10_ - inst_CLK_OUT_PRE CLK_CNT_N_1_ inst_CLK_000_D1 CIIN AMIGA_BUS_DATA_DIR - un16_ciin AMIGA_BUS_ENABLE_LOW_0 -GROUP MACH_SEG_F SM_AMIGA_7_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_1_ SM_AMIGA_5_ - CLK_000_P_SYNC_7_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_9_ inst_CLK_000_D0 - SM_AMIGA_7__0 -GROUP MACH_SEG_G RW RN_RW A0 SIZE_0_ E RN_E CLK_DIV_OUT inst_LDS_000_INT - cpu_est_1_ cpu_est_2_ CLK_000_P_SYNC_9_ CLK_000_N_SYNC_4_ inst_CLK_000_D2 - -GROUP MACH_SEG_H AS_030 RN_AS_030 DSACK1 RN_DSACK1 RW_000 RN_RW_000 SIZE_1_ - BGACK_030 RN_BGACK_030 inst_CLK_OUT_PRE_D CLK_000_N_SYNC_7_ inst_CLK_OUT_PRE_50_D - inst_CLK_OUT_PRE_50 FPU_CS \ No newline at end of file diff --git a/Logic/68030_tk.imp b/Logic/68030_tk.imp deleted file mode 100644 index 18a49d6..0000000 --- a/Logic/68030_tk.imp +++ /dev/null @@ -1,2 +0,0 @@ -No pin assignment or valid property. -No source constraints were imported. \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index 27f6873..6407bc8 100644 --- a/Logic/68030_tk.ipr +++ b/Logic/68030_tk.ipr @@ -1 +1 @@ -1726215JW3x4r' \ No newline at end of file +3236<332 f[6P( \ No newline at end of file diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed index 33ec4e4..76da84c 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Sun Jun 22 21:24:26 2014 +DATE: Fri Jul 18 14:05:38 2014 ABEL mach447a * @@ -31,75 +31,75 @@ NOTE Spread Placement? Y * NOTE Run Time Upper Bound in 15 minutes 0 * NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* -NOTE PINS A_31_:4 IPL_2_:68 FC_1_:58 A_30_:5 UDS_000:32 A_29_:6* -NOTE PINS LDS_000:31 A_28_:15 A_27_:16 nEXP_SPACE:14 A_26_:17* -NOTE PINS BERR:41 A_25_:18 BG_030:21 A_24_:19 A_23_:84 A_22_:85* -NOTE PINS BGACK_000:28 A_21_:94 CLK_030:64 A_20_:93 CLK_000:11* -NOTE PINS A_19_:97 CLK_OSZI:61 A_18_:95 CLK_DIV_OUT:65 A_17_:59* -NOTE PINS A_16_:96 FPU_CS:78 DTACK:30 IPL_1_:56 AVEC:92 IPL_0_:67* -NOTE PINS FC_0_:57 VPA:36 RST:86 AMIGA_BUS_DATA_DIR:48 CIIN:47* -NOTE PINS SIZE_1_:79 IPL_030_2_:9 AS_030:82 AS_000:33 RW_000:80* -NOTE PINS SIZE_0_:70 DS_030:98 A0:69 BG_000:29 BGACK_030:83* -NOTE PINS CLK_EXP:10 IPL_030_1_:7 DSACK1:81 IPL_030_0_:8* -NOTE PINS AVEC_EXP:22 E:66 VMA:35 RESET:3 RW:71 AMIGA_BUS_ENABLE:34* -NOTE PINS AMIGA_BUS_ENABLE_LOW:20 * +NOTE PINS A_20_:93 A_19_:97 A_18_:95 A_17_:59 A_31_:4 A_16_:96* +NOTE PINS IPL_1_:56 IPL_2_:68 IPL_0_:67 FC_0_:57 FC_1_:58* +NOTE PINS UDS_000:32 LDS_000:31 nEXP_SPACE:14 BERR:41 BG_030:21* +NOTE PINS BGACK_000:28 CLK_030:64 CLK_000:11 CLK_OSZI:61* +NOTE PINS CLK_DIV_OUT:65 FPU_CS:78 FPU_SENSE:91 DTACK:30* +NOTE PINS AVEC:92 VPA:36 RST:86 AMIGA_ADDR_ENABLE:33 AMIGA_BUS_DATA_DIR:48* +NOTE PINS AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 A_30_:5 A_29_:6 A_28_:15 A_27_:16 A_26_:17* +NOTE PINS A_25_:18 A_24_:19 A_23_:85 A_22_:84 A_21_:94 SIZE_1_:79* +NOTE PINS IPL_030_1_:7 IPL_030_2_:9 IPL_030_0_:8 AS_030:82* +NOTE PINS AS_000:42 RW_000:80 DS_030:98 A0:69 BG_000:29 BGACK_030:83* +NOTE PINS CLK_EXP:10 DSACK1:81 E:66 VMA:35 RESET:3 RW:71* +NOTE PINS SIZE_0_:70 * NOTE Table of node names and numbers* -NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_DTACK:173 RN_SIZE_1_:271 * -NOTE NODES RN_IPL_030_2_:131 RN_AS_030:281 RN_AS_000:179 * -NOTE NODES RN_RW_000:269 RN_SIZE_0_:263 RN_DS_030:101 RN_A0:257 * -NOTE NODES RN_BG_000:193 RN_BGACK_030:275 RN_IPL_030_1_:143 * -NOTE NODES RN_DSACK1:287 RN_IPL_030_0_:137 RN_AVEC_EXP:149 * -NOTE NODES RN_E:251 RN_VMA:175 RN_RW:245 RN_AMIGA_BUS_ENABLE:181 * -NOTE NODES RN_AMIGA_BUS_ENABLE_LOW:167 inst_AS_030_000_SYNC:155 * -NOTE NODES inst_BGACK_030_INT_D:188 inst_VPA_D:164 inst_CLK_OUT_PRE_50_D:278 * -NOTE NODES CLK_CNT_N_0_:211 inst_CLK_OUT_PRE_50:289 inst_CLK_OUT_PRE_25:113 * -NOTE NODES inst_CLK_000_D1:203 inst_CLK_000_D2:260 inst_CLK_000_D3:116 * -NOTE NODES inst_CLK_000_D0:221 inst_CLK_000_NE:161 inst_CLK_OUT_PRE_D:283 * -NOTE NODES inst_CLK_OUT_PRE:212 CLK_000_P_SYNC_9_:254 CLK_000_N_SYNC_11_:110 * -NOTE NODES SM_AMIGA_7_:227 SM_AMIGA_6_:187 SM_AMIGA_1_:233 * -NOTE NODES SM_AMIGA_0_:182 SM_AMIGA_4_:145 CLK_000_N_SYNC_6_:158 * -NOTE NODES inst_CLK_030_H:119 CLK_CNT_P_1_:152 CLK_CNT_N_1_:206 * -NOTE NODES CLK_CNT_P_0_:209 inst_LDS_000_INT:265 inst_DS_000_ENABLE:133 * -NOTE NODES inst_UDS_000_INT:139 CLK_000_P_SYNC_0_:104 CLK_000_P_SYNC_1_:140 * -NOTE NODES CLK_000_P_SYNC_2_:134 CLK_000_P_SYNC_3_:121 CLK_000_P_SYNC_4_:200 * -NOTE NODES CLK_000_P_SYNC_5_:169 CLK_000_P_SYNC_6_:163 CLK_000_P_SYNC_7_:230 * -NOTE NODES CLK_000_P_SYNC_8_:115 CLK_000_N_SYNC_0_:109 CLK_000_N_SYNC_1_:128 * -NOTE NODES CLK_000_N_SYNC_2_:224 CLK_000_N_SYNC_3_:103 CLK_000_N_SYNC_4_:248 * -NOTE NODES CLK_000_N_SYNC_5_:157 CLK_000_N_SYNC_7_:272 CLK_000_N_SYNC_8_:151 * -NOTE NODES CLK_000_N_SYNC_9_:241 CLK_000_N_SYNC_10_:217 * -NOTE NODES SM_AMIGA_5_:239 SM_AMIGA_3_:223 SM_AMIGA_2_:235 * -NOTE NODES un16_ciin:199 cpu_est_0_:176 cpu_est_1_:253 cpu_est_2_:259 * -NOTE NODES AMIGA_BUS_ENABLE_LOW_0:205 SM_AMIGA_7__0:229 * +NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 RN_DTACK:173 * +NOTE NODES RN_AMIGA_ADDR_ENABLE:181 RN_SIZE_1_:271 RN_IPL_030_1_:143 * +NOTE NODES RN_IPL_030_2_:131 RN_IPL_030_0_:137 RN_AS_030:281 * +NOTE NODES RN_AS_000:203 RN_RW_000:269 RN_DS_030:101 RN_A0:257 * +NOTE NODES RN_BG_000:175 RN_BGACK_030:275 RN_DSACK1:287 * +NOTE NODES RN_E:251 RN_VMA:179 RN_RW:245 RN_SIZE_0_:263 * +NOTE NODES inst_AS_030_D0:209 inst_AS_030_000_SYNC:133 inst_BGACK_030_INT_D:200 * +NOTE NODES inst_VPA_D:146 inst_CLK_OUT_PRE_50_D:284 inst_CLK_OUT_PRE_D:109 * +NOTE NODES inst_CLK_OUT_PRE_50:283 inst_CLK_OUT_PRE_25:265 * +NOTE NODES inst_CLK_000_D1:205 inst_CLK_000_D0:113 inst_DS_030_D0:139 * +NOTE NODES inst_CLK_OUT_PRE:260 inst_CLK_000_E_ADVANCE:176 * +NOTE NODES inst_CLK_000_PE:253 CLK_000_P_SYNC_9_:140 inst_CLK_000_NE:161 * +NOTE NODES CLK_000_N_SYNC_11_:230 SM_AMIGA_6_:227 SM_AMIGA_4_:157 * +NOTE NODES SM_AMIGA_0_:193 inst_CLK_030_H:259 inst_LDS_000_INT:121 * +NOTE NODES inst_DS_000_ENABLE:167 inst_UDS_000_INT:151 CLK_000_P_SYNC_0_:224 * +NOTE NODES CLK_000_P_SYNC_1_:170 CLK_000_P_SYNC_2_:164 CLK_000_P_SYNC_3_:116 * +NOTE NODES CLK_000_P_SYNC_4_:158 CLK_000_P_SYNC_5_:110 CLK_000_P_SYNC_6_:152 * +NOTE NODES CLK_000_P_SYNC_7_:134 CLK_000_P_SYNC_8_:278 CLK_000_N_SYNC_0_:182 * +NOTE NODES CLK_000_N_SYNC_1_:241 CLK_000_N_SYNC_2_:128 CLK_000_N_SYNC_3_:169 * +NOTE NODES CLK_000_N_SYNC_4_:254 CLK_000_N_SYNC_5_:163 CLK_000_N_SYNC_6_:272 * +NOTE NODES CLK_000_N_SYNC_7_:289 CLK_000_N_SYNC_8_:248 CLK_000_N_SYNC_9_:104 * +NOTE NODES CLK_000_N_SYNC_10_:145 SM_AMIGA_7_:233 SM_AMIGA_1_:155 * +NOTE NODES SM_AMIGA_5_:223 SM_AMIGA_3_:229 SM_AMIGA_2_:239 * +NOTE NODES cpu_est_0_:103 cpu_est_1_:119 cpu_est_2_:115 * +NOTE NODES un14_ciin_0:217 CIIN_0:211 SM_AMIGA_7__0:235 * NOTE BLOCK 0 * L000000 - 111111111011111111111111111111111111111111111111111111111111111111 - 111111111101111111111111111111111111111111111011111111111111111111 - 111001111111111111111111111111111111111111111111111111111111111111 - 111111111111111111101111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111111011111111111111 - 111111111111111101111111111011011111111011111111111111111111111111 - 111111101111111111111111111111111111011111101111011111111111111111 - 111111111111101011111111011111111111111110111101111111111111111111 - 101111111111111111111111111111111111111111111111111111111101111111* + 111111111011111111111111111101111111111111111111111111111111111111 + 111111111101111111111011111111110110011111111011111111111111111111 + 101111111111111111111111111111111111111111111111111111011111111111 + 111111111111111111111110111111111111111111111110111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111101111011111111111111111111111111111110111111111111111 + 111101111111111111111111111011111111111111111111011111111011111111 + 111111111111111101111111111111111111111110111111111111111111111111 + 111111011111111111111111011111011111111111101111111110111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* L000660 111111111111111111111111111111111111111101111111111111111111111111* -L000726 111111111111111111111111111111111111111111111101111111111111111111* -L000792 111111111111111111111111011111111111111111111111011111111111111111* -L000858 111111010111111111111111111111011111111111111111111111111111111111* -L000924 111111011111111111111111111111111111111111011111111111111111111111* -L000990 111111111111111111011111111111111111111111111111111111111111111111* -L001056 111111111011111111111111111111111111111111101111111111111101111111* -L001122 111111111111111111111111111111101111111111101111111111111101111111* +L000726 111111111111111111111111111111111111111111111111111101111111111111* +L000792 111111111111111101111111111111111111111111111111011111111111111111* +L000858 111111110111111111110111110111111111111111111111111111111111111111* +L000924 111111111111111111111111110111111111111111111111111111110111111111* +L000990 111111101111111111111111011111111111111111111111111111111111111111* +L001056 111111011111111111111111101111111111111111111111111111111111111111* +L001122 000000000000000000000000000000000000000000000000000000000000000000* L001188 000000000000000000000000000000000000000000000000000000000000000000* L001254 000000000000000000000000000000000000000000000000000000000000000000* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 111111111111101110111111111111111111111111111011110111111111111111* -L001452 111111111111111111111111111111111111111111111111111111111111111111* -L001518 111111111111111111111111111111111111111111111111111111111111111111* -L001584 111111111111111111111111111111111111111111111111111111111111111111* -L001650 111111111111111111111111111111111111111111111111111111111111111111* +L001386 111111111111111111111111111111111111111111111101111111111111111111* +L001452 111111111011111111111111111111011111111111111111111111111011111111* +L001518 111111111111111111111011111111011111111111111111111111111011111111* +L001584 000000000000000000000000000000000000000000000000000000000000000000* +L001650 000000000000000000000000000000000000000000000000000000000000000000* L001716 111111111111111111111111111111111111111111111111111111111111111111* L001782 111111111111111111111111111111111111111111111111111111111111111111* L001848 111111111111111111111111111111111111111111111111111111111111111111* @@ -112,14 +112,14 @@ L002178 111111111111111111111111111111111111111111111111111111111111111111* L002244 111111111111111111111111111111111111111111111111111111111111111111* L002310 111111111111111111111111111111111111111111111111111111111111111111* L002376 111111111111111111111111111111111111111111111111111111111111111111* -L002442 111111111111011101111111111111111111111111110111111011111111111111* +L002442 111111111111111111111111111111111111111111110111111111111111111111* L002508 111111111111111111111111111111111111111111111111111111111111111111* L002574 111111111111111111111111111111111111111111111111111111111111111111* L002640 111111111111111111111111111111111111111111111111111111111111111111* L002706 111111111111111111111111111111111111111111111111111111111111111111* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 111111111111111111111111110111111111111111111111111111111111111111* +L002838 111111111111111111111111111111111111111111111111111111011111111111* L002904 111111111111111111111111111111111111111111111111111111111111111111* L002970 111111111111111111111111111111111111111111111111111111111111111111* L003036 111111111111111111111111111111111111111111111111111111111111111111* @@ -131,19 +131,19 @@ L003366 111111111111111111111111111111111111111111111111111111111111111111* L003432 111111111111111111111111111111111111111111111111111111111111111111* L003498 000000000000000000000000000000000000000000000000000000000000000000* -L003564 111111111111110111111111111111111111011111111111111111111111111111* -L003630 111111111111111111111111111111111111011011111111111111111111111111* -L003696 111111111111111011111111111111111111100111111111111111111111111111* -L003762 000000000000000000000000000000000000000000000000000000000000000000* -L003828 000000000000000000000000000000000000000000000000000000000000000000* -L003894 110111111111111111111111111111111111111111111111111111111111111111* -L003960 111111111111111111111111111111111111111111111111111111111111111111* -L004026 111111111111111111111111111111111111111111111111111111111111111111* -L004092 111111111111111111111111111111111111111111111111111111111111111111* -L004158 111111111111111111111111111111111111111111111111111111111111111111* +L003564 111111111111111111111111111101111111111111111111111111111111111111* +L003630 111111111111111111111111111111111111111111111111111111111111111111* +L003696 111111111111111111111111111111111111111111111111111111111111111111* +L003762 111111111111111111111111111111111111111111111111111111111111111111* +L003828 111111111111111111111111111111111111111111111111111111111111111111* +L003894 111111011111111111101111101111111111111111111111111111111111111111* +L003960 111101101111111111111111111111111111111111111111111111111111111111* +L004026 111101111111111111011111111111111111111111111111111111111111111111* +L004092 111111011111111111111101011111111111111111111111111111111111111111* +L004158 000000000000000000000000000000000000000000000000000000000000000000* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 111111111111111111111111111111111111111111110111111111111111111111* +L004290 111111111111111111111111111111111111011111111111111111111111111111* L004356 111111111111111111111111111111111111111111111111111111111111111111* L004422 111111111111111111111111111111111111111111111111111111111111111111* L004488 111111111111111111111111111111111111111111111111111111111111111111* @@ -155,18 +155,18 @@ L004818 111111111111111111111111111111111111111111111111111111111111111111* L004884 111111111111111111111111111111111111111111111111111111111111111111* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 101111111111111111111111111111011111111111111111111111111111111111* -L005082 011111101011111111111111101111111111111110111110111111111111111111* -L005148 111111111111111111111111101111011111111110111110111111111111111111* -L005214 011111101011111111111111111111111111111110111110101111111111111111* -L005280 111111111111111111111111111111011111111110111110101111111111111111* -L005346 111101111111111111111111111111111111111111111111111111111111111111* -L005412 111111111111111111111111111111111111111111111111111111111111111111* -L005478 111111111111111111111111111111111111111111111111111111111111111111* -L005544 111111111111111111111111111111111111111111111111111111111111111111* -L005610 111111111111111111111111111111111111111111111111111111111111111111* +L005016 111111101111111111011111111111111111111111111111111111111111111111* +L005082 111111111111111111011111101111111111111111111111111111111111111111* +L005148 111111011111111111101111011111111111111111111111111111111111111111* +L005214 111101011111111111111101111111111111111111111111111111111111111111* +L005280 111110011111111111111110111111111111111111111111111111111111111111* +L005346 111111111111111111111111111111110111111111111111110111111111111111* +L005412 101111111111101111111111111111111001111111111111111111111111111111* +L005478 000000000000000000000000000000000000000000000000000000000000000000* +L005544 000000000000000000000000000000000000000000000000000000000000000000* +L005610 000000000000000000000000000000000000000000000000000000000000000000* L005676 - 111111101110111111111111111111111111111110111111111111111111111111* + 111111111110111111111111111011111111111110111111111111111111111111* L005742 111111111111111111111111111111111111111111111111111111111111111111* L005808 111111111111111111111111111111111111111111111111111111111111111111* L005874 111111111111111111111111111111111111111111111111111111111111111111* @@ -179,38 +179,38 @@ L006270 111111111111111111111111111111111111111111111111111111111111111111* L006336 111111111111111111111111111111111111111111111111111111111111111111* L006402 000000000000000000000000000000000000000000000000000000000000000000 - 101111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111101111111111111111111111* L006534 0010* L006538 10100110011000* -L006552 00110100011110* -L006566 00010100010100* +L006552 10100100010010* +L006566 00000100010100* L006580 11100011111111* L006594 00111111111001* L006608 00000100010011* L006622 00010100010000* L006636 11100011110011* -L006650 10100100010000* -L006664 00000100010010* -L006678 00010110010000* +L006650 00110110010000* +L006664 10100100010010* +L006678 00010100010000* L006692 11101111110011* -L006706 10100110010001* -L006720 00000100010011* +L006706 10100100010001* +L006720 10100110010011* L006734 11010011110100* L006748 11111011110011* NOTE BLOCK 1 * L006762 - 111011111111111111110111011111111111111111111111111111111111111111 - 111101111111011110111111111111111111111111111111111111111111111111 - 111111101011111111111111111111100101111111111111111111110111111111 - 101111111111111111111111111111111111111111111111111111011111111111 - 111111111101111111011111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111110111111110111111111111111111111111111111111111111111 - 111111111111111111111111111111111111011011111111111111111111111111 - 111111111111111111111111111111111111111111100111111011111111111111* + 111111111111111111111111111111101111111111111111111101111111111111 + 111111111101111111111111111111111111111111111111111111111111111111 + 111111101111110111111111111111111111111110111111100111111111100111 + 111101111111111111111111101011111011111111111111111111011111111111 + 111111111111111111111111111111111111011111111111111111111111111111 + 111111110111011111111111111111111111111111111111111111111111111111 + 111111111111111110111101111111111101111111111111111111111111111111 + 111111111111111111111111111111111111111111101111111111110111111111 + 101011111111111111110111111111111111111111110111111111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* -L007422 111111111111111111111101111111111111111111111111111111111111111111* +L007422 111111111111111111111111111111111111111111111111111111110111111111* L007488 000000000000000000000000000000000000000000000000000000000000000000* L007554 000000000000000000000000000000000000000000000000000000000000000000* L007620 000000000000000000000000000000000000000000000000000000000000000000* @@ -222,7 +222,7 @@ L007950 000000000000000000000000000000000000000000000000000000000000000000* L008016 000000000000000000000000000000000000000000000000000000000000000000* L008082 111111111111111111111111111111111111111111111111111111111111111111* -L008148 111111111111111111111111111111111111011111111111111111111111111111* +L008148 111111111111111111111111111111011111111111111111111111111111111111* L008214 111111111111111111111111111111111111111111111111111111111111111111* L008280 111111111111111111111111111111111111111111111111111111111111111111* L008346 111111111111111111111111111111111111111111111111111111111111111111* @@ -234,23 +234,23 @@ L008676 111111111111111111111111111111111111111111111111111111111111111111* L008742 111111111111111111111111111111111111111111111111111111111111111111* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 111111110111111111011111111111111111111111111111111111111111111111* -L008940 111111111111111111101111111111111111111111111111111111011111111111* +L008874 111111111111110111111111111111111111111111111111111111111111011111* +L008940 111111111111111011111111111111111111111111111111111111011111111111* L009006 000000000000000000000000000000000000000000000000000000000000000000* L009072 000000000000000000000000000000000000000000000000000000000000000000* L009138 000000000000000000000000000000000000000000000000000000000000000000* -L009204 111111111111111111010111111111111111111111111111111111111111111111* -L009270 111111111111111111111111111111111111111011111111110111110111111111* -L009336 111111111111110101011111111111111111111111111111111111111111111111* -L009402 000000000000000000000000000000000000000000000000000000000000000000* -L009468 000000000000000000000000000000000000000000000000000000000000000000* +L009204 111111111111111101111111111111111111111111111111111111111111111111* +L009270 111111111110111111111111111111111111111111111111111111111111110111* +L009336 111111111011111111111111111111111111111111111111111111111111110111* +L009402 111111111111101111111110010111111110111111111111011111111111110111* +L009468 111111111111111111111111111111111111111110111111111111111111110111* L009534 111111111111111111111111111111111111111111111111111111111111111111* L009600 111101111111111111111111111111111111111111111111111111111111111111* -L009666 111111111111111111111111111111111111111111111111111111111111111111* -L009732 111111111111111111111111111111111111111111111111111111111111111111* -L009798 111111111111111111111111111111111111111111111111111111111111111111* -L009864 111111111111111111111111111111111111111111111111111111111111111111* +L009666 111011111111111111111111111111111111111111111111111111111111111111* +L009732 000000000000000000000000000000000000000000000000000000000000000000* +L009798 000000000000000000000000000000000000000000000000000000000000000000* +L009864 000000000000000000000000000000000000000000000000000000000000000000* L009930 111111111111111111111111111111111111111111111111111111111111111111* L009996 111111111111111111111111111111111111111111111111111111111111111111* L010062 111111111111111111111111111111111111111111111111111111111111111111* @@ -258,19 +258,19 @@ L010128 111111111111111111111111111111111111111111111111111111111111111111* L010194 111111111111111111111111111111111111111111111111111111111111111111* L010260 000000000000000000000000000000000000000000000000000000000000000000* -L010326 011111111111111111011111111111111111111111111111111111111111111111* -L010392 111111111111111111101111111111111101111111111111111111111111111111* -L010458 000000000000000000000000000000000000000000000000000000000000000000* -L010524 000000000000000000000000000000000000000000000000000000000000000000* -L010590 000000000000000000000000000000000000000000000000000000000000000000* -L010656 111111111101011111111111111111111111111111111111111111111111111111* -L010722 111111111110111111111111111111011111111111111111111111111111111111* -L010788 000000000000000000000000000000000000000000000000000000000000000000* +L010326 111111111111111111111111111111111111111111111111111111111111111111* +L010392 111111111111111111111111111111111111111111111111111111111111111111* +L010458 111111111111111111111111111111111111111111111111111111111111111111* +L010524 111111111111111111111111111111111111111111111111111111111111111111* +L010590 111111111111111111111111111111111111111111111111111111111111111111* +L010656 111111111111111111111111111111111111011111111111111111111111111111* +L010722 111111111111110111111111111111110111111111111111111111111111111111* +L010788 111111111111111011111111111111111111111111111111110111111111111111* L010854 000000000000000000000000000000000000000000000000000000000000000000* L010920 000000000000000000000000000000000000000000000000000000000000000000* L010986 000000000000000000000000000000000000000000000000000000000000000000* -L011052 111111111111111111111111111111111111111111110111111111111111111111* +L011052 111111111111111111111111111111111111111111011111111111111111111111* L011118 111111111111111111111111111111111111111111111111111111111111111111* L011184 111111111111111111111111111111111111111111111111111111111111111111* L011250 111111111111111111111111111111111111111111111111111111111111111111* @@ -282,19 +282,19 @@ L011580 111111111111111111111111111111111111111111111111111111111111111111* L011646 111111111111111111111111111111111111111111111111111111111111111111* L011712 000000000000000000000000000000000000000000000000000000000000000000* -L011778 111111011111111111011111111111111111111111111111111111111111111111* -L011844 111111111111111111101111011111111111111111111111111111111111111111* -L011910 000000000000000000000000000000000000000000000000000000000000000000* -L011976 000000000000000000000000000000000000000000000000000000000000000000* -L012042 000000000000000000000000000000000000000000000000000000000000000000* -L012108 111111111111111111100111111111111111111111111111110111111111111111* -L012174 110111111111111111111111111111110111111111111111111111111111111111* -L012240 000000000000000000000000000000000000000000000000000000000000000000* +L011778 111111111111111111111111111111111111111111111111111111111111111111* +L011844 111111111111111111111111111111111111111111111111111111111111111111* +L011910 111111111111111111111111111111111111111111111111111111111111111111* +L011976 111111111111111111111111111111111111111111111111111111111111111111* +L012042 111111111111111111111111111111111111111111111111111111111111111111* +L012108 111111111111111111111111111111111111111111110111111111111111111111* +L012174 111111011111110111111111111111111111111111111111111111111111111111* +L012240 111111111111111011111111111111111111111111111111111101111111111111* L012306 000000000000000000000000000000000000000000000000000000000000000000* L012372 000000000000000000000000000000000000000000000000000000000000000000* L012438 111111111111111111111111111111111111111111111111111111111111111111* -L012504 111111111111111111111111111111111111111111111111111111111111111111* +L012504 111111111111111111110111111111111111111111111111111111111111111111* L012570 111111111111111111111111111111111111111111111111111111111111111111* L012636 111111111111111111111111111111111111111111111111111111111111111111* L012702 111111111111111111111111111111111111111111111111111111111111111111* @@ -306,50 +306,50 @@ L013032 111111111111111111111111111111111111111111111111111111111111111111* L013098 111111111111111111111111111111111111111111111111111111111111111111* L013164 000000000000000000000000000000000000000000000000000000000000000000 - 111111111111111111111111111111111111111111101111111111111111111111* + 101111111111111111111111111111111111111111111111111111111111111111* L013296 0010* L013300 00100100010000* L013314 00100100011110* L013328 00010100010100* L013342 11100011111111* L013356 10100110010011* -L013370 10100100011111* -L013384 00010100010110* +L013370 10100110011111* +L013384 00110100010110* L013398 11101111111111* -L013412 10100110011001* -L013426 10100110010011* +L013412 10110110011001* +L013426 00110110010011* L013440 00010100010000* L013454 11101011110010* -L013468 10100110011000* -L013482 10100100010011* -L013496 11011111111100* -L013510 11110011111110* +L013468 10110110011000* +L013482 00110100010011* +L013496 00010110011100* +L013510 11101111111110* NOTE BLOCK 2 * L013524 - 111111110111111111111111111111111111111111111111111111111111011111 - 111111111101111111111111111111111111111111111111111111111111111111 - 111111111111101111111111111111111111111111111110111111111111111111 - 111011111111110110111011111111111111111111111111111111111101111011 + 111111110111111111111111111111111111011111111111111111111111111011 + 111111111111011111111110111111111111111111111111111111111111111111 + 101111111110111111111111111111110111111011111111111111111111111111 + 111111111111110111101011111111111111111111111011110111111101111111 + 110111111111111111111111111111111111111111111111111111111111101111 + 111111111111111111111111111101111111111111111111111111111111111111 + 111111011111111110111111111111011111111111111111111111111111111111 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111011111111111011111111111111111111111111111 - 111111111111111111111101111111011001111111111111111111111111111111 - 111111111111111111101111111111111111111110111111111110111111111111 - 101111101111111111111111110110111111111111111111111011111111111111* + 111111111111111111111111111111111110111111101111111111111111111111* L014118 000000000000000000000000000000000000000000000000000000000000000000* -L014184 111111111111111111111111111111111111111111111101111111111111111111* +L014184 111111111111111111111111111111111111111111111111111111111111111111* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 111111111111111111111111111101111111111111111111111111111111111111* -L014580 000000000000000000000000000000000000000000000000000000000000000000* +L014514 110111111111011111111111111111111111111111111111111111111111111111* +L014580 011111111111101111111111111111111111111111111111111111111111111111* L014646 000000000000000000000000000000000000000000000000000000000000000000* L014712 000000000000000000000000000000000000000000000000000000000000000000* L014778 000000000000000000000000000000000000000000000000000000000000000000* L014844 000000000000000000000000000000000000000000000000000000000000000000* -L014910 111111111111111111111111111111110111111111111111111111111111111111* +L014910 111111111111111111111111111111011111111111111111111111111111111111* L014976 111111111111111111111111111111111111111111111111111111111111111111* L015042 111111111111111111111111111111111111111111111111111111111111111111* L015108 111111111111111111111111111111111111111111111111111111111111111111* @@ -361,19 +361,19 @@ L015438 111111111111111111111111111111111111111111111111111111111111111111* L015504 111111111111111111111111111111111111111111111111111111111111111111* L015570 000000000000000000000000000000000000000000000000000000000000000000* -L015636 111111111111111111111111111111111111111111111111111011111111111111* -L015702 111111111110111111111111111111111111111111111111111111111101111111* -L015768 110111111111011101111110101111111110011111111111111111111101111111* -L015834 111111111111111111111111111111111111111110111111111111111101111111* -L015900 111111111111111111111011111111111111111111111111111111111101111111* -L015966 111111111111111111111111111111111111111111111111111111111111110111* -L016032 111111111111111111011111111111111111111111111111111111111111111111* +L015636 111111111111111111111111111111111111111111110111111111111111110111* +L015702 111111111111111111111111111111111001111111111111111111111101111111* +L015768 000000000000000000000000000000000000000000000000000000000000000000* +L015834 000000000000000000000000000000000000000000000000000000000000000000* +L015900 000000000000000000000000000000000000000000000000000000000000000000* +L015966 111111111111111111111111111111110111111111111111111111111111011111* +L016032 111111111111110111111111111111111101111111111011111111111111111111* L016098 000000000000000000000000000000000000000000000000000000000000000000* L016164 000000000000000000000000000000000000000000000000000000000000000000* L016230 000000000000000000000000000000000000000000000000000000000000000000* L016296 000000000000000000000000000000000000000000000000000000000000000000* -L016362 111111111111110111111111111111111111111111111111111111111111111111* +L016362 111111111111111111111111111101111111111111111111111111111111111111* L016428 111111111111111111111111111111111111111111111111111111111111111111* L016494 111111111111111111111111111111111111111111111111111111111111111111* L016560 111111111111111111111111111111111111111111111111111111111111111111* @@ -385,19 +385,19 @@ L016890 111111111111111111111111111111111111111111111111111111111111111111* L016956 111111111111111111111111111111111111111111111111111111111111111111* L017022 000000000000000000000000000000000000000000000000000000000000000000* -L017088 111111111111111111111111111111011111111111111111111111111111111111* +L017088 111111111111111111111111111111111111110111111111111111111111111111* L017154 111111111111111111111111111111111111111111111111111111111111111111* L017220 111111111111111111111111111111111111111111111111111111111111111111* L017286 111111111111111111111111111111111111111111111111111111111111111111* L017352 111111111111111111111111111111111111111111111111111111111111111111* -L017418 111111111111111111111111111111111111111111111111111111111111011111* +L017418 111111111101111111111111111111111111111111111111111111111111111111* L017484 111111111111111111111111111111111111111111111111111111111111111111* L017550 111111111111111111111111111111111111111111111111111111111111111111* L017616 111111111111111111111111111111111111111111111111111111111111111111* L017682 111111111111111111111111111111111111111111111111111111111111111111* L017748 111111111111111111111111111111111111111111111111111111111111111111* -L017814 111111111111111111111111110111111111111111111111111111111111111111* +L017814 111111111111111111111111111111111111011111111111111111111111111111* L017880 111111111111111111111111111111111111111111111111111111111111111111* L017946 111111111111111111111111111111111111111111111111111111111111111111* L018012 111111111111111111111111111111111111111111111111111111111111111111* @@ -409,19 +409,19 @@ L018342 111111111111111111111111111111111111111111111111111111111111111111* L018408 111111111111111111111111111111111111111111111111111111111111111111* L018474 000000000000000000000000000000000000000000000000000000000000000000* -L018540 111111111011111111111111111111111111111111111111111111111111111111* -L018606 111111111111111111111111111111111111111111111111111101111111111111* -L018672 101111111111111111111111111111111111111111111111111111111111111111* -L018738 111111111111111111111111111111111111111111111111111111111111111111* -L018804 111111111111111111111111111111111111111111111111111111111111111111* -L018870 111111011111111111111111111111111111111111111111111111111111111111* +L018540 111111011111111111110101111111111111111111111111111111111111111111* +L018606 111111111111110111111111111111111111111111110111111111111111111111* +L018672 111111110111111110111111111111111101111111111111111111111111111111* +L018738 000000000000000000000000000000000000000000000000000000000000000000* +L018804 000000000000000000000000000000000000000000000000000000000000000000* +L018870 111111111111111111111111111111111111111111111111110111111111111111* L018936 111111111111111111111111111111111111111111111111111111111111111111* L019002 111111111111111111111111111111111111111111111111111111111111111111* L019068 111111111111111111111111111111111111111111111111111111111111111111* L019134 111111111111111111111111111111111111111111111111111111111111111111* L019200 - 111111111111111111111111111111111111111111111111111111111111111111* -L019266 111111111111111111111111111111111111111111111111111111111111111111* + 000000000000000000000000000000000000000000000000000000000000000000* +L019266 111111111111111111011111111111111111111111111111111111111111111111* L019332 111111111111111111111111111111111111111111111111111111111111111111* L019398 111111111111111111111111111111111111111111111111111111111111111111* L019464 111111111111111111111111111111111111111111111111111111111111111111* @@ -432,36 +432,36 @@ L019728 111111111111111111111111111111111111111111111111111111111111111111* L019794 111111111111111111111111111111111111111111111111111111111111111111* L019860 111111111111111111111111111111111111111111111111111111111111111111* L019926 - 101111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111101111111111111111111111 000000000000000000000000000000000000000000000000000000000000000000* L020058 0010* -L020062 00100110011000* -L020076 00100110010010* -L020090 00010110010000* -L020104 11100011110011* -L020118 10100100010001* -L020132 00110110010011* -L020146 00010110010000* -L020160 11101111110011* -L020174 00110110010001* -L020188 00000110010011* -L020202 00010100010100* -L020216 11101011111110* -L020230 00111110001000* +L020062 00100011111000* +L020076 10100100010011* +L020090 00010110010001* +L020104 11101011110011* +L020118 10100110010000* +L020132 10100110010010* +L020146 00010110010001* +L020160 11100011110011* +L020174 00110110010000* +L020188 00000110010010* +L020202 00010110010110* +L020216 11101111111111* +L020230 10100110010001* L020244 00000110010011* -L020258 11011111110100* -L020272 11110011111110* +L020258 00010110010000* +L020272 11100011111111* NOTE BLOCK 3 * L020286 - 111111111111111111111111101111111111111111111111011111111111111111 - 111111110111111101111011111111010111111111111111111111111111111111 - 111111111111110111111111111111111111111111111111111111111111110110 - 111110101111011111111111111111111111111111111111111111111110111111 - 111111111110111111011111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111101011111111111111111111111 - 111111111111111111111111111011111110111111111111110111111111111111 - 111111111111111111111101111101111111111011111010111111111111011111 - 101011111111111111111111111111111111111111111111111111010111111111* + 111111111111111111011111111111011111111111111111111111111111111111 + 111111110101111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111110111111110111111111111110111111111 + 111111111111011111111111111111111111111111111111111111111111111110 + 111111111111111111111111111111111111111111110111111111111111111111 + 111111111111111111111111111111111111111111011111010111111111111111 + 111111111111111110111111111011111110011111111111111111111111111111 + 111111111111110111110111111110111111111111111110111111111111111111 + 101001101111111111111111011111111111111111111111111111111111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* L020946 111111111111111111111111111111111101111111111111111111111111111111* @@ -469,62 +469,62 @@ L021012 000000000000000000000000000000000000000000000000000000000000000000* L021078 000000000000000000000000000000000000000000000000000000000000000000* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 111111101111110110111111111111111111111111111111111111010111111111* -L021342 111111111111111111111111111111111111111111111111111111011111111111* -L021408 111110011111111111010111111101111111111111111111111111101011111111* +L021276 111111111001111101111111111111111111011111111111111111111111111111* +L021342 111110111011111111111111111111111111111111111111111111111111111111* +L021408 000000000000000000000000000000000000000000000000000000000000000000* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111111111111111101111111111111111111111111111111111110111111111* -L021738 111111111111111111011111111111111111111111111111111111111011111111* -L021804 000000000000000000000000000000000000000000000000000000000000000000* -L021870 000000000000000000000000000000000000000000000000000000000000000000* -L021936 000000000000000000000000000000000000000000000000000000000000000000* +L021672 111111111111111111111111111111110111111111111111111111111111111111* +L021738 111111111111111111111111111111111111111111111111111111111111111111* +L021804 111111111111111111111111111111111111111111111111111111111111111111* +L021870 111111111111111111111111111111111111111111111111111111111111111111* +L021936 111111111111111111111111111111111111111111111111111111111111111111* L022002 111111111111111111111111111111111111111111111111111111111111111111* L022068 111111111111111111111111111111111111111111111111111111111111111111* L022134 111111111111111111111111111111111111111111111111111111111111111111* L022200 111111111111111111111111111111111111111111111111111111111111111111* L022266 111111111111111111111111111111111111111111111111111111111111111111* L022332 - 111111111111111111111111111111111111111111111101111111111111111111* -L022398 111111111111111111011111111111111111111111111111110111111111111111* -L022464 110111111111111111111111111110111111111011111111111111111111111111* -L022530 000000000000000000000000000000000000000000000000000000000000000000* -L022596 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111111111111111111111111111111111111111111111111111111111* +L022398 111111111111111111111011111111111111111111111111111111111111111110* +L022464 111111111111111111111011111111111111111111101111111111111111111111* +L022530 111111111111111111101111011111110111111111111111101111111111111110* +L022596 111111111111111111101111011111110111111111101111101111111111111111* L022662 000000000000000000000000000000000000000000000000000000000000000000* L022728 111111111111111111111111111111111111111111111110111111111111111111* -L022794 111111111111111011111110111111111111111101111111111111111110101111* -L022860 111111111110101111111111111111011111111101110111111111111101101110* -L022926 111111111111111111111110111111111111111101111111111111111110101110* -L022992 111111111111111111111110111111111111111001111111111111111111111101* +L022794 111111011101111111111111111101111111101101111111111111111011111111* +L022860 110111011111111010111111111111111111111111111111111111111111111111* +L022926 000000000000000000000000000000000000000000000000000000000000000000* +L022992 000000000000000000000000000000000000000000000000000000000000000000* L023058 111111111111111111111111111111111111111111111101111111111111111111* -L023124 110111111111111111101111111111111111111111111111111111111111011111* -L023190 111111111111110111111111111111111111111111111111111111111111101101* -L023256 000000000000000000000000000000000000000000000000000000000000000000* -L023322 000000000000000000000000000000000000000000000000000000000000000000* -L023388 000000000000000000000000000000000000000000000000000000000000000000* -L023454 111111111111111111111110111111111111111001111111111111111111011111* -L023520 000000000000000000000000000000000000000000000000000000000000000000* -L023586 000000000000000000000000000000000000000000000000000000000000000000* -L023652 000000000000000000000000000000000000000000000000000000000000000000* -L023718 000000000000000000000000000000000000000000000000000000000000000000* +L023124 111111111111111111111111111101111111101111111111111111111111111111* +L023190 111111111111111111111111111111111111111111111111111111111111111111* +L023256 111111111111111111111111111111111111111111111111111111111111111111* +L023322 111111111111111111111111111111111111111111111111111111111111111111* +L023388 111111111111111111111111111111111111111111111111111111111111111111* +L023454 111111111111111111111111111111111111111111111111111111111111111111* +L023520 111111111111111111111111111111111111111111111111111111111111111111* +L023586 111111111111111111111111111111111111111111111111111111111111111111* +L023652 111111111111111111111111111111111111111111111111111111111111111111* +L023718 111111111111111111111111111111111111111111111111111111111111111111* L023784 111111111111111111111111111111111111111111111101111111111111111111* -L023850 111111111111111111111111111111111011111111111111111111111111110111* +L023850 111111111111111111111111111111011111111111111011111111111111111111* L023916 111111111111111111111111111111111111111111111111111111111111111111* L023982 111111111111111111111111111111111111111111111111111111111111111111* L024048 111111111111111111111111111111111111111111111111111111111111111111* L024114 111111111111111111111111111111111111111111111111111111111111111111* -L024180 111111111110101111111111111111011111111111110111111111111101111111* -L024246 110111111111111111101111111111111111111111111111110111111111111111* -L024312 000000000000000000000000000000000000000000000000000000000000000000* -L024378 000000000000000000000000000000000000000000000000000000000000000000* -L024444 000000000000000000000000000000000000000000000000000000000000000000* +L024180 111111111111110111111111111111111111111111111111111111111111111111* +L024246 111111111111111111111111111111111111111111111111111111111111111111* +L024312 111111111111111111111111111111111111111111111111111111111111111111* +L024378 111111111111111111111111111111111111111111111111111111111111111111* +L024444 111111111111111111111111111111111111111111111111111111111111111111* L024510 - 111111111111111111111111111011101111111111111110111111111111111111* -L024576 111111111111111111111111111111111111111111111101111111111111111111* + 111111111110111111111111111011111111111111111110111111111111111111* +L024576 111111111111111111111111111111111111111111111111111111111111111111* L024642 111111111111111111111111111111111111111111111111111111111111111111* L024708 111111111111111111111111111111111111111111111111111111111111111111* L024774 111111111111111111111111111111111111111111111111111111111111111111* @@ -536,13 +536,13 @@ L025104 111111111111111111111111111111111111111111111111111111111111111111* L025170 111111111111111111111111111111111111111111111111111111111111111111* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 111111111111111111111111101111111111111111111111111111111111110111* +L025302 111111111111111111111111111111011111111111111111111011111111111111* L025368 111111111111111111111111111111111111111111111111111111111111111111* L025434 111111111111111111111111111111111111111111111111111111111111111111* L025500 111111111111111111111111111111111111111111111111111111111111111111* L025566 111111111111111111111111111111111111111111111111111111111111111111* -L025632 111111111011111111111111111111111111111111101111111111111111111111* -L025698 111111111011111111111111111111011111110111111111011111111111111111* +L025632 111111111111011111111111111111110111111111101111111111111111111111* +L025698 110111111111111111111111111111111111111111011111111111111111111110* L025764 000000000000000000000000000000000000000000000000000000000000000000* L025830 000000000000000000000000000000000000000000000000000000000000000000* L025896 000000000000000000000000000000000000000000000000000000000000000000* @@ -562,48 +562,48 @@ L026688 000000000000000000000000000000000000000000000000000000000000000000 101111111111111111111111111111111111111111111111111111111111111111* L026820 0010* -L026824 00100011111000* -L026838 00100110011111* -L026852 10100100011101* +L026824 00100011110010* +L026838 11100110011111* +L026852 00010100011111* L026866 11101011111111* -L026880 11100110010000* -L026894 11100110010010* -L026908 10100100010101* -L026922 11000011110011* +L026880 11100110011000* +L026894 11100110011110* +L026908 00010100010101* +L026922 11100011110011* L026936 01111111110010* -L026950 10100100010010* -L026964 00010110010110* -L026978 11100011110011* -L026992 01111011111001* -L027006 11100110011111* -L027020 11011111110000* -L027034 11110011110011* +L026950 00000011110010* +L026964 11011011110110* +L026978 11111111110011* +L026992 01110011111011* +L027006 10100100011111* +L027020 11011011110000* +L027034 11111111110011* NOTE BLOCK 4 * L027048 - 111111111111101111111111111111111111111111111111111111111111111111 - 110111111101111110111111111111111111110111111111111111111111111111 - 111111110111111111111111011101111111111111111111111111111111111111 - 111111111111111111111101111111111101111111110111111111111111111111 - 111111111111110111111111111111111111111111111111111111111111111011 - 111111111111111111111111111111111111111111111111110111111111111111 - 101110011111111111111111111111111011111111111111111111111111111111 - 111111111111111111111111111111011111111110111101111111111101111110 - 111111111111111111101111111011111111101111101111111111111111111111* + 111111111111111111111111111111111111111111111111111111111111111111 + 110111111101111111011111111111111111111111111111111111111111111111 + 111111111111101111111111011101111111111111011111111111111111111111 + 111111011111111110111011111111111101111110111111111111111111111111 + 111111111111110111111111111111111111111111111111111111111111111111 + 111111110111111111111111111011111111111111111111111111011111111111 + 111111111111111111111111111111111011111111111111101101111011010111 + 111111111111111111111111111111011111111111111010111111111101111110 + 101110111111111111111110111111111111100111111111111011111111111111* L027642 - 000000000000000000000000000000000000000000000000000000000000000000* -L027708 111111111110111101111111111111111111111110111110111111111111111111* -L027774 111111111111111110111111111111111111111101111111111111111111111111* + 111111110111011101111111111111111111110101111111111110101111111010* +L027708 000000000000000000000000000000000000000000000000000000000000000000* +L027774 000000000000000000000000000000000000000000000000000000000000000000* L027840 000000000000000000000000000000000000000000000000000000000000000000* L027906 000000000000000000000000000000000000000000000000000000000000000000* L027972 000000000000000000000000000000000000000000000000000000000000000000* -L028038 111011111011111011011110101110011110011011111111111111111101111110* -L028104 111011111001111011111110101110111110111011111111111111111111111111* -L028170 111111111101111111111111111111111111111111111111111111111111111101* +L028038 111110111110111111111111111111111111111111111110111111110111111111* +L028104 111111111111111111111111111111111111111111111101111111111011111111* +L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 - 000000000000000000000000000000000000000000000000000000000000000000* -L028434 111111111111111111111111111111111111111111111111110111111111111111* + 111111111111111111111111111111111111111111111101111111111111111111* +L028434 111111111111111111111111111111111111111111111101111111111111111111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* L028632 111111111111111111111111111111111111111111111111111111111111111111* @@ -615,19 +615,19 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111111111111111111111111111111111111111111111110111* -L029226 111111111111111111111111111111111111111111111111111111111111111111* -L029292 111111111111111111111111111111111111111111111111111111111111111111* -L029358 111111111111111111111111111111111111111111111111111111111111111111* -L029424 111111111111111111111111111111111111111111111111111111111111111111* -L029490 111110111111111111111111111111110111111111111111111111111111111111* -L029556 011111111111111111111111111111111111111111110111111111111111111111* -L029622 000000000000000000000000000000000000000000000000000000000000000000* -L029688 000000000000000000000000000000000000000000000000000000000000000000* -L029754 000000000000000000000000000000000000000000000000000000000000000000* +L029160 111111111111111111110111111111111111111111111111111111111111011111* +L029226 111111111111111111111111111111111011111111111011110111111111111111* +L029292 000000000000000000000000000000000000000000000000000000000000000000* +L029358 000000000000000000000000000000000000000000000000000000000000000000* +L029424 000000000000000000000000000000000000000000000000000000000000000000* +L029490 111111111111111111111111111111111111111111111111111111111111011111* +L029556 111111111111111111111111111111111111111111111111111111111111111111* +L029622 111111111111111111111111111111111111111111111111111111111111111111* +L029688 111111111111111111111111111111111111111111111111111111111111111111* +L029754 111111111111111111111111111111111111111111111111111111111111111111* L029820 000000000000000000000000000000000000000000000000000000000000000000* -L029886 111101111111111111111111111111111111111111111111111111111111111111* +L029886 111111111111111111111111111111111111111111111111111111111111111111* L029952 111111111111111111111111111111111111111111111111111111111111111111* L030018 111111111111111111111111111111111111111111111111111111111111111111* L030084 111111111111111111111111111111111111111111111111111111111111111111* @@ -639,19 +639,19 @@ L030414 111111111111111111111111111111111111111111111111111111111111111111* L030480 111111111111111111111111111111111111111111111111111111111111111111* L030546 000000000000000000000000000000000000000000000000000000000000000000* -L030612 111111111111111111111111111111110111111111110111111111111111111111* -L030678 111111111111111111111111111111111011111111111011111111111111111111* -L030744 000000000000000000000000000000000000000000000000000000000000000000* -L030810 000000000000000000000000000000000000000000000000000000000000000000* -L030876 000000000000000000000000000000000000000000000000000000000000000000* -L030942 011101111111111111111111111111111111111111111111111111111111111111* -L031008 101110111111111111111111111111111111111111111111111111111111111111* +L030612 111111111111111111111111111111111111111111111111111111111111111101* +L030678 111111111111111111111111111111111111111111111111111111111111111111* +L030744 111111111111111111111111111111111111111111111111111111111111111111* +L030810 111111111111111111111111111111111111111111111111111111111111111111* +L030876 111111111111111111111111111111111111111111111111111111111111111111* +L030942 111011101111111011101101101110011010011111101111111111111101111111* +L031008 111111111111111111111111110111111111111111111111111111111111111111* L031074 000000000000000000000000000000000000000000000000000000000000000000* L031140 000000000000000000000000000000000000000000000000000000000000000000* L031206 000000000000000000000000000000000000000000000000000000000000000000* L031272 000000000000000000000000000000000000000000000000000000000000000000* -L031338 111111011111111111111111111111111111111111111111111111111111111111* +L031338 111111111111111111111111111111111111111111111111111111111111111111* L031404 111111111111111111111111111111111111111111111111111111111111111111* L031470 111111111111111111111111111111111111111111111111111111111111111111* L031536 111111111111111111111111111111111111111111111111111111111111111111* @@ -662,17 +662,17 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111111111111111111111111111011111111111111111111111111111111111111* -L032064 111011111011111011011110101110011110011011111111111111111101111110* + 111111111111111111111111111111111111111111111111011111111111111111* +L032064 111011101111111011101101101110011010011111101111111111111101111111* L032130 111111111111111111111111111111111111111111111111111111111111111111* L032196 111111111111111111111111111111111111111111111111111111111111111111* L032262 111111111111111111111111111111111111111111111111111111111111111111* L032328 111111111111111111111111111111111111111111111111111111111111111111* -L032394 111111111111011111111111111111111111111111111111111111111111111111* -L032460 111111111111111111111111111111111111111111111111111111111111111111* -L032526 111111111111111111111111111111111111111111111111111111111111111111* -L032592 111111111111111111111111111111111111111111111111111111111111111111* -L032658 111111111111111111111111111111111111111111111111111111111111111111* +L032394 111011101101111011101111101110111110111111101111111111111111111111* +L032460 111111111101111111111111111111111111111111111111111111111111111101* +L032526 000000000000000000000000000000000000000000000000000000000000000000* +L032592 000000000000000000000000000000000000000000000000000000000000000000* +L032658 000000000000000000000000000000000000000000000000000000000000000000* L032724 111111111111111111111111111111111111111111111111111111111111111111* L032790 111111111111111111111111111111111111111111111111111111111111111111* @@ -686,103 +686,103 @@ L033252 111111111111111111111111111111111111111111111111111111111111111111* L033318 111111111111111111111111111111111111111111111111111111111111111111* L033384 111111111111111111111111111111111111111111111111111111111111111111* L033450 - 111111111111111111111111111111111111111111101111111111111111111111 - 000000000000000000000000000000000000000000000000000000000000000000* + 000000000000000000000000000000000000000000000000000000000000000000 + 101111111111111111111111111111111111111111111111111111111111111111* L033582 0010* -L033586 10100011111000* -L033600 11100011110011* -L033614 00010110010000* -L033628 11101011111111* -L033642 00110100010000* -L033656 10101011111110* -L033670 00011100010001* -L033684 11101011111111* -L033698 10100110010000* -L033712 10101110011110* -L033726 00010110010001* -L033740 11100011111111* -L033754 00111011110000* -L033768 00000110011110* -L033782 11010111110101* -L033796 11111111111111* +L033586 00100011110000* +L033600 10101111110011* +L033614 00010110010100* +L033628 11101111110010* +L033642 11100110011000* +L033656 00000110011111* +L033670 11010011110000* +L033684 11111111111110* +L033698 00110110010000* +L033712 10101011111111* +L033726 11010111110001* +L033740 11111111111111* +L033754 00110011110000* +L033768 10101011111111* +L033782 11010111111100* +L033796 11111111111110* NOTE BLOCK 5 * L033810 - 111111111111111111111111111101111110111111011111111111111111111111 - 111111111101111111111111110111111111111111111111111111111111111110 - 111111111111110111111111111111111111111110111111111111101111111111 - 111111101111011111111110111111111111011111111111111111111111111111 - 111111111111111111111111111111100111111111110111111011111111111111 - 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111011111011111111111111111111111111111111111111111 - 111111110111111111111111111111111111111111111111111111111110111111 - 101001111111111111110111111111111111111111111111111111111111111111* + 111111111111111111111111111111111110111111011111111111111111111111 + 111011111101111111111111111111111111111111111111111111111111111111 + 111111111111111111101111111111110111111110111111111111110111111111 + 111110111111010111111011111111111111111111111011111111111111111111 + 111111111111111111111111111111101111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111011111111101111111 + 111111111111111111111111111111111111011111111101111111111111111111 + 111111100111111111111111111101111111111111111111111111111111111111 + 101111111111111111111111110111111111111111111111111011111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 111111111111111111111111111101111111111111111111111111111111111111* -L034536 000000000000000000000000000000000000000000000000000000000000000000* -L034602 000000000000000000000000000000000000000000000000000000000000000000* -L034668 000000000000000000000000000000000000000000000000000000000000000000* -L034734 000000000000000000000000000000000000000000000000000000000000000000* -L034800 111111111111111111111111111111110111111111011111111111111111111111* -L034866 110101111111111111111011111111011111111111111111111111111111111111* -L034932 110111111111111011111111111111011111111111111111111111111111111111* -L034998 110111011111111111111011111111011111111111111111111111111111111111* -L035064 110111111111111111111010111111011111111111111111111111111111111111* +L034470 111111111111111111111111111111111111111111111111111111111111111111* +L034536 111111111111111111111111111111111111111111111111111111111111111111* +L034602 111111111111111111111111111111111111111111111111111111111111111111* +L034668 111111111111111111111111111111111111111111111111111111111111111111* +L034734 111111111111111111111111111111111111111111111111111111111111111111* +L034800 111111111111111111110111111111111111011111111111111111111111111111* +L034866 111111111111111111111111111111011011111111111111110111111111111111* +L034932 000000000000000000000000000000000000000000000000000000000000000000* +L034998 000000000000000000000000000000000000000000000000000000000000000000* +L035064 000000000000000000000000000000000000000000000000000000000000000000* L035130 000000000000000000000000000000000000000000000000000000000000000000* -L035196 111111111111111111111111111111111111011111111111111111111111111111* -L035262 110111111111111111010111111111011111111111111111111111111111111111* -L035328 000000000000000000000000000000000000000000000000000000000000000000* -L035394 000000000000000000000000000000000000000000000000000000000000000000* -L035460 000000000000000000000000000000000000000000000000000000000000000000* -L035526 111111111111111111111111111111111111111111111111111111011111111111* -L035592 111011110111111111111111111111111111111111111111111111111111111111* -L035658 111011111111111011111111111111011111111111111111111111111111111111* -L035724 111011111111111011111111111111111101111111111111111111111111111111* -L035790 111011111111111011111111111111111111111101111111111111111111111111* +L035196 111111101111111111111111111111111111011111111111111111111111111111* +L035262 111111111111111111111111111111111111111111111111111111111111111111* +L035328 111111111111111111111111111111111111111111111111111111111111111111* +L035394 111111111111111111111111111111111111111111111111111111111111111111* +L035460 111111111111111111111111111111111111111111111111111111111111111111* +L035526 111111111111111111111111111111111111111111111111111111111111111111* +L035592 111111111111111111111111111111111111111111111111111111111111111111* +L035658 111111111111111111111111111111111111111111111111111111111111111111* +L035724 111111111111111111111111111111111111111111111111111111111111111111* +L035790 111111111111111111111111111111111111111111111111111111111111111111* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 111011111111111111111111111111111011111111111111111111111111111101* -L035988 111011111111111111111111111111111011111111011111111111111111111111* -L036054 111011111111111111111111011111111011111111111111111111111111111111* -L036120 111011111111111111010111111111011111111111111111111111111111111111* -L036186 111011111111111111111010111111011111111111111111111111111111111111* -L036252 111111110111111111111111111111110111111111111111111111111111111111* -L036318 111111111011111111111111101111101110111110101111110111111111111110* -L036384 111111111011111111111111101111101110111110101111111111111110111110* -L036450 111111111011011111111111101111101110111110101111111111111111111110* -L036516 000000000000000000000000000000000000000000000000000000000000000000* +L035922 111111011101111111111111111111111111101101111111111111111011111111* +L035988 111111111111111111110111111111111111101111111111110111111111111111* +L036054 000000000000000000000000000000000000000000000000000000000000000000* +L036120 000000000000000000000000000000000000000000000000000000000000000000* +L036186 000000000000000000000000000000000000000000000000000000000000000000* +L036252 111111111111110111111111111111111111111111110111111111111111111111* +L036318 111111111111111111011111111001111111111111111111110111111111111111* +L036384 111111111111111111011111111111111011111111111111110111111111111111* +L036450 111111111111111111011111111011111111111111111111010111111111111111* +L036516 111110111111111111011111111011111111111111111111110111111111111111* L036582 000000000000000000000000000000000000000000000000000000000000000000* -L036648 111111111111111111111111110111111111111111111111111111111111111111* -L036714 111011011111111111111011111111011111111111111111111111111111111111* -L036780 111001111111111111111011111111011111111111111111111111111111111111* -L036846 111111111010111111111111101111101110111110101111111111111111111110* +L036648 111111111111111111111111111111111111111111011111111111111111111111* +L036714 111111111111111111011111110111111111111111111101110111111111111111* +L036780 000000000000000000000000000000000000000000000000000000000000000000* +L036846 000000000000000000000000000000000000000000000000000000000000000000* L036912 000000000000000000000000000000000000000000000000000000000000000000* -L036978 111111111111111111111111111111111111111111111111111111111111111111* -L037044 111111111111111111111111111111111111111111111111111111111111111111* -L037110 111111111111111111111111111111111111111111111111111111111111111111* -L037176 111111111111111111111111111111111111111111111111111111111111111111* -L037242 111111111111111111111111111111111111111111111111111111111111111111* +L036978 111111111110101011101011111111101110111111111111111111111110111111* +L037044 111111111111111111110111111111111111101111111111111011111111111111* +L037110 111111111111110111111111111111111111111111111011111011111111111111* +L037176 111111111111111111111111111111111101111111111011111011111111111111* +L037242 111111111111111111111111111111111111111111111111111011111101111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 110111111111111011111111111111111111111101111111111111111111111111* -L037440 111111111111111111111111111111110111111111111111111111111111111101* -L037506 000000000000000000000000000000000000000000000000000000000000000000* -L037572 000000000000000000000000000000000000000000000000000000000000000000* -L037638 000000000000000000000000000000000000000000000000000000000000000000* -L037704 110111111111111111111111111111111011111111111111111111111111111101* -L037770 111110101111110111111001111111011111111111111111111111111111111111* -L037836 111111111111110111100111111111011111111111111111111111111111111111* -L037902 000000000000000000000000000000000000000000000000000000000000000000* +L037374 111111111111011111111111111111111011111111111111111011111111111111* +L037440 111111111111111111111111111111011011111111111111111011111111111111* +L037506 111111111111111111011111111111111011111111111111111011111111111111* +L037572 111111111111111111011111111001111111111111111111111011111111111111* +L037638 111111111111111111011111111011111111111111111111011011111111111111* +L037704 111111111111111111111111111111111111111111110111111111111101111111* +L037770 111111111111101011101011111111101110011111111111111111111110111111* +L037836 111111101111101011101011111111101110111111111111111111111110111111* +L037902 111111111111101011101011111111101110111111111111111111110110111111* L037968 000000000000000000000000000000000000000000000000000000000000000000* L038034 000000000000000000000000000000000000000000000000000000000000000000* -L038100 111111111111111111111111111111111111111111111111111111111111111111* -L038166 111111111111111111111111111111111111111111111111111111111111111111* -L038232 111111111111111111111111111111111111111111111111111111111111111111* -L038298 111111111111111111111111111111111111111111111111111111111111111111* -L038364 111111111111111111111111111111111111111111111111111111111111111111* +L038100 111110111111111111011111111011111111111111111111111011111111111111* +L038166 111111111111111111011111110111111111111111111101111011111111111111* +L038232 110111111111111111111111111111111111111111111111111111111111111111* +L038298 000000000000000000000000000000000000000000000000000000000000000000* +L038364 000000000000000000000000000000000000000000000000000000000000000000* L038430 111111111111111111111111111111111111111111111111111111111111111111* L038496 111111111111111111111111111111111111111111111111111111111111111111* L038562 111111111111111111111111111111111111111111111111111111111111111111* @@ -790,12 +790,12 @@ L038628 111111111111111111111111111111111111111111111111111111111111111111* L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 111111111111111111111111011111110111111111111111111111111111111111* -L038892 110111111111111011111111111111111101111111111111111111111111111111* -L038958 000000000000000000000000000000000000000000000000000000000000000000* +L038826 111101111111111111011111111010110111111111111111101111111111111111* +L038892 111111111111111111111111111111111101111111111011110111111111111111* +L038958 111111111111111111011111110111110111111111111110111111111111111111* L039024 000000000000000000000000000000000000000000000000000000000000000000* L039090 000000000000000000000000000000000000000000000000000000000000000000* -L039156 111111111111111111111111111111111111111111110111111111111111111111* +L039156 111111110111111111111111111111111111111111111111111111111111111111* L039222 111111111111111111111111111111111111111111111111111111111111111111* L039288 111111111111111111111111111111111111111111111111111111111111111111* L039354 111111111111111111111111111111111111111111111111111111111111111111* @@ -816,48 +816,48 @@ L040212 000000000000000000000000000000000000000000000000000000000000000000 101111111111111111111111111111111111111111111111111111111111111111* L040344 0010* -L040348 00100110011110* -L040362 10100100011110* -L040376 00110100011110* -L040390 11010011110011* -L040404 10100110011111* -L040418 10100011110011* -L040432 00000100011110* -L040446 11100011110011* -L040460 10100100011111* -L040474 10100100010011* -L040488 11011111111110* -L040502 11110011111111* +L040348 11100011111110* +L040362 10100100011111* +L040376 00000100011111* +L040390 11101011110011* +L040404 10100100011110* +L040418 10100100010010* +L040432 00110100011111* +L040446 11010011110011* +L040460 10100110011110* +L040474 10101111110010* +L040488 11000011111110* +L040502 11111011111111* L040516 10100100011111* L040530 00000100011111* -L040544 11011011111110* -L040558 11111111111111* +L040544 11011111111110* +L040558 11110011111111* NOTE BLOCK 6 * L040572 - 111111111011111111111111101111111111111111111111111111111111111111 - 111111111101111111111011111110111111111111111111111111111111111111 - 111111111111111111111111111111101111111111111111111111111111111111 - 111111111111111111111111111111111111111111101011111111111111111111 - 111111111111111111111111111111110110011111111111111111111111111111 - 111111111111111111111111111111111111111111111111111111101111111111 - 111101111111111111111110111011111111111111111111011111111011111111 - 111111111111101101111111111111111111111110111101111111111111111111 - 101111011111111111011111111111111111111111111111111111111111111111* + 111111111011111111101101111111111111111111111111111111111111111111 + 111101111101111111111011111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111101111111111111111111111 + 111111111111111111111111111111111110111111111111111111111111111111 + 111111111111111011111111111111011111101111111111111111111111111111 + 111111111111101111111111111011111111110111111111011111101111111111 + 111111111111111101111111111111111111111110111111111111110111111111 + 101111011111111111111111011111111011111111111111111111111111111111* L041166 111111111111111111111111111111111111111111111111111111111111111111* -L041232 111111111011111110111111111111111110111110111110111111111111111111* -L041298 111111110111111110111111111111111111111110111110111111111011111111* -L041364 111111111011111111111111111111111110111110111110101111111111111111* -L041430 111111110111111111111111111111111111111110111110101111111011111111* +L041232 111111111011111110111111111111111010111110111111111111111111111111* +L041298 111111110111101110111111111111111011111110111111111111111111111111* +L041364 111111111011111111111111111111111010111110111111101111111111111111* +L041430 111111110111101111111111111111111011111110111111101111111111111111* L041496 000000000000000000000000000000000000000000000000000000000000000000* -L041562 111111111111111111111101111111111111111111111111111111111111111111* +L041562 111111111111111111111111111111111111111111111111111111110111111111* L041628 000000000000000000000000000000000000000000000000000000000000000000* L041694 000000000000000000000000000000000000000000000000000000000000000000* L041760 000000000000000000000000000000000000000000000000000000000000000000* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 111111111111111111011111111111111111111111111111111111111111111111* +L041958 111111111111110111111111111111111111111111111111111111111111111111* L042024 111111111111111111111111111111111111111111111111111111111111111111* L042090 111111111111111111111111111111111111111111111111111111111111111111* L042156 111111111111111111111111111111111111111111111111111111111111111111* @@ -869,19 +869,19 @@ L042486 111111111111111111111111111111111111111111111111111111111111111111* L042552 111111111111111111111111111111111111111111111111111111111111111111* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111111111111111111110111111111110111111111110111111111111111111111* -L042750 111111111111111111111111111111111011111111101111111111111111111111* -L042816 111111101111111111111111111111111111111111100111111111111111111111* -L042882 111111111111111111110111111111111111111111101111111111111111111111* +L042684 111111011111111111111111111111011111110111111111111111111111111111* +L042750 111111101111111111111111111111111111111111101111111111111111111111* +L042816 111111111111111111111111101111011111111111101111111111111111111111* +L042882 111111111111111111111111111111111111110111101111111111111111111111* L042948 000000000000000000000000000000000000000000000000000000000000000000* -L043014 111111111111111111111111111111111011111111110111111111111111111111* -L043080 111111101111111111111111111111111111111111110111111111111111111111* -L043146 111111011111111111111111111111110111111111111011111111111111111111* -L043212 111111111111111111110111111111110111111111011111111111111111111111* -L043278 111111111111111111111011111111110111111111101111111111111111111111* +L043014 111101111111111111111111111111111111111111111111111111111111111111* +L043080 111111111111111111111111111111111111111111111111111111111111111111* +L043146 111111111111111111111111111111111111111111111111111111111111111111* +L043212 111111111111111111111111111111111111111111111111111111111111111111* +L043278 111111111111111111111111111111111111111111111111111111111111111111* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 111101111111111111111111111111111111111111111111111111111111111111* +L043410 111111111111111111111101111111111111111111111111111111111111111111* L043476 111111111111111111111111111111111111111111111111111111111111111111* L043542 111111111111111111111111111111111111111111111111111111111111111111* L043608 111111111111111111111111111111111111111111111111111111111111111111* @@ -893,19 +893,19 @@ L043938 111111111111111111111111111111111111111111111111111111111111111111* L044004 111111111111111111111111111111111111111111111111111111111111111111* L044070 111111111110111111111111111011111111111110111111111111111111111111* -L044136 111111111111111101111111111111111111111110111110101111111111111111* +L044136 111111111111111101111111111111111011111110111111101111111111111111* L044202 111111111111111111111111111111111111111111111111111111111111111111* L044268 111111111111111111111111111111111111111111111111111111111111111111* L044334 111111111111111111111111111111111111111111111111111111111111111111* L044400 111111111111111111111111111111111111111111111111111111111111111111* -L044466 111111101111111111111111111111110111111111111011111111111111111111* -L044532 111111111111111111110111111111111011111111111111111111111111111111* -L044598 111111111111111111110111111111111111111111110111111111111111111111* -L044664 111111011111111111111111111111110111111111011111111111111111111111* -L044730 000000000000000000000000000000000000000000000000000000000000000000* +L044466 101111111111111111110111111111111111111111111111111111111111111111* +L044532 011111111011111110111111111011111011111110111111111111111111111111* +L044598 111111111111111110110111111111111011111110111111111111111111111111* +L044664 011111111011111111111111111011111011111110111111101111111111111111* +L044730 111111111111111111110111111111111011111110111111101111111111111111* L044796 111111111110111111111111111011111111111110111111111111111111111111* -L044862 111111111111011111111111111111111111111111111111111111111111111111* +L044862 111111111111111111011111111111111111111111111111111111111111111111* L044928 111111111111111111111111111111111111111111111111111111111111111111* L044994 111111111111111111111111111111111111111111111111111111111111111111* L045060 111111111111111111111111111111111111111111111111111111111111111111* @@ -917,14 +917,14 @@ L045390 111111111111111111111111111111111111111111111111111111111111111111* L045456 111111111111111111111111111111111111111111111111111111111111111111* L045522 111111111111111111111111111111111111111110111111111111111111111111* -L045588 111111111111111110111111111111111111111110111110101111111111111111* +L045588 111111111111111110111111111111111011111110111111101111111111111111* L045654 111111111111111111111111111111111111111111111111111111111111111111* L045720 111111111111111111111111111111111111111111111111111111111111111111* L045786 111111111111111111111111111111111111111111111111111111111111111111* L045852 111111111111111111111111111111111111111111111111111111111111111111* -L045918 111111111111111111111111011111111111011111111111111111111111111111* -L045984 111111111111111111111111111101101111101111111111111111101111111111* -L046050 000000000000000000000000000000000000000000000000000000000000000000* +L045918 111111111111111111011111111111111111011111111111111111111111111111* +L045984 111111111111111111011111111111111111111111111111111111101111111111* +L046050 111111111111111111101111111111111111101111111111111111011111111111* L046116 000000000000000000000000000000000000000000000000000000000000000000* L046182 000000000000000000000000000000000000000000000000000000000000000000* L046248 @@ -948,43 +948,43 @@ L047124 00100100011110* L047138 00010100010100* L047152 11100011111111* L047166 11100100011001* -L047180 10100100010011* +L047180 00000100010011* L047194 00010100010000* L047208 11101111110011* L047222 00110110010001* -L047236 10100100010011* -L047250 00010110010100* +L047236 10100110010011* +L047250 00010100010100* L047264 11101011110010* L047278 01110110010010* -L047292 10100110010011* +L047292 10100100010011* L047306 11011111110000* L047320 11110011111110* NOTE BLOCK 7 * L047334 - 111111111111111111111111111111111111111111111111111111111011111111 - 111111111101111110111111111111111111111111111111111111111111111111 - 111110111111111111011111111111111110111111111111111111111111111111 - 111111111111111111111011111111111111111110111111111111101111111111 - 111111111111111111111111111111110111111111111111111111111111111111 - 111111101111011011111111111111101111011111111111111111111111111111 - 111111110111111111111101111011111111111111111111010111111111111111 - 101111111111111111111111011111111111111011111111111101111111111111 - 111011111111111111111111111111111111111111101110111111111111111111* + 111111111011111111111111111111111111111111111111111111111111111111 + 111111111111111110111111110111011111111111111111111111111111111111 + 111101111111111111111111111111111111111111111111101111111111111111 + 111111111111111111111011101101111111111111111011111111101111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111011111111111111111111111111111111111111111111110111101 + 011111011110111111111101111111111001111111111111111011111111111111 + 111111111111111111101111111111111111011101111110111111111111111111 + 111011111111111111111111111111111111110111101111111110111011101111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 111111111111111111111011111111111011111111111110111111111111111111* -L048060 111111111111111111111011111111111111111111111110111011111111111111* -L048126 111111111111111110111011111111110111111111111111110111111111111111* +L047994 111111101111111111111111111111111111111111111111111111111111011111* +L048060 111111111111111111111011111111111111111111111111111111111111011111* +L048126 111111011111111101110111111111111111111111111111111111111111111111* L048192 000000000000000000000000000000000000000000000000000000000000000000* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 101111111111111111111111101111111111111111111111011110111111111111* -L048390 101111111111111111111111011111111111111111111111101110111111111111* +L048324 011111111111111111111111111111111111111110111110111110111111111111* +L048390 101111111111111111111111111111111111111101111110111110111111111111* L048456 000000000000000000000000000000000000000000000000000000000000000000* L048522 000000000000000000000000000000000000000000000000000000000000000000* L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111111011111111111111111111111111111111111111111111111* +L048720 111111111111111111111111110111111111111111111111111111111111111111* L048786 111111111111111111111111111111111111111111111111111111111111111111* L048852 111111111111111111111111111111111111111111111111111111111111111111* L048918 111111111111111111111111111111111111111111111111111111111111111111* @@ -996,19 +996,19 @@ L049248 111111111111111111111111111111111111111111111111111111111111111111* L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* -L049446 011111111111111111111111111111111111011111111111111111111111111111* -L049512 111111111111111111111111111111110111011111111111111111111111111111* +L049446 111111111111111111111111111111111111111111111101111111111111111101* +L049512 111111111111111111111111111111111111111111110111111111111111111101* L049578 000000000000000000000000000000000000000000000000000000000000000000* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* -L049776 111111111011101111111110111111111101011001111111111111011111111111* +L049776 111111111111101111101110011111111110111011111111011111011111111101* L049842 111111111111111111111111111111111111111111111111111111111111111111* L049908 111111111111111111111111111111111111111111111111111111111111111111* L049974 111111111111111111111111111111111111111111111111111111111111111111* L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 101111111110111111111111111011111111111111111111111111111111111111* -L050172 111111111111110111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111101111111111111110111011111111111111* +L050172 111101111111111111111111111111111111111111111111111111111111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* L050370 111111111111111111111111111111111111111111111111111111111111111111* @@ -1019,20 +1019,20 @@ L050634 111111111111111111111111111111111111111111111111111111111111111111* L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 - 111111111101111111111111111111111111111111111111111111111111111111* -L050898 011111111111111111111111111111111111111111111111111111111111111111* -L050964 111111111111111111111111110111111111111111111111111111111011111111* + 111111111111111111111111111111011111111111111111111111111111111111* +L050898 111111111111111111111111111111111111111111111101111111111111111111* +L050964 111111111011111111111111111111111111111111111111110111111111111111* L051030 111111111111111111111111111111111111111111111111111101111111111111* -L051096 111111111111111111111111011111111111111111111111011111111111111111* +L051096 011111111111111111111111111111111111111101111111111111111111111111* L051162 000000000000000000000000000000000000000000000000000000000000000000* -L051228 111111011111111111111111111111111111111111111111111111111111111111* +L051228 111111111110111111111111111111111111111111111111111111111111111111* L051294 111111111111111111111111111111111111111111111111111111111111111111* L051360 111111111111111111111111111111111111111111111111111111111111111111* L051426 111111111111111111111111111111111111111111111111111111111111111111* L051492 111111111111111111111111111111111111111111111111111111111111111111* L051558 - 011111111111111111111111111111111111111111111111111111111111111111* -L051624 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111101111111111111111111* +L051624 111111111101111111111111111111111111111111111111111111111111111111* L051690 111111111111111111111111111111111111111111111111111111111111111111* L051756 111111111111111111111111111111111111111111111111111111111111111111* L051822 111111111111111111111111111111111111111111111111111111111111111111* @@ -1043,13 +1043,13 @@ L052086 111111111111111111111111111111111111111111111111111111111111111111* L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 - 101111111110111111111111111011111111111111111111111111111111111111* -L052350 111101111111111111011111111111111111111111111111111111111111111111* -L052416 110111111111111111111111111111101111111011111111111111111111111111* -L052482 000000000000000000000000000000000000000000000000000000000000000000* -L052548 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111111111111111111111101111111111111110111011111111111111* +L052350 111111111011111111111111110101111111111111111111111111111111111111* +L052416 111111111111111111111111110101111111011111111111111111111111111111* +L052482 111111111111111111111111111101111111111111111111111111110111111111* +L052548 110111111111111111111111111111111011111111111111111111111110111111* L052614 000000000000000000000000000000000000000000000000000000000000000000* -L052680 111111111111111011111111111111111111111111111111111111111111111111* +L052680 111111111111111111111111111111111111111111111111111111110111111111* L052746 111111111111111111111111111111111111111111111111111111111111111111* L052812 111111111111111111111111111111111111111111111111111111111111111111* L052878 111111111111111111111111111111111111111111111111111111111111111111* @@ -1070,7 +1070,7 @@ L053736 000000000000000000000000000000000000000000000000000000000000000000 111111111111111111111111111111111111111111101111111111111111111111* L053868 0010* -L053872 11100110011100* +L053872 10100110011100* L053886 11100110010010* L053900 00010100010000* L053914 11100011110011* @@ -1080,12 +1080,12 @@ L053956 00010100010100* L053970 11100011110011* L053984 10100110010011* L053998 00000100010011* -L054012 11011111110110* -L054026 11110011110011* +L054012 00010100010110* +L054026 11101111110011* L054040 11100110011011* L054054 00000100010011* -L054068 11011011111110* -L054082 11111111111111* +L054068 11010011111110* +L054082 11111011111111* E1 0 11111100 @@ -1094,17 +1094,17 @@ E1 0 00000000 0 -11000000 +11000011 0 01111111 0 -10100000 +00100000 0 10001111 0 10000010 1 * -C5CF9* +CE862* U00000000000000000000000000000000* -D28B +CA9B diff --git a/Logic/68030_tk.l0 b/Logic/68030_tk.l0 deleted file mode 100644 index 0bb3cee..0000000 --- a/Logic/68030_tk.l0 +++ /dev/null @@ -1 +0,0 @@ - -ck Min -ce On -ar On -ap On -oe On -split 16 -clust 5 -xor on -speed -ifb yes -sr no -device M4A5 diff --git a/Logic/68030_tk.l2v b/Logic/68030_tk.l2v deleted file mode 100644 index e69de29..0000000 diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco deleted file mode 100644 index 7505807..0000000 --- a/Logic/68030_tk.lco +++ /dev/null @@ -1,239 +0,0 @@ -[DEVICE] -Family = M4A5; -PartType = M4A5-128/64; -Package = 100TQFP; -PartNumber = M4A5-128/64-10VC; -Speed = -10; -Operating_condition = COM; -EN_Segment = No; -Pin_MC_1to1 = No; -EN_PinReserve_IO = Yes; -EN_PinReserve_BIDIR = Yes; -Voltage = 5.0; - -[REVISION] -RCS = "$Revision: 1.2 $"; -Parent = m4a5.lci; -SDS_File = m4a5.sds; -Design = 68030_tk.tt4; -DATE = 6/22/14; -TIME = 21:24:26; -Source_Format = Pure_VHDL; -Type = TT2; -Pre_Fit_Time = 1; - -[IGNORE ASSIGNMENTS] -Pin_Assignments = No; -Pin_Keep_Block = No; -Pin_Keep_Segment = No; -Group_Assignments = No; -Macrocell_Assignments = No; -Macrocell_Keep_Block = No; -Macrocell_Keep_Segment = No; -Pin_Reservation = No; -Block_Reservation = No; -Segment_Reservation = No; -Timing_Constraints = No; - -[CLEAR ASSIGNMENTS] -Pin_Assignments = No; -Pin_Keep_Block = No; -Pin_Keep_Segment = No; -Group_Assignments = No; -Macrocell_Assignments = No; -Macrocell_Keep_Block = No; -Macrocell_Keep_Segment = No; -Pin_Reservation = No; -Block_Reservation = No; -Segment_Reservation = No; -Timing_Constraints = No; - -[BACKANNOTATE ASSIGNMENTS] -Pin_Block = No; -Pin_Macrocell_Block = No; -Routing = No; - -[GLOBAL CONSTRAINTS] -Max_PTerm_Split = 16; -Max_PTerm_Collapse = 16; -Max_Pin_Percent = 100; -Max_Macrocell_Percent = 100; -Max_GLB_Input_Percent = 100; -Max_Seg_In_Percent = 100; -Logic_Reduction = Yes; -XOR_Synthesis = Yes; -DT_Synthesis = Yes; -Node_Collapse = Yes; -Run_Time = 0; -Set_Reset_Dont_Care = Yes; -Clock_Optimize = No; -In_Reg_Optimize = Yes; -Balanced_Partitioning = Yes; -Device_max_fanin = 33; -Device_max_pterms = 20; -Usercode = 0; -Usercode_Format = Hex; - -[LOCATION ASSIGNMENTS] -Layer = OFF; -A_31_ = pin,4,-,B,-; -IPL_2_ = pin,68,-,G,-; -FC_1_ = pin,58,-,F,-; -A_30_ = pin,5,-,B,-; -UDS_000 = pin,32,-,D,-; -A_29_ = pin,6,-,B,-; -LDS_000 = pin,31,-,D,-; -A_28_ = pin,15,-,C,-; -A_27_ = pin,16,-,C,-; -nEXP_SPACE = pin,14,-,-,-; -A_26_ = pin,17,-,C,-; -BERR = pin,41,-,E,-; -A_25_ = pin,18,-,C,-; -BG_030 = pin,21,-,C,-; -A_24_ = pin,19,-,C,-; -A_23_ = pin,84,-,H,-; -A_22_ = pin,85,-,H,-; -BGACK_000 = pin,28,-,D,-; -A_21_ = pin,94,-,A,-; -CLK_030 = pin,64,-,-,-; -A_20_ = pin,93,-,A,-; -CLK_000 = pin,11,-,-,-; -A_19_ = pin,97,-,A,-; -CLK_OSZI = pin,61,-,-,-; -A_18_ = pin,95,-,A,-; -CLK_DIV_OUT = pin,65,-,G,-; -A_17_ = pin,59,-,F,-; -A_16_ = pin,96,-,A,-; -FPU_CS = pin,78,-,H,-; -DTACK = pin,30,-,D,-; -IPL_1_ = pin,56,-,F,-; -AVEC = pin,92,-,A,-; -IPL_0_ = pin,67,-,G,-; -FC_0_ = pin,57,-,F,-; -VPA = pin,36,-,-,-; -RST = pin,86,-,-,-; -AMIGA_BUS_DATA_DIR = pin,48,-,E,-; -CIIN = pin,47,-,E,-; -SIZE_1_ = pin,79,-,H,-; -IPL_030_2_ = pin,9,-,B,-; -AS_030 = pin,82,-,H,-; -AS_000 = pin,33,-,D,-; -RW_000 = pin,80,-,H,-; -SIZE_0_ = pin,70,-,G,-; -DS_030 = pin,98,-,A,-; -A0 = pin,69,-,G,-; -BG_000 = pin,29,-,D,-; -BGACK_030 = pin,83,-,H,-; -CLK_EXP = pin,10,-,B,-; -IPL_030_1_ = pin,7,-,B,-; -DSACK1 = pin,81,-,H,-; -IPL_030_0_ = pin,8,-,B,-; -AVEC_EXP = pin,22,-,C,-; -E = pin,66,-,G,-; -VMA = pin,35,-,D,-; -RESET = pin,3,-,B,-; -RW = pin,71,-,G,-; -AMIGA_BUS_ENABLE = pin,34,-,D,-; -AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; -inst_AS_030_000_SYNC = node,-,-,C,4; -inst_BGACK_030_INT_D = node,-,-,D,10; -inst_VPA_D = node,-,-,C,10; -inst_CLK_OUT_PRE_50_D = node,-,-,H,6; -CLK_CNT_N_0_ = node,-,-,E,9; -inst_CLK_OUT_PRE_50 = node,-,-,H,13; -inst_CLK_OUT_PRE_25 = node,-,-,A,8; -inst_CLK_000_D1 = node,-,-,E,4; -inst_CLK_000_D2 = node,-,-,G,10; -inst_CLK_000_D3 = node,-,-,A,10; -inst_CLK_000_D0 = node,-,-,F,0; -inst_CLK_000_NE = node,-,-,C,8; -inst_CLK_OUT_PRE_D = node,-,-,H,9; -inst_CLK_OUT_PRE = node,-,-,E,10; -CLK_000_P_SYNC_9_ = node,-,-,G,6; -CLK_000_N_SYNC_11_ = node,-,-,A,6; -SM_AMIGA_7_ = node,-,-,F,4; -SM_AMIGA_6_ = node,-,-,D,9; -SM_AMIGA_1_ = node,-,-,F,8; -SM_AMIGA_0_ = node,-,-,D,6; -SM_AMIGA_4_ = node,-,-,B,13; -CLK_000_N_SYNC_6_ = node,-,-,C,6; -inst_CLK_030_H = node,-,-,A,12; -CLK_CNT_P_1_ = node,-,-,C,2; -CLK_CNT_N_1_ = node,-,-,E,6; -CLK_CNT_P_0_ = node,-,-,E,8; -inst_LDS_000_INT = node,-,-,G,13; -inst_DS_000_ENABLE = node,-,-,B,5; -inst_UDS_000_INT = node,-,-,B,9; -CLK_000_P_SYNC_0_ = node,-,-,A,2; -CLK_000_P_SYNC_1_ = node,-,-,B,10; -CLK_000_P_SYNC_2_ = node,-,-,B,6; -CLK_000_P_SYNC_3_ = node,-,-,A,13; -CLK_000_P_SYNC_4_ = node,-,-,E,2; -CLK_000_P_SYNC_5_ = node,-,-,C,13; -CLK_000_P_SYNC_6_ = node,-,-,C,9; -CLK_000_P_SYNC_7_ = node,-,-,F,6; -CLK_000_P_SYNC_8_ = node,-,-,A,9; -CLK_000_N_SYNC_0_ = node,-,-,A,5; -CLK_000_N_SYNC_1_ = node,-,-,B,2; -CLK_000_N_SYNC_2_ = node,-,-,F,2; -CLK_000_N_SYNC_3_ = node,-,-,A,1; -CLK_000_N_SYNC_4_ = node,-,-,G,2; -CLK_000_N_SYNC_5_ = node,-,-,C,5; -CLK_000_N_SYNC_7_ = node,-,-,H,2; -CLK_000_N_SYNC_8_ = node,-,-,C,1; -CLK_000_N_SYNC_9_ = node,-,-,F,13; -CLK_000_N_SYNC_10_ = node,-,-,E,13; -SM_AMIGA_5_ = node,-,-,F,12; -SM_AMIGA_3_ = node,-,-,F,1; -SM_AMIGA_2_ = node,-,-,F,9; -un16_ciin = node,-,-,E,1; -cpu_est_0_ = node,-,-,D,2; -cpu_est_1_ = node,-,-,G,5; -cpu_est_2_ = node,-,-,G,9; -AMIGA_BUS_ENABLE_LOW_0 = node,-,-,E,5; -SM_AMIGA_7__0 = node,-,-,F,5; - -[GROUP ASSIGNMENTS] -Layer = OFF; - -[RESOURCE RESERVATIONS] -Layer = OFF; - -[SLEWRATE] -Default = SLOW; - -[PULLUP] -Default = Up; - -[NETLIST/DELAY FORMAT] -Delay_File = SDF; -Netlist = VHDL; - -[OSM BYPASS] - -[FITTER REPORT FORMAT] -Fitter_Options = Yes; -Pinout_Diagram = No; -Pinout_Listing = Yes; -Detailed_Block_Segment_Summary = Yes; -Input_Signal_List = Yes; -Output_Signal_List = Yes; -Bidir_Signal_List = Yes; -Node_Signal_List = Yes; -Signal_Fanout_List = Yes; -Block_Segment_Fanin_List = Yes; -Postfit_Eqn = Yes; -Prefit_Eqn = Yes; -Page_Break = Yes; - -[POWER] -Powerlevel = Low,High; -Default = High; -Type = GLB; - -[SOURCE CONSTRAINT OPTION] - -[TIMING ANALYZER] -Last_source=; -Last_source_type=Fmax; - diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out deleted file mode 100644 index 9601087..0000000 --- a/Logic/68030_tk.out +++ /dev/null @@ -1,100030 +0,0 @@ - -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 301 3 1 3 32 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 304 6 0 65 -1 4 0 21 - 30 LDS_000 5 303 3 0 30 -1 4 0 21 - 79 DSACK_0_ 5 306 7 0 79 -1 3 0 21 - 31 UDS_000 5 302 3 0 31 -1 3 0 21 - 34 VMA 5 305 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 5 307 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 41 BERR 0 4 0 41 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 RN_E 3 65 6 4 2 3 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 4 2 3 6 7 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 2 4 2 3 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 2 4 2 3 6 7 -1 -1 1 0 21 - 300 AS_000_0 3 -1 5 3 0 3 7 -1 -1 1 0 21 - 305 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 307 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 0 3 -1 -1 1 0 21 - 303 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 306 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 302 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 301 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 - 299 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 - 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 40 VPA 1 -1 -1 3 0 3 7 40 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 81 AS_030 1 -1 -1 2 3 5 81 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 5 18 -1 - 17 A_25_ 1 -1 -1 1 5 17 -1 - 16 A_26_ 1 -1 -1 1 5 16 -1 - 15 A_27_ 1 -1 -1 1 5 15 -1 - 14 A_28_ 1 -1 -1 1 5 14 -1 - 5 A_29_ 1 -1 -1 1 5 5 -1 - 4 A_30_ 1 -1 -1 1 5 4 -1 - 3 A_31_ 1 -1 -1 1 5 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 301 3 1 3 32 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 304 6 0 65 -1 4 0 21 - 30 LDS_000 5 303 3 0 30 -1 4 0 21 - 79 DSACK_0_ 5 306 7 0 79 -1 3 0 21 - 31 UDS_000 5 302 3 0 31 -1 3 0 21 - 34 VMA 5 305 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 5 307 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 41 BERR 0 4 0 41 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 RN_E 3 65 6 4 2 3 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 4 2 3 6 7 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 2 4 2 3 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 2 4 2 3 6 7 -1 -1 1 0 21 - 300 AS_000_0 3 -1 5 3 0 3 7 -1 -1 1 0 21 - 305 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 307 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 0 3 -1 -1 1 0 21 - 303 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 306 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 302 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 301 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 - 299 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 - 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 - 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 40 VPA 1 -1 -1 3 0 3 7 40 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 81 AS_030 1 -1 -1 2 3 5 81 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 5 18 -1 - 17 A_25_ 1 -1 -1 1 5 17 -1 - 16 A_26_ 1 -1 -1 1 5 16 -1 - 15 A_27_ 1 -1 -1 1 5 15 -1 - 14 A_28_ 1 -1 -1 1 5 14 -1 - 5 A_29_ 1 -1 -1 1 5 5 -1 - 4 A_30_ 1 -1 -1 1 5 4 -1 - 3 A_31_ 1 -1 -1 1 5 3 -1 -69 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 303 3 1 3 32 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 306 6 0 65 -1 4 0 21 - 30 LDS_000 5 305 3 0 30 -1 4 0 21 - 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 31 UDS_000 5 304 3 0 31 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 41 BERR 0 4 0 41 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 5 5 0 3 5 6 7 -1 -1 1 0 20 - 306 RN_E 3 65 6 4 0 3 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 0 4 0 3 6 7 -1 -1 3 0 21 - 302 AS_000_0 3 -1 2 3 3 5 7 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 5 2 3 5 -1 -1 1 0 20 - 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 1 5 32 -1 1 0 21 - 301 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 - 297 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 20 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 CPU_SPACE 1 -1 -1 4 0 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 85 RST 1 -1 -1 3 1 2 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 5 81 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 40 VPA 1 -1 -1 3 0 3 7 40 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -69 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 304 3 1 3 32 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 30 LDS_000 5 306 3 0 30 -1 4 0 21 - 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 31 UDS_000 5 305 3 0 31 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 293 BERR 0 5 0 -1 -1 1 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 294 cpu_est_0_ 3 -1 0 6 0 2 3 4 6 7 -1 -1 1 0 21 - 307 RN_E 3 65 6 5 2 3 4 6 7 65 -1 4 0 21 - 295 cpu_est_1_ 3 -1 4 5 2 3 4 6 7 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 2 5 2 3 4 6 7 -1 -1 3 0 21 - 303 AS_000_0 3 -1 5 4 0 3 4 7 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 300 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 305 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 304 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 - 302 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 - 298 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 - 297 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 40 VPA 1 -1 -1 3 0 3 7 40 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 81 AS_030 1 -1 -1 2 3 5 81 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 5 18 -1 - 17 A_25_ 1 -1 -1 1 5 17 -1 - 16 A_26_ 1 -1 -1 1 5 16 -1 - 15 A_27_ 1 -1 -1 1 5 15 -1 - 14 A_28_ 1 -1 -1 1 5 14 -1 - 5 A_29_ 1 -1 -1 1 5 5 -1 - 4 A_30_ 1 -1 -1 1 5 4 -1 - 3 A_31_ 1 -1 -1 1 5 3 -1 -69 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 304 3 1 3 32 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 30 LDS_000 5 306 3 0 30 -1 4 0 21 - 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 31 UDS_000 5 305 3 0 31 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 293 BERR 0 5 0 -1 -1 1 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 294 cpu_est_0_ 3 -1 0 6 0 2 3 4 6 7 -1 -1 1 0 21 - 307 RN_E 3 65 6 5 2 3 4 6 7 65 -1 4 0 21 - 295 cpu_est_1_ 3 -1 4 5 2 3 4 6 7 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 2 5 2 3 4 6 7 -1 -1 3 0 21 - 303 AS_000_0 3 -1 5 4 0 3 4 7 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 300 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 305 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 304 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 - 302 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 - 298 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 - 297 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 40 VPA 1 -1 -1 3 0 3 7 40 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 81 AS_030 1 -1 -1 2 3 5 81 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 5 18 -1 - 17 A_25_ 1 -1 -1 1 5 17 -1 - 16 A_26_ 1 -1 -1 1 5 16 -1 - 15 A_27_ 1 -1 -1 1 5 15 -1 - 14 A_28_ 1 -1 -1 1 5 14 -1 - 5 A_29_ 1 -1 -1 1 5 5 -1 - 4 A_30_ 1 -1 -1 1 5 4 -1 - 3 A_31_ 1 -1 -1 1 5 3 -1 -68 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 303 3 1 3 32 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 306 6 0 65 -1 4 0 21 - 30 LDS_000 5 305 3 0 30 -1 4 0 21 - 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 31 UDS_000 5 304 3 0 31 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 2 5 2 3 4 6 7 -1 -1 1 0 21 - 306 RN_E 3 65 6 4 3 4 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 4 4 3 4 6 7 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 4 4 3 4 6 7 -1 -1 3 0 21 - 302 AS_000_0 3 -1 5 3 0 3 7 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 0 3 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 - 301 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 - 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 - 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 40 VPA 1 -1 -1 3 0 3 7 40 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 81 AS_030 1 -1 -1 2 3 5 81 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 5 18 -1 - 17 A_25_ 1 -1 -1 1 5 17 -1 - 16 A_26_ 1 -1 -1 1 5 16 -1 - 15 A_27_ 1 -1 -1 1 5 15 -1 - 14 A_28_ 1 -1 -1 1 5 14 -1 - 5 A_29_ 1 -1 -1 1 5 5 -1 - 4 A_30_ 1 -1 -1 1 5 4 -1 - 3 A_31_ 1 -1 -1 1 5 3 -1 -68 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 303 3 1 3 32 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 306 6 0 65 -1 4 0 21 - 30 LDS_000 5 305 3 0 30 -1 4 0 21 - 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 31 UDS_000 5 304 3 0 31 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 0 5 0 3 4 6 7 -1 -1 1 0 21 - 306 RN_E 3 65 6 4 3 4 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 4 4 3 4 6 7 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 4 4 3 4 6 7 -1 -1 3 0 21 - 302 as_amiga_un2_rst_n 3 -1 5 3 2 3 7 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 299 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 298 CLK_CNT_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 2 2 2 3 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 303 RN_AS_000 3 32 3 1 2 32 -1 1 0 21 - 301 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 - 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 - 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 81 AS_030 1 -1 -1 2 3 5 81 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 5 18 -1 - 17 A_25_ 1 -1 -1 1 5 17 -1 - 16 A_26_ 1 -1 -1 1 5 16 -1 - 15 A_27_ 1 -1 -1 1 5 15 -1 - 14 A_28_ 1 -1 -1 1 5 14 -1 - 5 A_29_ 1 -1 -1 1 5 5 -1 - 4 A_30_ 1 -1 -1 1 5 4 -1 - 3 A_31_ 1 -1 -1 1 5 3 -1 -68 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 303 3 1 3 32 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 306 6 0 65 -1 4 0 21 - 30 LDS_000 5 305 3 0 30 -1 4 0 21 - 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 31 UDS_000 5 304 3 0 31 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 0 5 0 3 4 6 7 -1 -1 1 0 21 - 306 RN_E 3 65 6 4 3 4 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 4 4 3 4 6 7 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 4 4 3 4 6 7 -1 -1 3 0 21 - 302 as_amiga_un2_rst_n 3 -1 5 3 2 3 7 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 299 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 298 CLK_CNT_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 2 2 2 3 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 303 RN_AS_000 3 32 3 1 2 32 -1 1 0 21 - 301 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 - 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 - 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 81 AS_030 1 -1 -1 2 3 5 81 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 5 18 -1 - 17 A_25_ 1 -1 -1 1 5 17 -1 - 16 A_26_ 1 -1 -1 1 5 16 -1 - 15 A_27_ 1 -1 -1 1 5 15 -1 - 14 A_28_ 1 -1 -1 1 5 14 -1 - 5 A_29_ 1 -1 -1 1 5 5 -1 - 4 A_30_ 1 -1 -1 1 5 4 -1 - 3 A_31_ 1 -1 -1 1 5 3 -1 -68 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 303 3 1 3 32 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 306 6 0 65 -1 4 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 21 - 30 LDS_000 5 305 3 0 30 -1 4 0 21 - 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 0 5 0 3 4 6 7 -1 -1 1 0 21 - 306 RN_E 3 65 6 4 3 4 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 4 4 3 4 6 7 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 4 4 3 4 6 7 -1 -1 3 0 21 - 301 as_amiga_un2_rst_n 3 -1 5 3 2 3 7 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 2 3 32 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 2 2 2 3 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 302 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 81 AS_030 1 -1 -1 2 3 5 81 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 5 18 -1 - 17 A_25_ 1 -1 -1 1 5 17 -1 - 16 A_26_ 1 -1 -1 1 5 16 -1 - 15 A_27_ 1 -1 -1 1 5 15 -1 - 14 A_28_ 1 -1 -1 1 5 14 -1 - 5 A_29_ 1 -1 -1 1 5 5 -1 - 4 A_30_ 1 -1 -1 1 5 4 -1 - 3 A_31_ 1 -1 -1 1 5 3 -1 -69 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 32 AS_000 5 304 3 1 3 32 -1 1 0 20 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 306 3 0 30 -1 6 0 20 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 20 - 307 RN_E 3 65 6 5 0 3 4 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 0 5 0 3 4 6 7 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 4 5 0 3 4 6 7 -1 -1 3 0 21 - 303 AS_000_0 3 -1 5 4 3 4 5 7 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 304 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 5 2 3 4 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 302 inst_AS_030_ne 3 -1 5 1 2 -1 -1 1 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 2 1 5 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 2 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 5 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -69 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 306 3 0 30 -1 6 0 20 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 20 - 307 RN_E 3 65 6 5 0 3 4 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 0 5 0 3 4 6 7 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 4 5 0 3 4 6 7 -1 -1 3 0 21 - 303 AS_000_0 3 -1 5 4 3 4 5 7 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 304 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 5 2 3 4 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 302 inst_AS_030_ne 3 -1 5 1 2 -1 -1 1 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 2 1 5 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 2 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 5 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -69 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 306 3 0 30 -1 6 0 20 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 20 - 307 RN_E 3 65 6 5 0 3 4 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 0 5 0 3 4 6 7 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 4 5 0 3 4 6 7 -1 -1 3 0 21 - 301 as_amiga_un2_rst_n 3 -1 5 4 3 4 5 7 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 304 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 5 2 3 4 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 303 inst_AS_030_ne 3 -1 5 1 2 -1 -1 1 0 21 - 302 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 2 1 5 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 2 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 5 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -69 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 306 3 0 30 -1 6 0 20 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 5 6 2 3 4 5 6 7 -1 -1 1 0 20 - 307 RN_E 3 65 6 5 2 3 4 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 5 2 3 4 6 7 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 4 5 2 3 4 6 7 -1 -1 3 0 21 - 301 as_amiga_un2_rst_n 3 -1 5 4 3 4 5 7 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 3 5 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 303 inst_AS_030_ne 3 -1 5 1 0 -1 -1 1 0 21 - 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 0 1 5 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 20 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 0 3 5 81 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 0 18 -1 - 17 A_25_ 1 -1 -1 1 0 17 -1 - 16 A_26_ 1 -1 -1 1 0 16 -1 - 15 A_27_ 1 -1 -1 1 0 15 -1 - 14 A_28_ 1 -1 -1 1 0 14 -1 - 5 A_29_ 1 -1 -1 1 0 5 -1 - 4 A_30_ 1 -1 -1 1 0 4 -1 - 3 A_31_ 1 -1 -1 1 0 3 -1 -69 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 306 3 0 30 -1 6 0 20 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 5 6 2 3 4 5 6 7 -1 -1 1 0 20 - 307 RN_E 3 65 6 5 2 3 4 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 5 2 3 4 6 7 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 4 5 2 3 4 6 7 -1 -1 3 0 21 - 301 as_amiga_un2_rst_n 3 -1 5 3 3 4 5 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 3 5 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 303 inst_AS_030_ne 3 -1 5 1 0 -1 -1 1 0 21 - 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 0 1 5 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 20 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 0 18 -1 - 17 A_25_ 1 -1 -1 1 0 17 -1 - 16 A_26_ 1 -1 -1 1 0 16 -1 - 15 A_27_ 1 -1 -1 1 0 15 -1 - 14 A_28_ 1 -1 -1 1 0 14 -1 - 5 A_29_ 1 -1 -1 1 0 5 -1 - 4 A_30_ 1 -1 -1 1 0 4 -1 - 3 A_31_ 1 -1 -1 1 0 3 -1 -68 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 305 3 0 30 -1 6 0 20 - 65 E 5 306 6 0 65 -1 4 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 20 - 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 303 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 302 inst_AS_030_ne 3 -1 0 1 2 -1 -1 1 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 4 1 0 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 304 3 0 30 -1 6 0 20 - 65 E 5 305 6 0 65 -1 4 0 21 - 31 UDS_000 5 303 3 0 31 -1 4 0 20 - 79 DSACK_0_ 5 307 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 306 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 302 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 - 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 302 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 - 304 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 303 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 307 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 304 3 0 30 -1 6 0 20 - 65 E 5 305 6 0 65 -1 4 0 21 - 31 UDS_000 5 303 3 0 31 -1 4 0 20 - 79 DSACK_0_ 5 307 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 306 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 302 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 - 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 302 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 - 304 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 303 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 307 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 304 3 0 30 -1 6 0 20 - 65 E 5 305 6 0 65 -1 4 0 21 - 31 UDS_000 5 303 3 0 31 -1 4 0 20 - 79 DSACK_0_ 5 307 7 0 79 -1 3 0 21 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 306 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 302 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 - 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 302 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 - 304 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 303 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 307 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 305 3 0 30 -1 6 0 20 - 65 E 5 306 6 0 65 -1 4 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 20 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 303 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 - 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 305 3 0 30 -1 6 0 20 - 65 E 5 306 6 0 65 -1 4 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 20 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 303 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 4 3 4 5 6 -1 -1 1 0 21 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 300 inst_AS_030_delay 3 -1 0 2 0 2 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 0 3 -1 -1 1 0 20 - 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 20 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -66 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 301 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 304 3 0 30 -1 6 0 20 - 65 E 5 305 6 0 65 -1 4 0 21 - 31 UDS_000 5 303 3 0 31 -1 4 0 20 - 64 CLK_DIV_OUT 5 307 6 0 64 -1 2 0 21 - 34 VMA 5 306 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 302 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 RN_E 3 65 6 5 3 4 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 5 3 4 5 6 7 -1 -1 4 0 20 - 298 cpu_est_2_ 3 -1 4 5 3 4 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 5 3 4 5 6 7 -1 -1 1 0 21 - 307 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 302 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 0 3 -1 -1 1 0 21 - 304 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 303 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 301 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 300 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 299 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 305 3 0 30 -1 6 0 20 - 65 E 5 306 6 0 65 -1 5 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 20 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 303 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 4 5 0 3 4 6 7 -1 -1 2 0 20 - 306 RN_E 3 65 6 4 0 3 6 7 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 0 4 0 3 6 7 -1 -1 5 0 21 - 299 cpu_est_2_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 3 5 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 6 0 3 4 5 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 5 18 -1 - 17 A_25_ 1 -1 -1 1 5 17 -1 - 16 A_26_ 1 -1 -1 1 5 16 -1 - 15 A_27_ 1 -1 -1 1 5 15 -1 - 14 A_28_ 1 -1 -1 1 5 14 -1 - 5 A_29_ 1 -1 -1 1 5 5 -1 - 4 A_30_ 1 -1 -1 1 5 4 -1 - 3 A_31_ 1 -1 -1 1 5 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 305 3 0 30 -1 6 0 20 - 65 E 5 306 6 0 65 -1 4 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 20 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 303 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 - 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 306 6 0 65 -1 4 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 20 - 30 LDS_000 5 305 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 303 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 - 300 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 299 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 298 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 - 297 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 65 E 5 306 6 0 65 -1 4 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 20 - 30 LDS_000 5 305 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 303 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 4 5 0 3 4 6 7 -1 -1 1 0 21 - 306 RN_E 3 65 6 4 0 3 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 4 0 3 6 7 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 0 4 0 3 6 7 -1 -1 3 0 21 - 298 CLK_CNT_0_ 3 -1 0 3 0 4 6 -1 -1 1 0 21 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 299 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 297 inst_DTACK_SYC 3 -1 5 2 5 7 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 2 3 5 -1 -1 1 1 21 - 295 inst_AS_000_INT_D 3 -1 5 2 3 5 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -67 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 65 E 5 306 6 0 65 -1 4 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 20 - 30 LDS_000 5 305 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 303 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 4 5 0 3 4 6 7 -1 -1 1 0 21 - 306 RN_E 3 65 6 4 0 3 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 4 0 3 6 7 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 0 4 0 3 6 7 -1 -1 3 0 21 - 298 CLK_CNT_0_ 3 -1 0 3 0 4 6 -1 -1 1 0 21 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 299 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 297 inst_DTACK_SYC 3 -1 5 2 5 7 -1 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 - 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 2 3 5 -1 -1 1 1 21 - 295 inst_AS_000_INT_D 3 -1 5 2 3 5 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 40 VPA 1 -1 -1 2 3 7 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -68 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 30 LDS_000 5 306 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 4 5 2 3 4 6 7 -1 -1 1 0 21 - 307 RN_E 3 65 6 4 2 3 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 4 2 3 6 7 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 4 2 3 6 7 -1 -1 3 0 21 - 299 CLK_CNT_0_ 3 -1 4 3 2 4 6 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 300 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 297 inst_DTACK_SYC 3 -1 5 2 5 7 -1 -1 2 0 21 - 304 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 3 5 -1 -1 1 1 21 - 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 3 5 -1 -1 1 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 5 40 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 0 18 -1 - 17 A_25_ 1 -1 -1 1 0 17 -1 - 16 A_26_ 1 -1 -1 1 0 16 -1 - 15 A_27_ 1 -1 -1 1 0 15 -1 - 14 A_28_ 1 -1 -1 1 0 14 -1 - 5 A_29_ 1 -1 -1 1 0 5 -1 - 4 A_30_ 1 -1 -1 1 0 4 -1 - 3 A_31_ 1 -1 -1 1 0 3 -1 -68 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 30 LDS_000 5 306 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 4 5 2 3 4 6 7 -1 -1 1 0 21 - 307 RN_E 3 65 6 4 2 3 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 4 2 3 6 7 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 4 2 3 6 7 -1 -1 3 0 21 - 299 CLK_CNT_0_ 3 -1 4 3 2 4 6 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 300 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 297 inst_DTACK_SYC 3 -1 5 2 5 7 -1 -1 2 0 21 - 304 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 3 5 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 3 5 -1 -1 1 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 5 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 0 18 -1 - 17 A_25_ 1 -1 -1 1 0 17 -1 - 16 A_26_ 1 -1 -1 1 0 16 -1 - 15 A_27_ 1 -1 -1 1 0 15 -1 - 14 A_28_ 1 -1 -1 1 0 14 -1 - 5 A_29_ 1 -1 -1 1 0 5 -1 - 4 A_30_ 1 -1 -1 1 0 4 -1 - 3 A_31_ 1 -1 -1 1 0 3 -1 -60 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 30 LDS_000 5 306 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 300 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYC 3 -1 2 2 2 7 -1 -1 2 0 21 - 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 2 3 -1 -1 1 0 21 - 299 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 4 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 0 3 -1 -1 1 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 4 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -60 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 30 LDS_000 5 306 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 300 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYC 3 -1 2 2 2 7 -1 -1 2 0 21 - 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 2 3 -1 -1 1 0 21 - 299 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 4 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 0 3 -1 -1 1 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 4 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -60 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 305 3 0 31 -1 4 0 20 - 30 LDS_000 5 306 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 300 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYC 3 -1 2 2 2 7 -1 -1 2 0 21 - 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 - 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 2 3 -1 -1 1 0 21 - 299 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 4 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 2 0 3 -1 -1 1 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 4 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -61 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 20 - 30 LDS_000 5 307 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 305 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 5 2 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 5 2 3 5 6 7 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 5 5 2 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 5 2 3 5 6 7 -1 -1 1 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 5 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -61 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 20 - 30 LDS_000 5 307 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 305 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 5 2 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 5 2 3 5 6 7 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 5 5 2 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 5 2 3 5 6 7 -1 -1 1 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 5 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -61 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 20 - 30 LDS_000 5 307 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 305 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 5 2 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 5 2 3 5 6 7 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 5 5 2 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 5 2 3 5 6 7 -1 -1 1 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 5 7 40 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -61 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 20 - 30 LDS_000 5 307 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 305 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 5 2 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 5 2 3 5 6 7 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 5 5 2 3 5 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 5 2 3 5 6 7 -1 -1 1 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 5 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 20 - 30 LDS_000 5 307 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 305 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 - 302 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 301 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 2 3 32 -1 1 0 20 - 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 0 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 2 2 3 4 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 6 0 2 3 4 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 0 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 20 - 30 LDS_000 5 307 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 305 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 2 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 303 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 0 21 - 299 inst_AS_030_delay 3 -1 4 1 0 -1 -1 1 0 21 - 297 inst_DTACK_SYC 3 -1 4 1 7 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 20 - 30 LDS_000 5 307 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 305 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 2 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 303 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 0 21 - 299 inst_AS_030_delay 3 -1 4 1 0 -1 -1 1 0 21 - 297 inst_DTACK_SYC 3 -1 4 1 7 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -63 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 31 UDS_000 5 307 3 0 31 -1 4 0 20 - 30 LDS_000 5 308 3 0 30 -1 4 0 20 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 306 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 310 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 301 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 2 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 308 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 - 307 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 304 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 0 21 - 303 inst_DTACK_SYNC_N 3 -1 4 1 2 -1 -1 1 0 21 - 299 inst_AS_030_delay 3 -1 4 1 0 -1 -1 1 0 21 - 297 inst_DTACK_SYNC_P 3 -1 2 1 7 -1 -1 1 0 21 - 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -63 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 32 AS_000 5 306 3 1 3 32 -1 2 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 307 3 0 31 -1 5 0 21 - 30 LDS_000 5 308 3 0 30 -1 5 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 296 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 304 inst_AS_030_AMIGA_ENABLE 3 -1 7 1 3 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_AS_030_delay 3 -1 3 1 7 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -64 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 32 AS_000 5 307 3 1 6 32 -1 2 0 21 - 80 DSACK_1_ 5 306 7 1 3 80 -1 1 0 21 - 30 LDS_000 5 309 3 0 30 -1 6 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 31 UDS_000 5 308 3 0 31 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 295 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 296 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 307 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 309 RN_LDS_000 3 30 3 1 3 30 -1 6 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 305 inst_AS_030_AMIGA_ENABLE 3 -1 7 1 3 -1 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 303 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_AS_030_delay 3 -1 3 1 7 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -64 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 32 AS_000 5 307 3 1 6 32 -1 2 0 21 - 80 DSACK_1_ 5 306 7 1 3 80 -1 1 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 31 UDS_000 5 308 3 0 31 -1 4 0 21 - 30 LDS_000 5 309 3 0 30 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 295 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 296 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 309 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 305 inst_AS_030_AMIGA_ENABLE 3 -1 7 1 3 -1 -1 1 0 21 - 303 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_AS_030_delay 3 -1 3 1 7 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -64 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 32 AS_000 5 307 3 1 6 32 -1 2 0 21 - 80 DSACK_1_ 5 306 7 1 3 80 -1 1 0 21 - 30 LDS_000 5 309 3 0 30 -1 6 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 31 UDS_000 5 308 3 0 31 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 295 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 296 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 309 RN_LDS_000 3 30 3 1 3 30 -1 6 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 305 inst_AS_030_AMIGA_ENABLE 3 -1 7 1 3 -1 -1 1 0 21 - 303 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_AS_030_delay 3 -1 3 1 7 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -63 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 32 AS_000 5 306 3 1 6 32 -1 2 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 1 0 21 - 31 UDS_000 5 307 3 0 31 -1 5 0 21 - 30 LDS_000 5 308 3 0 30 -1 5 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 301 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 296 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 304 inst_AS_030_AMIGA_ENABLE 3 -1 3 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 32 AS_000 5 305 3 1 6 32 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 8 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 301 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 296 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 302 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -61 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 303 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 305 3 0 31 -1 9 0 21 - 30 LDS_000 5 306 3 0 30 -1 9 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 21 - 32 AS_000 5 304 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 301 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 300 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 304 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 7 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -60 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 - 80 DSACK_1_ 5 302 7 1 3 80 -1 1 0 21 - 31 UDS_000 5 304 3 0 31 -1 9 0 20 - 30 LDS_000 5 305 3 0 30 -1 9 0 20 - 65 E 5 306 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 32 AS_000 5 303 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 RN_E 3 65 6 5 0 3 4 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 4 5 0 3 4 5 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 5 5 0 3 4 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 5 0 3 4 5 6 -1 -1 1 0 20 - 300 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 301 SM_AMIGA_1_ 3 -1 0 3 0 3 5 -1 -1 3 0 21 - 307 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 295 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 20 - 298 inst_DTACK_INT 3 -1 0 2 0 7 -1 -1 4 0 21 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 - 296 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 9 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 9 0 20 - 297 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 7 81 -1 - 40 VPA 1 -1 -1 3 0 2 5 40 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 30 LDS_000 5 307 3 0 30 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 8 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 8 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -61 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 0 2 29 -1 1 0 21 - 80 DSACK_1_ 5 303 7 1 3 80 -1 1 0 21 - 31 UDS_000 5 305 3 0 31 -1 5 0 20 - 30 LDS_000 5 306 3 0 30 -1 5 0 20 - 65 E 5 307 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 2 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 304 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 RN_E 3 65 6 4 0 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 4 0 2 3 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 2 4 0 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 2 4 0 2 3 6 -1 -1 1 0 21 - 301 SM_AMIGA_0_ 3 -1 2 3 0 2 3 -1 -1 4 0 20 - 302 SM_AMIGA_1_ 3 -1 0 3 0 2 3 -1 -1 3 0 21 - 308 RN_VMA 3 34 3 3 0 2 3 34 -1 2 1 20 - 304 RN_AS_000 3 32 3 3 2 3 4 32 -1 1 0 20 - 296 inst_VPA_SYNC 3 -1 5 3 0 2 3 -1 -1 1 0 20 - 297 inst_DTACK_INT 3 -1 0 2 0 7 -1 -1 4 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 300 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 1 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 5 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 5 0 20 - 299 CLK_CNT_0_ 3 -1 5 1 5 -1 -1 2 0 21 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 4 3 4 5 7 81 -1 - 85 RST 1 -1 -1 3 0 1 2 85 -1 - 40 VPA 1 -1 -1 3 0 2 5 40 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -60 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 - 80 DSACK_1_ 5 302 7 1 3 80 -1 1 0 21 - 31 UDS_000 5 304 3 0 31 -1 5 0 20 - 30 LDS_000 5 305 3 0 30 -1 5 0 20 - 65 E 5 306 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 308 6 0 64 -1 2 0 21 - 34 VMA 5 307 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 5 303 3 0 32 -1 1 0 20 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 297 cpu_est_2_ 3 -1 6 4 0 3 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 - 300 SM_AMIGA_0_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 - 301 SM_AMIGA_1_ 3 -1 0 3 0 3 5 -1 -1 3 0 21 - 307 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 295 inst_VPA_SYNC 3 -1 4 3 0 3 5 -1 -1 1 0 21 - 296 inst_DTACK_INT 3 -1 5 2 5 7 -1 -1 4 0 21 - 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 303 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 - 299 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 5 0 20 - 304 RN_UDS_000 3 31 3 1 3 31 -1 5 0 20 - 298 CLK_CNT_0_ 3 -1 2 1 2 -1 -1 2 0 21 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 10 CLK_000 9 -1 1 7 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 3 4 7 81 -1 - 40 VPA 1 -1 -1 3 0 4 5 40 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 32 AS_000 0 3 0 32 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 298 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 300 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 295 inst_AS_000_INT 3 -1 3 2 3 6 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -62 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -63 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -63 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 320 3 0 30 -1 13 0 21 - 31 UDS_000 5 319 3 0 31 -1 9 0 21 - 65 E 5 321 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 322 3 0 34 -1 2 1 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 315 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 316 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 321 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 308 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 306 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 323 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 322 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 309 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 305 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 310 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 307 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 304 A_27_ 1 -1 -1 1 4 -1 -1 - 303 A_28_ 1 -1 -1 1 4 -1 -1 - 302 A_29_ 1 -1 -1 1 4 -1 -1 - 301 A_30_ 1 -1 -1 1 4 -1 -1 - 300 A_20_ 1 -1 -1 1 4 -1 -1 - 299 A_21_ 1 -1 -1 1 4 -1 -1 - 298 A_22_ 1 -1 -1 1 4 -1 -1 - 297 A_31_ 1 -1 -1 1 4 -1 -1 - 296 A_23_ 1 -1 -1 1 4 -1 -1 - 295 A_24_ 1 -1 -1 1 4 -1 -1 - 294 A_25_ 1 -1 -1 1 4 -1 -1 - 293 A_26_ 1 -1 -1 1 4 -1 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 297 inst_VPA_SYNC 3 -1 3 1 3 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 297 inst_VPA_SYNC 3 -1 3 1 3 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 3 6 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 7 29 -1 1 0 21 - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 309 3 0 31 -1 5 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 32 AS_000 5 308 3 0 32 -1 4 0 21 - 30 LDS_000 5 310 3 0 30 -1 4 1 21 - 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 313 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 312 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 310 RN_LDS_000 3 30 3 2 3 6 30 -1 4 1 21 - 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 313 RN_VMA 3 34 3 2 3 7 34 -1 2 1 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 3 2 3 7 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 2 3 6 -1 -1 1 0 21 - 306 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 305 UDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 308 RN_AS_000 3 32 3 1 3 32 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 7 1 7 -1 -1 4 0 20 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 3 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 308 3 0 31 -1 13 1 21 - 30 LDS_000 5 309 3 0 30 -1 5 1 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 32 AS_000 5 307 3 0 32 -1 3 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 312 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_LDS_000 3 30 3 2 3 7 30 -1 5 1 21 - 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 298 inst_CLK_000_D 3 -1 6 2 3 7 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 2 3 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 - 305 LDS_000_0 3 -1 7 1 3 -1 -1 7 1 21 - 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 3 0 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 7 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 7 78 -1 - 69 SIZE_0_ 1 -1 -1 1 7 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 308 3 0 31 -1 13 1 21 - 30 LDS_000 5 309 3 0 30 -1 5 1 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 32 AS_000 5 307 3 0 32 -1 3 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 312 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_LDS_000 3 30 3 2 3 7 30 -1 5 1 21 - 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 298 inst_CLK_000_D 3 -1 6 2 3 7 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 2 3 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 - 305 LDS_000_0 3 -1 7 1 3 -1 -1 7 1 21 - 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 7 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 7 78 -1 - 69 SIZE_0_ 1 -1 -1 1 7 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 308 3 0 31 -1 13 1 21 - 30 LDS_000 5 309 3 0 30 -1 5 1 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 32 AS_000 5 307 3 0 32 -1 3 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 312 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_LDS_000 3 30 3 2 3 7 30 -1 5 1 21 - 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 298 inst_CLK_000_D 3 -1 6 2 3 7 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 2 3 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 - 305 LDS_000_0 3 -1 7 1 3 -1 -1 7 1 21 - 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 7 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 7 78 -1 - 69 SIZE_0_ 1 -1 -1 1 7 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 308 3 0 31 -1 13 1 21 - 30 LDS_000 5 309 3 0 30 -1 5 1 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 32 AS_000 5 307 3 0 32 -1 3 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 312 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 309 RN_LDS_000 3 30 3 2 3 7 30 -1 5 1 21 - 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 298 inst_CLK_000_D 3 -1 6 2 3 7 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 2 3 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 - 305 LDS_000_0 3 -1 7 1 3 -1 -1 7 1 21 - 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 7 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 7 78 -1 - 69 SIZE_0_ 1 -1 -1 1 7 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 308 3 0 31 -1 8 0 21 - 30 LDS_000 5 309 3 0 30 -1 8 0 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 32 AS_000 5 307 3 0 32 -1 3 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 312 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 309 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 294 N_40_i 3 -1 3 1 3 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 308 3 0 31 -1 8 0 21 - 30 LDS_000 5 309 3 0 30 -1 8 0 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 32 AS_000 5 307 3 0 32 -1 3 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 312 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_DTACK_INT 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_AS_000 3 32 3 2 3 6 32 -1 3 1 21 - 305 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 299 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 20 - 298 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 309 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 3 1 3 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 294 N_40_i 3 -1 3 1 3 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 306 3 0 30 -1 14 0 20 - 31 UDS_000 5 305 3 0 31 -1 13 0 20 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 20 - 32 AS_000 5 304 3 0 32 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 307 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 301 SM_AMIGA_0_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 4 0 3 5 6 -1 -1 4 0 21 - 302 SM_AMIGA_1_ 3 -1 0 4 0 2 3 5 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 - 295 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 - 309 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 297 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 RN_AS_000 3 32 3 2 0 3 32 -1 2 1 20 - 299 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -74 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 - 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 306 3 0 30 -1 14 0 20 - 31 UDS_000 5 305 3 0 31 -1 13 0 20 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 20 - 32 AS_000 5 304 3 0 32 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 307 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 301 SM_AMIGA_0_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 4 0 3 5 6 -1 -1 4 0 21 - 302 SM_AMIGA_1_ 3 -1 0 4 0 2 3 5 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 - 295 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 - 309 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 297 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 RN_AS_000 3 32 3 2 0 3 32 -1 2 1 20 - 299 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 - 305 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 14 0 20 - 31 UDS_000 5 307 3 0 31 -1 13 0 20 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 32 AS_000 5 306 3 0 32 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 3 0 21 - 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 296 SM_AMIGA_LAST_1_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 1 20 - 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 308 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 - 307 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -79 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 309 3 0 31 -1 8 0 21 - 30 LDS_000 5 310 3 0 30 -1 8 0 21 - 32 AS_000 5 308 3 0 32 -1 5 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 313 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 RN_AS_000 3 32 3 2 3 6 32 -1 5 0 21 - 312 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 313 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 296 SM_AMIGA_LAST_1_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 310 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 N_35_i 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 3 1 3 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -80 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 308 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 311 3 0 30 -1 9 1 21 - 65 E 5 313 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 314 3 0 34 -1 2 1 20 - 32 AS_000 5 309 3 0 32 -1 2 1 21 - 31 UDS_000 5 310 3 0 31 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 312 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 313 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 314 RN_VMA 3 34 3 3 3 6 7 34 -1 2 1 20 - 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 309 RN_AS_000 3 32 3 2 3 6 32 -1 2 1 21 - 305 un1_UDS_000_INT_3_0 3 -1 3 1 3 -1 -1 12 1 21 - 311 RN_LDS_000 3 30 3 1 3 30 -1 9 1 21 - 300 N_30_0 3 -1 3 1 3 -1 -1 3 0 21 - 310 RN_UDS_000 3 31 3 1 3 31 -1 2 0 21 - 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 312 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_CLK_000_D 3 -1 3 1 3 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 2 6 7 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 14 0 20 - 31 UDS_000 5 307 3 0 31 -1 13 0 20 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 32 AS_000 5 306 3 0 32 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 3 0 21 - 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 296 SM_AMIGA_LAST_1_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 1 20 - 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 308 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 - 307 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 14 0 20 - 31 UDS_000 5 307 3 0 31 -1 13 0 20 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 32 AS_000 5 306 3 0 32 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 1 20 - 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 6 2 2 6 -1 -1 2 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 6 2 2 6 -1 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 308 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 - 307 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 308 3 0 31 -1 13 1 21 - 30 LDS_000 5 309 3 0 30 -1 5 1 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 312 3 0 34 -1 2 1 20 - 32 AS_000 5 307 3 0 32 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 299 inst_AS_AMIGA_ENABLE 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 309 RN_LDS_000 3 30 3 2 3 6 30 -1 5 1 21 - 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 300 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 307 RN_AS_000 3 32 3 2 3 6 32 -1 2 1 21 - 298 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 - 305 LDS_000_0 3 -1 6 1 3 -1 -1 7 1 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -79 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 309 3 0 31 -1 8 0 21 - 30 LDS_000 5 310 3 0 30 -1 8 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 313 3 0 34 -1 2 1 20 - 32 AS_000 5 308 3 0 32 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 312 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 295 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 294 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 298 inst_DTACK_INT 3 -1 7 2 6 7 -1 -1 4 0 20 - 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 313 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 308 RN_AS_000 3 32 3 2 3 7 32 -1 2 1 21 - 301 inst_CLK_000_D 3 -1 3 2 3 7 -1 -1 1 0 21 - 299 inst_VPA_SYNC 3 -1 3 2 3 7 -1 -1 1 0 20 - 310 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 SM_AMIGA_LAST_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 296 SM_AMIGA_LAST_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 N_40_i 3 -1 7 1 3 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 7 29 159 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 7 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 3 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 14 0 20 - 31 UDS_000 5 307 3 0 31 -1 13 0 20 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 32 AS_000 5 306 3 0 32 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 1 20 - 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 6 2 2 6 -1 -1 2 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 6 2 2 6 -1 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 308 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 - 307 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -80 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 308 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 310 3 0 31 -1 8 0 21 - 30 LDS_000 5 311 3 0 30 -1 8 0 21 - 65 E 5 313 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 - 32 AS_000 5 309 3 0 32 -1 3 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 314 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 312 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 313 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 298 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 314 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 311 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 310 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 309 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 - 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 312 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 300 N_37_i 3 -1 3 1 3 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -80 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 308 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 310 3 0 31 -1 10 0 21 - 65 E 5 313 6 0 65 -1 4 0 21 - 30 LDS_000 5 311 3 0 30 -1 4 1 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 314 3 0 34 -1 2 1 21 - 32 AS_000 5 309 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 312 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 313 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 301 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 304 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 311 RN_LDS_000 3 30 3 2 3 6 30 -1 4 1 21 - 305 SM_AMIGA_0_ 3 -1 3 2 3 7 -1 -1 4 0 20 - 298 inst_DTACK_INT 3 -1 7 2 6 7 -1 -1 4 0 20 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 SM_AMIGA_1_ 3 -1 3 2 3 7 -1 -1 3 0 20 - 314 RN_VMA 3 34 3 2 3 7 34 -1 2 1 21 - 300 inst_CLK_000_D 3 -1 3 2 3 6 -1 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 6 2 3 7 -1 -1 1 0 20 - 297 inst_AS_000_INT_D 3 -1 3 2 3 6 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 310 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 307 LDS_000_0 3 -1 6 1 3 -1 -1 8 1 21 - 309 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 2 3 7 29 159 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 78 SIZE_1_ 1 -1 -1 2 3 6 78 -1 - 69 SIZE_0_ 1 -1 -1 2 3 6 69 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 10 0 20 - 31 UDS_000 5 307 3 0 31 -1 8 0 20 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 32 AS_000 5 306 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 299 cpu_est_2_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 304 SM_AMIGA_1_ 3 -1 0 3 0 3 5 -1 -1 3 0 21 - 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 302 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 - 300 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 5 2 2 3 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 5 2 2 3 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 10 0 20 - 307 RN_UDS_000 3 31 3 1 3 31 -1 8 0 20 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -79 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 7 0 20 - 65 E 5 312 6 0 65 -1 4 0 21 - 32 AS_000 5 308 3 0 32 -1 4 0 20 - 31 UDS_000 5 309 3 0 31 -1 4 1 20 - 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 313 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 4 5 0 3 4 5 6 -1 -1 1 0 21 - 312 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 0 4 0 2 3 4 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 - 305 SM_AMIGA_1_ 3 -1 0 4 0 2 3 5 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 21 - 308 RN_AS_000 3 32 3 3 0 2 3 32 -1 4 0 20 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 303 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 309 RN_UDS_000 3 31 3 2 2 3 31 -1 4 1 20 - 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 313 RN_VMA 3 34 3 2 0 3 34 -1 2 1 20 - 298 inst_VPA_SYNC 3 -1 5 2 0 3 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_1_ 3 -1 5 2 2 3 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 4 2 2 3 -1 -1 1 0 21 - 306 UDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 310 RN_LDS_000 3 30 3 1 3 30 -1 7 0 20 - 302 un1_as_000_int2_0 3 -1 2 1 3 -1 -1 7 1 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 0 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 0 1 85 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 5 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -80 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 308 7 1 3 80 -1 2 0 21 - 32 AS_000 5 309 3 0 32 -1 5 0 20 - 31 UDS_000 5 310 3 0 31 -1 5 0 20 - 30 LDS_000 5 311 3 0 30 -1 5 0 20 - 65 E 5 313 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 314 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 312 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 4 5 0 3 4 5 6 -1 -1 1 0 21 - 313 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 0 4 0 3 4 5 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 0 4 0 3 5 6 -1 -1 4 0 20 - 300 cpu_est_2_ 3 -1 6 4 0 3 5 6 -1 -1 3 0 21 - 309 RN_AS_000 3 32 3 3 0 2 3 32 -1 5 0 20 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 304 SM_AMIGA_1_ 3 -1 5 3 0 3 5 -1 -1 3 0 20 - 314 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 3 0 3 5 -1 -1 1 0 20 - 311 RN_LDS_000 3 30 3 2 2 3 30 -1 5 0 20 - 310 RN_UDS_000 3 31 3 2 2 3 31 -1 5 0 20 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 302 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 305 N_35 3 -1 2 2 2 3 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 5 2 2 3 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 4 2 2 3 -1 -1 1 0 21 - 307 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 306 UDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 68 A_0_ 1 -1 -1 1 2 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 20 - 31 UDS_000 5 307 3 0 31 -1 7 1 20 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 32 AS_000 5 306 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 302 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 - 300 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 6 2 2 3 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 5 2 2 3 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 - 307 RN_UDS_000 3 31 3 1 3 31 -1 7 1 20 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 20 - 31 UDS_000 5 307 3 0 31 -1 8 1 20 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 32 AS_000 5 306 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 302 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 - 300 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 - 307 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 296 SM_AMIGA_LAST_1_ 3 -1 6 1 2 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 5 1 2 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 309 3 0 30 -1 13 0 20 - 31 UDS_000 5 308 3 0 31 -1 8 1 20 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 312 3 0 34 -1 2 1 20 - 32 AS_000 5 307 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 311 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 - 304 SM_AMIGA_0_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 - 305 SM_AMIGA_1_ 3 -1 5 3 0 3 5 -1 -1 3 0 21 - 312 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 303 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 20 - 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 298 inst_DTACK_SYNC_D 3 -1 4 2 0 5 -1 -1 1 0 21 - 309 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 - 308 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 296 SM_AMIGA_LAST_1_ 3 -1 0 1 2 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 0 1 2 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 1 4 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -79 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 20 - 31 UDS_000 5 309 3 0 31 -1 8 1 20 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 313 3 0 34 -1 2 1 20 - 32 AS_000 5 308 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 cpu_est_0_ 3 -1 4 5 0 3 4 5 6 -1 -1 1 0 21 - 312 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 301 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 - 305 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 - 306 SM_AMIGA_1_ 3 -1 0 3 0 3 5 -1 -1 3 0 21 - 313 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 304 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 - 298 inst_DTACK_SYNC_DD 3 -1 4 2 0 5 -1 -1 1 0 21 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 - 309 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_DTACK_SYNC_D 3 -1 6 1 4 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 0 1 2 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 5 1 2 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -79 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 20 - 31 UDS_000 5 309 3 0 31 -1 8 1 20 - 65 E 5 313 6 0 65 -1 4 0 21 - 9 CLK_EXP 5 312 1 0 9 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 314 3 0 34 -1 2 1 20 - 32 AS_000 5 308 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 313 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 - 306 SM_AMIGA_1_ 3 -1 0 4 0 3 4 5 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 - 314 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 - 304 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 308 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 20 - 303 CLK_CNT_1_ 3 -1 4 2 1 4 -1 -1 2 0 21 - 302 CLK_CNT_0_ 3 -1 4 2 1 4 -1 -1 1 0 21 - 298 inst_DTACK_SYNC_DD 3 -1 6 2 0 5 -1 -1 1 0 21 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 - 309 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 - 312 RN_CLK_EXP 3 9 1 1 1 9 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_DTACK_SYNC_D 3 -1 6 1 6 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 0 1 2 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 10 CLK_000 9 -1 1 6 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 0 1 5 85 -1 - 81 AS_030 1 -1 -1 3 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 20 - 31 UDS_000 5 309 3 0 31 -1 8 1 20 - 65 E 5 313 6 0 65 -1 4 0 21 - 9 CLK_EXP 5 312 1 0 9 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 6 -1 -1 3 0 20 - 305 SM_AMIGA_0_ 3 -1 0 4 0 3 5 6 -1 -1 4 0 21 - 299 inst_VMA_INT 3 -1 5 4 0 3 5 6 -1 -1 2 1 20 - 313 RN_E 3 65 6 3 0 5 6 65 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 6 3 2 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 5 3 0 5 6 -1 -1 3 0 21 - 308 RN_AS_000 3 32 3 3 0 3 5 32 -1 2 0 20 - 304 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 2 3 0 5 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 0 3 0 5 6 -1 -1 1 0 20 - 303 CLK_CNT_1_ 3 -1 4 2 1 4 -1 -1 2 0 21 - 302 CLK_CNT_0_ 3 -1 4 2 1 4 -1 -1 1 0 21 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 - 309 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 - 312 RN_CLK_EXP 3 9 1 1 1 9 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 2 1 2 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 0 1 2 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 3 0 5 6 29 159 - 10 CLK_000 9 -1 1 6 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 4 0 1 5 6 85 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 20 - 31 UDS_000 5 309 3 0 31 -1 8 1 20 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 312 RN_E 3 65 6 4 0 2 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 4 0 2 5 6 -1 -1 4 0 20 - 300 cpu_est_2_ 3 -1 5 4 0 2 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 0 4 0 2 5 6 -1 -1 1 0 21 - 305 SM_AMIGA_0_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 297 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 - 306 SM_AMIGA_1_ 3 -1 5 3 2 3 5 -1 -1 3 0 21 - 308 RN_AS_000 3 32 3 3 0 3 5 32 -1 2 0 20 - 304 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 299 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 2 1 20 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 302 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 6 2 0 5 -1 -1 1 0 20 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 - 309 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 2 1 2 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 2 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 5 0 2 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 309 3 0 30 -1 13 0 20 - 31 UDS_000 5 308 3 0 31 -1 8 1 20 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 307 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_VMA_INT 3 -1 0 4 0 3 5 6 -1 -1 2 1 21 - 311 RN_E 3 65 6 3 0 5 6 65 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 5 3 2 3 5 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 3 0 5 6 -1 -1 4 0 20 - 299 cpu_est_2_ 3 -1 0 3 0 5 6 -1 -1 3 0 20 - 307 RN_AS_000 3 32 3 3 0 3 5 32 -1 2 0 20 - 303 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 305 SM_AMIGA_1_ 3 -1 5 2 3 5 -1 -1 3 0 21 - 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 2 2 0 5 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 5 2 2 7 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 2 2 2 7 -1 -1 1 0 20 - 309 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 - 308 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 309 3 0 30 -1 10 0 20 - 31 UDS_000 5 308 3 0 31 -1 8 0 20 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 307 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 305 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 3 0 21 - 298 inst_VMA_INT 3 -1 0 4 0 3 5 6 -1 -1 2 1 21 - 311 RN_E 3 65 6 3 0 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 3 0 5 6 -1 -1 4 0 20 - 303 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 0 3 0 5 6 -1 -1 3 0 20 - 307 RN_AS_000 3 32 3 3 0 3 5 32 -1 2 0 20 - 293 cpu_est_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 2 2 0 5 -1 -1 1 0 21 - 309 RN_LDS_000 3 30 3 1 3 30 -1 10 0 20 - 308 RN_UDS_000 3 31 3 1 3 31 -1 8 0 20 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 2 1 2 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 5 1 2 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 1 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 10 0 21 - 31 UDS_000 5 309 3 0 31 -1 8 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 308 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 310 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 312 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -80 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 311 3 0 31 -1 10 0 21 - 65 E 5 314 6 0 65 -1 4 0 21 - 30 LDS_000 5 312 3 0 30 -1 4 1 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 310 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 313 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 305 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 311 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 308 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 - 314 RN_E 3 65 6 1 6 65 -1 4 0 21 - 312 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 3 3 6 7 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 21 - 31 UDS_000 5 309 3 0 31 -1 9 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 308 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 312 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 21 - 31 UDS_000 5 309 3 0 31 -1 9 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 308 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 312 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -80 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 311 3 0 31 -1 10 0 21 - 65 E 5 314 6 0 65 -1 4 0 21 - 30 LDS_000 5 312 3 0 30 -1 4 1 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 310 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 313 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 303 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 305 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_1_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 311 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 308 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 - 314 RN_E 3 65 6 1 6 65 -1 4 0 21 - 312 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 21 - 31 UDS_000 5 309 3 0 31 -1 9 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 299 inst_VMA_INT 3 -1 6 3 3 6 7 -1 -1 2 1 20 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 308 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 SM_AMIGA_LAST_1_ 3 -1 3 2 3 7 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 7 2 3 7 -1 -1 1 0 20 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 312 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -80 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 311 3 0 31 -1 10 0 21 - 65 E 5 314 6 0 65 -1 4 0 21 - 30 LDS_000 5 312 3 0 30 -1 4 1 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 310 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 313 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 303 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 311 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 308 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 - 314 RN_E 3 65 6 1 6 65 -1 4 0 21 - 312 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 305 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -81 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 310 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 312 3 0 31 -1 10 0 21 - 65 E 5 315 6 0 65 -1 4 0 21 - 30 LDS_000 5 313 3 0 30 -1 4 1 21 - 64 CLK_DIV_OUT 5 316 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 311 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 314 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 308 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 299 inst_VMA_INT 3 -1 6 3 3 6 7 -1 -1 2 1 20 - 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 316 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 311 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 312 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 309 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 - 315 RN_E 3 65 6 1 6 65 -1 4 0 21 - 313 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 310 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 303 as_amiga_un2_as_030_n 3 -1 7 1 3 -1 -1 2 0 21 - 314 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 306 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_1_ 3 -1 3 1 7 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -80 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 312 3 0 30 -1 10 0 21 - 31 UDS_000 5 311 3 0 31 -1 9 1 21 - 65 E 5 314 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 310 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 313 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 inst_AS_AMIGA_ENABLE 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 307 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 312 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 311 RN_UDS_000 3 31 3 1 3 31 -1 9 1 21 - 314 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 308 as_amiga_un10_as_030_4_n 3 -1 6 1 3 -1 -1 1 0 21 - 305 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -80 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 312 3 0 30 -1 10 0 21 - 31 UDS_000 5 311 3 0 31 -1 9 1 21 - 65 E 5 314 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 310 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 313 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 312 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 311 RN_UDS_000 3 31 3 1 3 31 -1 9 1 21 - 314 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 308 as_amiga_un10_as_030_4_n 3 -1 3 1 3 -1 -1 1 0 21 - 305 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 296 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 309 3 0 31 -1 10 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 30 LDS_000 5 310 3 0 30 -1 4 1 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 308 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 309 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 306 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 - 312 RN_E 3 65 6 1 6 65 -1 4 0 21 - 310 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 20 - 31 UDS_000 5 306 3 0 31 -1 8 0 20 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 305 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 5 4 0 3 5 7 -1 -1 4 0 21 - 303 SM_AMIGA_1_ 3 -1 5 4 0 3 5 7 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 2 4 2 3 5 6 -1 -1 2 1 20 - 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 1 0 21 - 309 RN_E 3 65 6 3 2 5 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 5 3 2 5 6 -1 -1 4 0 20 - 299 inst_AS_AMIGA_ENABLE 3 -1 0 3 0 3 7 -1 -1 3 0 21 - 297 cpu_est_2_ 3 -1 2 3 2 5 6 -1 -1 3 0 21 - 305 RN_AS_000 3 32 3 3 2 3 5 32 -1 2 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 20 - 306 RN_UDS_000 3 31 3 1 3 31 -1 8 0 20 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 CLK_CNT_1_ 3 -1 2 1 6 -1 -1 1 0 21 - 298 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 5 29 159 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 9 -1 0 10 -1 - 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 5 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 0 5 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 8 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 306 3 0 30 -1 13 0 21 - 31 UDS_000 5 305 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 304 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 307 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 297 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 304 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 298 inst_AS_AMIGA_ENABLE 3 -1 3 1 3 -1 -1 3 0 21 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 306 3 0 30 -1 13 0 21 - 31 UDS_000 5 305 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 304 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 307 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 298 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 3 0 21 - 297 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 304 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 6 7 40 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 306 3 0 30 -1 13 0 21 - 31 UDS_000 5 305 3 0 31 -1 9 0 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 32 AS_000 5 304 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 307 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 298 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 3 0 21 - 297 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 304 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 300 CLK_CNT_1_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 306 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 295 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -74 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 302 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 305 3 0 30 -1 13 0 21 - 31 UDS_000 5 304 3 0 31 -1 9 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 32 AS_000 5 303 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 306 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 297 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 303 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 305 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 307 3 0 31 -1 7 0 21 - 30 LDS_000 5 308 3 0 30 -1 7 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 32 AS_000 5 306 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 295 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 300 SM_AMIGA_LAST_1_ 3 -1 3 2 3 7 -1 -1 1 0 20 - 299 SM_AMIGA_LAST_0_ 3 -1 7 2 3 7 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 7 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 304 as_amiga_un8_as_030_n 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 10 CLK_000 9 -1 2 3 6 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 6 7 29 -1 1 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 31 UDS_000 5 306 3 0 31 -1 3 0 21 - 30 LDS_000 5 307 3 0 30 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 20 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 - 300 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 299 inst_AS_AMIGA_ENABLE 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 310 RN_VMA 3 34 3 3 3 6 7 34 -1 2 1 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 - 305 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 296 inst_AS_000_INT_D 3 -1 3 2 3 6 -1 -1 1 0 20 - 303 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 302 UDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 3 3 6 7 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 6 7 40 162 - 10 CLK_000 9 -1 2 3 6 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 6 7 85 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 97 DS_030 1 -1 -1 2 3 7 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 6 81 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 6 7 29 -1 1 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 31 UDS_000 5 306 3 0 31 -1 3 0 21 - 30 LDS_000 5 307 3 0 30 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 20 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 - 300 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 299 inst_AS_AMIGA_ENABLE 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 310 RN_VMA 3 34 3 3 3 6 7 34 -1 2 1 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 307 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 - 305 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 296 inst_AS_000_INT_D 3 -1 3 2 3 6 -1 -1 1 0 20 - 303 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 302 UDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 3 3 6 7 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 6 7 40 162 - 10 CLK_000 9 -1 2 3 6 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 6 7 85 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 97 DS_030 1 -1 -1 2 3 7 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 6 81 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 302 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 305 3 0 30 -1 13 0 21 - 31 UDS_000 5 304 3 0 31 -1 8 1 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 32 AS_000 5 303 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 306 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 303 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 305 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 8 1 21 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 97 DS_030 1 -1 -1 2 3 7 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 81 AS_030 1 -1 -1 1 3 81 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 31 UDS_000 5 307 3 0 31 -1 8 1 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 30 LDS_000 5 308 3 0 30 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 32 AS_000 5 306 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 303 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 306 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 - 311 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 300 SM_AMIGA_LAST_1_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 299 SM_AMIGA_LAST_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 296 inst_AS_000_INT_D 3 -1 6 2 3 6 -1 -1 1 0 20 - 304 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 8 1 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 7 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 7 40 162 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 97 DS_030 1 -1 -1 2 3 7 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 7 85 -1 - 81 AS_030 1 -1 -1 2 3 6 81 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 31 UDS_000 5 307 3 0 31 -1 8 1 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 30 LDS_000 5 308 3 0 30 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 311 3 0 34 -1 2 1 20 - 32 AS_000 5 306 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 295 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 306 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 20 - 300 SM_AMIGA_LAST_1_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 299 SM_AMIGA_LAST_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 - 311 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 - 296 inst_AS_000_INT_D 3 -1 6 2 3 6 -1 -1 1 0 20 - 304 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 8 1 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 7 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 7 40 162 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 97 DS_030 1 -1 -1 3 3 6 7 97 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 7 85 -1 - 81 AS_030 1 -1 -1 2 3 6 81 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 305 3 0 30 -1 11 0 21 - 31 UDS_000 5 304 3 0 31 -1 10 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 32 AS_000 5 303 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 306 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 303 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 305 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 97 DS_030 1 -1 -1 2 3 7 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 81 AS_030 1 -1 -1 1 3 81 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 305 3 0 30 -1 8 0 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 31 UDS_000 5 304 3 0 31 -1 4 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 20 - 32 AS_000 5 303 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 306 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 303 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 305 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 81 AS_030 1 -1 -1 1 3 81 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 8 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 20 - 32 AS_000 5 305 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 1 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 20 - 298 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 SM_AMIGA_LAST_1_ 3 -1 3 1 7 -1 -1 1 0 20 - 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 6 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 8 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 31 UDS_000 5 306 3 0 31 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 20 - 32 AS_000 5 305 3 0 32 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 97 DS_030 1 -1 -1 2 3 7 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 10 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 20 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 305 RN_AS_000 3 32 3 3 3 6 7 32 -1 3 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 97 DS_030 1 -1 -1 2 3 7 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 6 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 305 RN_AS_000 3 32 3 3 3 6 7 32 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 1 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 97 DS_030 1 -1 -1 2 3 7 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 306 3 0 30 -1 7 1 21 - 31 UDS_000 5 305 3 0 31 -1 6 1 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 32 AS_000 5 304 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 307 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 7 1 21 - 305 RN_UDS_000 3 31 3 1 3 31 -1 6 1 21 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 97 DS_030 1 -1 -1 2 3 7 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 81 AS_030 1 -1 -1 1 3 81 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 304 3 0 31 -1 9 0 21 - 30 LDS_000 5 305 3 0 30 -1 8 1 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 32 AS_000 5 303 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 306 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 297 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 8 1 21 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 299 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 304 3 0 31 -1 9 0 21 - 30 LDS_000 5 305 3 0 30 -1 8 1 21 - 65 E 5 307 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 - 32 AS_000 5 303 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 308 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 306 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 303 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 297 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 304 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 305 RN_LDS_000 3 30 3 1 3 30 -1 8 1 21 - 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 299 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 305 3 0 31 -1 9 0 21 - 30 LDS_000 5 306 3 0 30 -1 8 1 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 32 AS_000 5 304 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 307 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 298 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 297 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 8 1 21 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 299 SM_AMIGA_LAST_1_ 3 -1 3 1 7 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 2 3 6 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 303 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 305 3 0 31 -1 10 0 21 - 30 LDS_000 5 306 3 0 30 -1 7 1 21 - 65 E 5 308 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 32 AS_000 5 304 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 309 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 307 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 304 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 305 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 306 RN_LDS_000 3 30 3 1 3 30 -1 7 1 21 - 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 2 3 6 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -78 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 21 - 31 UDS_000 5 309 3 0 31 -1 9 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 308 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 312 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 296 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 309 3 0 30 -1 13 0 21 - 31 UDS_000 5 308 3 0 31 -1 9 0 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 307 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 307 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 309 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 311 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 3 0 21 - 32 AS_000 5 317 3 0 32 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 3 0 21 - 65 E 5 321 6 0 65 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 - 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 - 296 cpu_est_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 317 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 0 2 0 7 -1 -1 2 0 21 - 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_CLK_030_D 3 -1 4 2 4 7 -1 -1 2 0 21 - 303 CLK_CNT_1_ 3 -1 7 2 0 6 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_E 3 65 6 1 6 65 -1 2 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 5 0 3 4 5 7 63 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 0 40 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 3 0 21 - 32 AS_000 5 317 3 0 32 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 3 0 21 - 65 E 5 321 6 0 65 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 - 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 - 296 cpu_est_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 317 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 0 2 0 7 -1 -1 2 0 21 - 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_CLK_030_D 3 -1 4 2 4 7 -1 -1 2 0 21 - 303 CLK_CNT_1_ 3 -1 7 2 0 6 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_E 3 65 6 1 6 65 -1 2 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 5 0 3 4 5 7 63 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 0 40 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 10 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 4 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 3 0 21 - 32 AS_000 5 317 3 0 32 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 65 E 5 321 6 0 65 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 - 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 - 296 cpu_est_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 317 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 0 2 0 7 -1 -1 2 0 21 - 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_CLK_030_D 3 -1 4 2 4 7 -1 -1 2 0 21 - 303 CLK_CNT_1_ 3 -1 7 2 0 6 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 321 RN_E 3 65 6 1 6 65 -1 2 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 5 0 3 4 5 7 63 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 0 40 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 4 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 4 0 21 - 32 AS_000 5 317 3 0 32 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 65 E 5 321 6 0 65 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 4 0 21 - 308 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 - 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 - 296 cpu_est_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 - 309 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 307 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 303 CLK_CNT_0_ 3 -1 7 3 0 6 7 -1 -1 1 0 21 - 310 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 - 317 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 2 0 21 - 304 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_CLK_030_D 3 -1 4 2 4 7 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 321 RN_E 3 65 6 1 6 65 -1 2 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 5 0 3 4 5 7 63 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 0 40 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -85 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 318 3 0 30 -1 12 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 314 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 313 1 0 6 -1 4 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 3 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 65 E 5 320 6 0 65 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 6 5 0 1 2 4 6 -1 -1 2 0 21 - 294 cpu_est_1_ 3 -1 4 4 0 4 5 6 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 4 0 21 - 296 cpu_est_3_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 4 0 4 5 6 -1 -1 3 0 21 - 305 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 - 298 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 3 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 8 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 301 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 2 0 21 - 299 inst_CLK_030_D 3 -1 6 2 6 7 -1 -1 2 0 21 - 303 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 0 2 0 5 -1 -1 1 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_E 3 65 6 1 6 65 -1 2 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 5 2 3 5 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 0 40 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -84 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 10 0 21 - 8 IPL_030_2_ 5 311 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 - 32 AS_000 5 315 3 0 32 -1 3 0 21 - 28 BG_000 5 318 3 0 28 -1 3 0 21 - 65 E 5 319 6 0 65 -1 2 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 - 294 cpu_est_1_ 3 -1 6 4 2 4 5 6 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 6 4 2 4 5 6 -1 -1 4 0 21 - 296 cpu_est_3_ 3 -1 4 4 2 4 5 6 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 4 4 2 4 5 6 -1 -1 3 0 21 - 306 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 4 0 21 - 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 - 307 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 0 3 0 3 5 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 - 315 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_CLK_030_D 3 -1 6 2 6 7 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 2 2 2 5 -1 -1 1 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_E 3 65 6 1 6 65 -1 2 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 5 0 3 5 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 2 40 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 8 1 21 - 31 UDS_000 5 306 3 0 31 -1 6 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 8 1 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 5 0 21 - 30 LDS_000 5 309 3 0 30 -1 11 0 21 - 31 UDS_000 5 308 3 0 31 -1 7 1 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 32 AS_000 5 307 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 5 0 21 - 307 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 309 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 311 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 302 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 309 3 0 30 -1 11 0 21 - 31 UDS_000 5 308 3 0 31 -1 7 1 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 32 AS_000 5 307 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 307 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 309 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 311 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 309 3 0 30 -1 11 0 21 - 31 UDS_000 5 308 3 0 31 -1 7 1 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 32 AS_000 5 307 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 307 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 309 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 311 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 309 3 0 30 -1 11 0 21 - 31 UDS_000 5 308 3 0 31 -1 7 1 21 - 65 E 5 311 6 0 65 -1 4 0 21 - 32 AS_000 5 307 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 310 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 307 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 309 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 308 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 311 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 303 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -71 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 2 5 29 -1 1 0 21 - 80 DSACK_1_ 5 305 7 1 3 80 -1 3 0 21 - 31 UDS_000 5 307 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 4 0 21 - 30 LDS_000 5 308 3 0 30 -1 4 0 21 - 32 AS_000 5 306 3 0 32 -1 3 0 21 - 28 BG_000 5 309 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 SM_AMIGA_1_ 3 -1 5 5 0 3 5 6 7 -1 -1 2 0 21 - 300 SM_AMIGA_4_ 3 -1 5 4 2 3 5 6 -1 -1 3 0 21 - 296 SM_AMIGA_6_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 - 298 SM_AMIGA_0_ 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 - 297 SM_AMIGA_7_ 3 -1 5 4 0 3 6 7 -1 -1 1 0 21 - 294 CLK_CNT_1_ 3 -1 4 3 2 4 6 -1 -1 2 0 21 - 293 CLK_CNT_0_ 3 -1 2 3 2 4 6 -1 -1 1 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 - 308 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 302 SM_AMIGA_3_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 295 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 1 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 304 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 309 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 306 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 303 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 2 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 5 0 2 3 5 6 10 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 63 CLK_030 1 -1 -1 3 0 3 6 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 40 VPA 1 -1 -1 2 2 5 40 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -85 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 313 1 0 6 -1 4 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 - 305 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 5 3 2 3 5 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 4 3 0 4 6 -1 -1 3 0 21 - 308 SM_AMIGA_5_ 3 -1 5 3 0 2 5 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 7 3 2 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 2 3 2 6 7 -1 -1 1 0 21 - 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 - 318 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 0 2 0 2 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 - 296 inst_VMA_INT 3 -1 0 2 0 3 -1 -1 3 0 21 - 295 cpu_est_3_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 310 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 300 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 2 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 311 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 3 3 4 5 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 5 68 -1 - 63 CLK_030 1 -1 -1 2 3 5 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 5 78 -1 - 69 SIZE_0_ 1 -1 -1 1 5 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -85 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 296 inst_VMA_INT 3 -1 2 4 0 2 3 5 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 4 4 0 2 4 6 -1 -1 3 0 21 - 305 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 4 4 1 2 4 6 -1 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 2 6 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 3 0 2 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 2 6 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 1 0 21 - 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 - 318 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 - 316 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 309 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 2 0 21 - 307 SM_AMIGA_2_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 300 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 297 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 311 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 2 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 70 RW 1 -1 -1 3 3 4 5 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 5 68 -1 - 63 CLK_030 1 -1 -1 2 3 5 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 5 78 -1 - 69 SIZE_0_ 1 -1 -1 1 5 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 4 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 32 AS_000 5 318 3 0 32 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 314 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 SM_AMIGA_4_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 6 4 1 2 4 6 -1 -1 1 0 21 - 312 SM_AMIGA_3_ 3 -1 0 3 0 2 7 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 5 3 2 3 5 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 2 3 -1 -1 3 0 21 - 295 cpu_est_3_ 3 -1 4 3 0 4 6 -1 -1 3 1 21 - 311 SM_AMIGA_5_ 3 -1 5 3 0 2 5 -1 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 7 3 2 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 0 3 0 2 6 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 6 3 0 4 6 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 2 3 0 2 6 -1 -1 1 0 21 - 294 cpu_est_1_ 3 -1 4 2 0 4 -1 -1 5 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 - 320 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 - 301 cpu_est_2_ 3 -1 4 2 0 4 -1 -1 4 0 21 - 318 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 300 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 2 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 313 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 304 inst_FALLING_CLK_AMIGA 3 -1 6 1 0 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 2 1 3 -1 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 6 0 2 3 5 6 7 10 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 3 3 4 5 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 5 68 -1 - 63 CLK_030 1 -1 -1 2 3 5 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 5 78 -1 - 69 SIZE_0_ 1 -1 -1 1 5 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -85 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 31 UDS_000 5 316 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 - 30 LDS_000 5 317 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 312 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 4 0 21 - 32 AS_000 5 315 3 0 32 -1 3 0 21 - 28 BG_000 5 318 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 4 5 0 1 4 5 6 -1 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 5 4 0 2 3 5 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 2 4 0 2 3 7 -1 -1 1 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 - 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 - 317 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 - 315 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 2 2 2 3 -1 -1 3 0 21 - 309 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 300 inst_BGACK_030_INTreg 3 -1 5 2 3 5 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 311 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 312 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 63 CLK_030 1 -1 -1 2 2 3 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 21 AVEC_EXP 5 320 2 0 21 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 5 4 0 2 3 5 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 5 4 0 2 3 7 -1 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 4 4 0 1 4 6 -1 -1 1 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 - 318 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 - 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 7 2 5 7 -1 -1 2 0 21 - 300 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 297 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 311 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_AVEC_EXP 3 21 2 1 2 21 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 2 3 5 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -81 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 3 1 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 21 - 31 UDS_000 5 309 3 0 31 -1 5 1 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 - 32 AS_000 5 308 3 0 32 -1 3 1 21 - 21 AVEC_EXP 5 312 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 306 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 314 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 313 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 28 BG_000 5 311 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 296 SM_AMIGA_0_ 3 -1 5 5 0 2 3 5 7 -1 -1 5 0 21 - 297 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 4 0 21 - 298 SM_AMIGA_2_ 3 -1 5 5 0 2 3 5 7 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 - 302 inst_CLK_000_D 3 -1 5 4 0 1 2 6 -1 -1 1 0 21 - 299 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 5 1 21 - 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 - 304 cpu_est_2_ 3 -1 0 3 0 2 5 -1 -1 3 1 21 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 308 RN_AS_000 3 32 3 2 0 3 32 -1 3 1 21 - 303 inst_BGACK_030_INTreg 3 -1 6 2 3 6 -1 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 5 1 21 - 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 312 RN_AVEC_EXP 3 21 2 1 2 21 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 1 21 - 306 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 311 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 305 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 5 6 7 10 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 5 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 6 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 4 5 0 1 4 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 5 4 0 2 3 5 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 5 4 0 2 3 7 -1 -1 1 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 - 318 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 - 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 309 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 307 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 300 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 311 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 3 0 21 - 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 63 CLK_030 1 -1 -1 2 2 3 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 4 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 32 AS_000 5 318 3 0 32 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 314 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 6 5 0 1 2 4 6 -1 -1 1 0 21 - 309 SM_AMIGA_4_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 4 4 0 4 5 6 -1 -1 3 1 21 - 307 SM_AMIGA_0_ 3 -1 7 4 2 3 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 6 4 0 4 5 6 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 5 4 2 3 5 7 -1 -1 1 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 4 3 0 4 5 -1 -1 5 0 21 - 301 cpu_est_2_ 3 -1 4 3 0 4 5 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 2 3 0 2 5 -1 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 - 320 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 - 318 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 0 2 0 5 -1 -1 3 0 21 - 308 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 300 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 313 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 310 inst_FALLING_CLK_AMIGA 3 -1 6 1 5 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 6 0 2 3 5 6 7 10 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 63 CLK_030 1 -1 -1 2 2 3 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 4 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 32 AS_000 5 318 3 0 32 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 314 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 SM_AMIGA_0_ 3 -1 5 6 0 1 2 3 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 0 6 0 1 2 3 5 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 2 5 0 1 2 3 5 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 4 4 2 4 5 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 4 2 4 5 6 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 2 4 0 3 5 7 -1 -1 1 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 6 4 1 4 6 7 -1 -1 1 0 21 - 296 inst_VMA_INT 3 -1 5 3 2 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 4 3 2 4 5 -1 -1 5 0 21 - 301 cpu_est_2_ 3 -1 4 3 2 4 5 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 0 3 0 1 2 -1 -1 2 0 21 - 308 SM_AMIGA_2_ 3 -1 2 3 0 2 7 -1 -1 2 0 21 - 320 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 - 318 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 300 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 313 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 4 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 3 0 21 - 310 inst_FALLING_CLK_AMIGA 3 -1 6 1 5 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 2 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 6 0 2 3 5 6 7 10 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 0 3 68 -1 - 63 CLK_030 1 -1 -1 2 0 3 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 0 78 -1 - 69 SIZE_0_ 1 -1 -1 1 0 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -85 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 13 0 21 - 31 UDS_000 5 316 3 0 31 -1 9 0 21 - 64 CLK_DIV_OUT 5 319 6 0 64 -1 4 0 21 - 8 IPL_030_2_ 5 311 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 - 32 AS_000 5 315 3 0 32 -1 3 0 21 - 28 BG_000 5 318 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 0 5 0 1 2 3 5 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 0 5 0 1 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 7 5 0 1 3 5 7 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 4 5 0 1 2 5 6 -1 -1 1 0 21 - 303 SM_AMIGA_6_ 3 -1 7 4 2 3 5 7 -1 -1 3 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 2 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 2 3 0 2 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 2 3 0 2 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 3 0 2 6 -1 -1 3 0 21 - 309 SM_AMIGA_5_ 3 -1 5 3 0 1 5 -1 -1 2 0 21 - 307 SM_AMIGA_2_ 3 -1 5 3 2 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 5 3 0 3 7 -1 -1 1 0 21 - 296 inst_VMA_INT 3 -1 0 2 0 3 -1 -1 6 0 21 - 315 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 0 2 0 5 -1 -1 3 0 21 - 300 inst_BGACK_030_INTreg 3 -1 5 2 3 5 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 319 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 4 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 4 6 0 1 2 4 5 6 -1 -1 1 0 21 - 305 SM_AMIGA_1_ 3 -1 7 5 0 2 3 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 5 4 0 2 3 5 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 0 4 2 3 5 7 -1 -1 1 0 21 - 303 SM_AMIGA_6_ 3 -1 2 3 0 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 4 3 4 5 6 -1 -1 3 0 21 - 309 SM_AMIGA_5_ 3 -1 2 3 0 2 5 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 5 2 3 5 -1 -1 6 0 21 - 318 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 5 6 -1 -1 4 0 21 - 316 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 2 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 5 6 -1 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 0 2 0 7 -1 -1 2 0 21 - 300 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 311 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 320 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 4 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 63 CLK_030 1 -1 -1 2 2 3 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 - 80 DSACK_1_ 5 316 7 1 3 80 -1 4 0 21 - 31 UDS_000 5 318 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 314 1 0 6 -1 4 0 21 - 32 AS_000 5 317 3 0 32 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 4 5 0 1 4 5 6 -1 -1 1 0 21 - 309 SM_AMIGA_4_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 - 307 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 2 4 2 3 5 7 -1 -1 1 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 308 SM_AMIGA_2_ 3 -1 7 3 2 5 7 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 - 317 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 2 2 2 3 -1 -1 3 0 21 - 310 SM_AMIGA_5_ 3 -1 2 2 0 2 -1 -1 2 0 21 - 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 300 inst_CLK_030_D 3 -1 4 2 1 2 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 312 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 4 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 303 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 4 1 2 3 4 63 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 3 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 2 4 0 2 3 5 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 4 4 0 2 4 6 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 7 4 0 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 5 4 0 3 5 7 -1 -1 1 0 21 - 297 inst_CLK_000_D 3 -1 4 4 0 1 4 6 -1 -1 1 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 2 3 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 2 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 3 0 2 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 2 6 -1 -1 3 0 21 - 318 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 - 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 5 2 3 5 -1 -1 3 0 21 - 309 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 307 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 302 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 298 inst_CLK_030_D 3 -1 4 2 1 2 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 311 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 320 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 2 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 63 CLK_030 1 -1 -1 5 1 2 3 4 5 63 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 3 3 4 5 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 5 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 5 78 -1 - 69 SIZE_0_ 1 -1 -1 1 5 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 313 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 314 1 0 6 -1 4 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 3 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 0 5 0 3 5 6 7 -1 -1 4 0 21 - 304 SM_AMIGA_7_ 3 -1 5 5 0 3 5 6 7 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 0 5 0 3 5 6 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 5 5 0 3 5 6 7 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 - 297 inst_CLK_000_D 3 -1 4 4 1 2 5 6 -1 -1 1 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 2 3 0 2 5 -1 -1 3 1 21 - 318 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 316 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 309 SM_AMIGA_5_ 3 -1 0 2 0 7 -1 -1 2 0 21 - 307 SM_AMIGA_2_ 3 -1 7 2 5 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 5 2 3 5 -1 -1 2 0 21 - 302 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 - 298 inst_CLK_030_D 3 -1 4 2 1 2 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 320 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 301 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 63 CLK_030 1 -1 -1 6 0 1 2 3 4 6 63 -1 - 81 AS_030 1 -1 -1 5 0 3 5 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 - 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 - 31 UDS_000 5 318 3 0 31 -1 10 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 4 0 21 - 32 AS_000 5 317 3 0 32 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 SM_AMIGA_4_ 3 -1 5 5 0 2 3 5 7 -1 -1 4 0 21 - 305 SM_AMIGA_7_ 3 -1 5 5 0 2 3 5 7 -1 -1 3 0 21 - 307 SM_AMIGA_0_ 3 -1 7 5 0 2 3 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 1 4 0 1 5 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 - 297 inst_CLK_OUT_PRE 3 -1 4 3 1 2 6 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 0 3 0 5 7 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 298 inst_CLK_000_D 3 -1 2 3 0 1 6 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 - 317 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 312 SM_AMIGA_5_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 311 CLK_CNT_1_ 3 -1 4 1 4 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 310 CLK_CNT_0_ 3 -1 4 1 4 -1 -1 3 0 21 - 303 CLK_REF_1_ 3 -1 0 1 4 -1 -1 1 0 20 - 302 CLK_REF_0_ 3 -1 2 1 4 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 5 6 7 10 -1 - 85 RST 1 -1 -1 6 0 1 2 3 5 7 85 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 4 1 2 3 5 63 -1 - 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 - 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 - 31 UDS_000 5 318 3 0 31 -1 10 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 4 0 21 - 32 AS_000 5 317 3 0 32 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 SM_AMIGA_4_ 3 -1 5 5 0 2 3 5 7 -1 -1 4 0 21 - 305 SM_AMIGA_7_ 3 -1 5 5 0 2 3 5 7 -1 -1 3 0 21 - 307 SM_AMIGA_0_ 3 -1 7 5 0 2 3 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 1 4 0 1 5 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 0 3 0 5 7 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 298 inst_CLK_000_D 3 -1 2 3 0 1 6 -1 -1 1 0 21 - 320 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 - 297 inst_CLK_OUT_PRE 3 -1 4 2 2 6 -1 -1 4 0 21 - 317 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 312 SM_AMIGA_5_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 311 CLK_CNT_1_ 3 -1 4 1 4 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 310 CLK_CNT_0_ 3 -1 4 1 4 -1 -1 3 0 21 - 303 CLK_REF_1_ 3 -1 0 1 4 -1 -1 1 0 20 - 302 CLK_REF_0_ 3 -1 2 1 4 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 5 6 7 10 -1 - 85 RST 1 -1 -1 6 0 1 2 3 5 7 85 -1 - 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 4 1 2 3 5 63 -1 - 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -84 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 14 0 21 - 31 UDS_000 5 316 3 0 31 -1 10 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 4 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 4 0 21 - 32 AS_000 5 315 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 0 4 0 2 3 7 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 5 4 0 3 5 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 4 0 1 5 6 -1 -1 3 0 21 - 305 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 306 SM_AMIGA_2_ 3 -1 7 3 2 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 4 3 1 5 6 -1 -1 1 0 21 - 315 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 0 2 0 7 -1 -1 2 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 - 309 CLK_CNT_1_ 3 -1 2 1 2 -1 -1 4 0 21 - 297 inst_CLK_OUT_PRE 3 -1 2 1 6 -1 -1 4 0 21 - 308 CLK_CNT_0_ 3 -1 2 1 2 -1 -1 3 0 21 - 302 CLK_REF_1_ 3 -1 4 1 2 -1 -1 1 0 20 - 301 CLK_REF_0_ 3 -1 4 1 2 -1 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 7 0 1 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 6 0 1 3 4 5 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 0 1 3 63 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -84 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 14 0 21 - 31 UDS_000 5 316 3 0 31 -1 10 0 21 - 32 AS_000 5 315 3 0 32 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 0 5 0 2 3 5 7 -1 -1 2 0 21 - 310 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 2 4 0 2 5 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 2 4 0 2 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 303 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 297 inst_CLK_000_D 3 -1 1 2 2 6 -1 -1 1 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 309 CLK_CNT_1_ 3 -1 4 1 4 -1 -1 4 0 21 - 298 inst_CLK_OUT_PRE 3 -1 4 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 308 CLK_CNT_0_ 3 -1 4 1 4 -1 -1 3 0 21 - 302 CLK_REF_1_ 3 -1 6 1 4 -1 -1 1 0 20 - 301 CLK_REF_0_ 3 -1 6 1 4 -1 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 7 0 1 2 3 5 6 7 10 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -85 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 - 80 DSACK_1_ 5 315 7 1 3 80 -1 9 0 21 - 30 LDS_000 5 318 3 0 30 -1 14 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 7 5 0 2 3 5 7 -1 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 0 4 0 2 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_7_ 3 -1 0 4 0 3 5 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 5 3 2 5 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 4 3 1 2 6 -1 -1 1 0 21 - 310 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 4 0 21 - 316 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 309 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 3 0 21 - 312 SM_AMIGA_5_ 3 -1 0 2 0 7 -1 -1 2 0 21 - 302 CLK_REF_1_ 3 -1 4 2 2 6 -1 -1 1 0 20 - 301 CLK_REF_0_ 3 -1 4 2 2 6 -1 -1 1 0 20 - 318 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 297 inst_CLK_OUT_PRE 3 -1 2 1 6 -1 -1 4 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 1 1 1 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 6 0 1 3 4 5 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 0 1 3 63 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -83 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 313 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 316 3 0 30 -1 14 0 21 - 31 UDS_000 5 315 3 0 31 -1 10 0 21 - 32 AS_000 5 314 3 0 32 -1 3 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 306 SM_AMIGA_4_ 3 -1 5 5 0 2 3 5 7 -1 -1 4 0 21 - 307 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 4 0 2 5 6 -1 -1 4 0 21 - 302 SM_AMIGA_7_ 3 -1 7 4 0 3 5 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 2 4 0 2 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 - 311 SM_AMIGA_3_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 - 305 SM_AMIGA_2_ 3 -1 0 3 0 2 7 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 314 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 310 SM_AMIGA_5_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_CLK_OUT_PRE 3 -1 4 2 4 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 6 2 2 6 -1 -1 1 0 21 - 316 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 - 315 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 308 CLK_CNT_0_ 3 -1 4 1 4 -1 -1 2 0 21 - 309 CLK_CNT_1_ 3 -1 4 1 4 -1 -1 1 0 21 - 301 inst_RISING_CLK_AMIGA 3 -1 2 1 1 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 6 0 2 3 5 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -82 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 312 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 315 3 0 30 -1 14 0 21 - 31 UDS_000 5 314 3 0 31 -1 10 0 21 - 32 AS_000 5 313 3 0 32 -1 3 0 21 - 8 IPL_030_2_ 5 311 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 0 5 0 2 3 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 2 3 0 2 5 -1 -1 3 1 21 - 309 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 4 3 2 4 6 -1 -1 1 0 21 - 313 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 315 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 - 314 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 297 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 302 inst_RISING_CLK_AMIGA 3 -1 4 1 1 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 7 0 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 - 81 AS_030 1 -1 -1 3 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -82 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 312 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 315 3 0 30 -1 14 0 21 - 31 UDS_000 5 314 3 0 31 -1 10 0 21 - 32 AS_000 5 313 3 0 32 -1 3 0 21 - 8 IPL_030_2_ 5 311 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 0 5 0 2 3 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 2 3 0 2 5 -1 -1 3 1 21 - 309 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 4 3 2 4 6 -1 -1 1 0 21 - 313 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 315 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 - 314 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 297 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 302 inst_RISING_CLK_AMIGA 3 -1 4 1 1 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 7 0 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 - 81 AS_030 1 -1 -1 3 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -82 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 312 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 315 3 0 30 -1 14 0 21 - 31 UDS_000 5 314 3 0 31 -1 10 0 21 - 32 AS_000 5 313 3 0 32 -1 3 0 21 - 8 IPL_030_2_ 5 311 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 0 5 0 2 3 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 2 3 0 2 5 -1 -1 3 1 21 - 309 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 4 3 2 4 6 -1 -1 1 0 21 - 313 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 315 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 - 314 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 297 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 302 inst_RISING_CLK_AMIGA 3 -1 4 1 1 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 7 0 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 - 81 AS_030 1 -1 -1 3 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 10 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 32 AS_000 5 318 3 0 32 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 310 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 306 SM_AMIGA_7_ 3 -1 5 4 2 3 5 7 -1 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 0 4 0 2 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 313 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 - 320 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 - 312 CLK_CNT_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 - 318 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 311 CLK_CNT_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 299 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 4 2 0 1 -1 -1 1 0 21 - 303 CLK_REF_1_ 3 -1 7 2 1 2 -1 -1 1 0 20 - 302 CLK_REF_0_ 3 -1 4 2 1 2 -1 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 4 2 4 6 -1 -1 1 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 315 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 297 inst_CLK_OUT_PRE 3 -1 1 1 6 -1 -1 4 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 10 CLK_000 1 -1 -1 7 0 2 3 4 5 6 7 10 -1 - 85 RST 1 -1 -1 6 0 1 3 4 5 7 85 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 4 1 2 3 5 63 -1 - 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 70 RW 1 -1 -1 3 2 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 2 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 2 78 -1 - 69 SIZE_0_ 1 -1 -1 1 2 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 1 29 -1 1 0 21 - 80 DSACK_1_ 5 317 7 1 3 80 -1 9 0 21 - 31 UDS_000 5 319 3 0 31 -1 10 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 32 AS_000 5 318 3 0 32 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 310 SM_AMIGA_4_ 3 -1 0 5 0 1 2 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 7 4 0 2 3 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 3 4 0 1 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 7 4 0 1 3 7 -1 -1 3 0 20 - 307 SM_AMIGA_0_ 3 -1 3 4 0 2 3 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 1 3 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 3 3 0 1 3 -1 -1 4 0 20 - 305 SM_AMIGA_7_ 3 -1 3 3 0 3 7 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 3 3 0 1 3 -1 -1 3 1 20 - 306 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 320 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 - 312 CLK_CNT_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 297 inst_CLK_OUT_PRE 3 -1 7 2 1 6 -1 -1 4 0 20 - 318 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 311 CLK_CNT_0_ 3 -1 7 2 1 7 -1 -1 3 0 20 - 313 SM_AMIGA_5_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 308 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 - 303 CLK_REF_1_ 3 -1 3 2 1 7 -1 -1 1 0 20 - 302 CLK_REF_0_ 3 -1 3 2 1 7 -1 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 3 2 3 7 -1 -1 1 0 20 - 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 315 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 7 1 1 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 1 40 162 - 60 CLK_OSZI 9 -1 3 1 3 7 60 -1 - 85 RST 1 -1 -1 4 0 1 3 7 85 -1 - 10 CLK_000 1 -1 -1 4 0 1 3 7 10 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 0 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 0 78 -1 - 69 SIZE_0_ 1 -1 -1 1 0 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 1 29 -1 1 0 21 - 80 DSACK_1_ 5 317 7 1 3 80 -1 9 0 21 - 31 UDS_000 5 319 3 0 31 -1 10 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 32 AS_000 5 318 3 0 32 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 310 SM_AMIGA_4_ 3 -1 0 5 0 1 2 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 7 4 0 2 3 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 3 4 0 1 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 7 4 0 1 3 7 -1 -1 3 0 20 - 307 SM_AMIGA_0_ 3 -1 3 4 0 2 3 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 0 3 0 1 3 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 3 3 0 1 3 -1 -1 4 0 20 - 305 SM_AMIGA_7_ 3 -1 3 3 0 3 7 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 3 3 0 1 3 -1 -1 3 1 20 - 306 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 320 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 - 312 CLK_CNT_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 297 inst_CLK_OUT_PRE 3 -1 7 2 1 6 -1 -1 4 0 20 - 318 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 311 CLK_CNT_0_ 3 -1 7 2 1 7 -1 -1 3 0 20 - 313 SM_AMIGA_5_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 308 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 - 303 CLK_REF_1_ 3 -1 3 2 1 7 -1 -1 1 0 20 - 302 CLK_REF_0_ 3 -1 3 2 1 7 -1 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 3 2 3 7 -1 -1 1 0 20 - 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 315 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 7 1 1 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 1 40 162 - 60 CLK_OSZI 9 -1 3 1 3 7 60 -1 - 85 RST 1 -1 -1 4 0 1 3 7 85 -1 - 10 CLK_000 1 -1 -1 4 0 1 3 7 10 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 0 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 0 78 -1 - 69 SIZE_0_ 1 -1 -1 1 0 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 10 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 32 AS_000 5 318 3 0 32 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 7 4 2 3 6 7 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 295 cpu_est_3_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 3 2 3 7 -1 -1 6 0 21 - 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 7 -1 -1 4 0 20 - 300 cpu_est_2_ 3 -1 3 2 3 7 -1 -1 3 1 20 - 297 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 3 2 3 7 -1 -1 3 0 20 - 311 CLK_CNT_1_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 310 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 2 0 20 - 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 - 302 CLK_REF_0_ 3 -1 7 2 1 6 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 7 1 7 -1 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 7 1 7 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 20 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 9 0 21 - 31 UDS_000 5 306 3 0 31 -1 5 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 20 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 2 3 7 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -74 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 6 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 0 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 2 1 20 - 295 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -74 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 6 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 0 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 2 1 20 - 295 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -74 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 6 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 5 0 21 - 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 303 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 2 1 20 - 295 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -74 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 6 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 5 0 21 - 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 303 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 2 1 20 - 295 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 308 3 0 30 -1 10 0 21 - 31 UDS_000 5 307 3 0 31 -1 6 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 32 AS_000 5 306 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 6 0 20 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 310 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 300 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 298 CLK_CNT_1_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 299 inst_CLK_000_D 3 -1 3 2 6 7 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 295 inst_VPA_SYNC 3 -1 3 1 6 -1 -1 1 0 20 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 3 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -74 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 6 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 6 0 20 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 309 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 298 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 297 inst_CLK_000_D 3 -1 3 2 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 295 inst_VPA_SYNC 3 -1 3 1 6 -1 -1 1 0 20 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 3 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -74 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 6 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 7 0 20 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 309 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 298 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 297 inst_CLK_000_D 3 -1 3 2 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 295 inst_VPA_SYNC 3 -1 3 1 6 -1 -1 1 0 20 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 3 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -74 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 6 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 7 0 20 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 298 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 297 inst_CLK_000_D 3 -1 3 1 6 -1 -1 1 0 20 - 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 20 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 1 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 10 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 32 AS_000 5 319 3 0 32 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 20 - 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 3 2 1 3 -1 -1 6 0 21 - 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 297 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 312 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 302 CLK_REF_0_ 3 -1 3 2 6 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 3 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -53 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 28 BG_000 5 314 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 311 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_7_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 299 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 295 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 312 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 314 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 296 inst_AS_000_INT 3 -1 6 1 6 -1 -1 3 0 20 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 308 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 - 301 CLK_REF_0_ 3 -1 3 1 1 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 85 RST 1 -1 -1 3 1 3 6 85 -1 - 81 AS_030 1 -1 -1 2 3 6 81 -1 - 63 CLK_030 1 -1 -1 2 3 6 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 6 13 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 29 DTACK 1 -1 -1 1 3 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 10 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 32 AS_000 5 319 3 0 32 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 20 - 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 3 2 1 3 -1 -1 6 0 21 - 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 297 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 312 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 302 CLK_REF_0_ 3 -1 3 2 6 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 3 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -81 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 308 7 1 3 80 -1 3 1 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 30 LDS_000 5 311 3 0 30 -1 13 0 21 - 21 AVEC_EXP 5 313 2 0 21 -1 6 0 21 - 31 UDS_000 5 310 3 0 31 -1 5 1 21 - 32 AS_000 5 309 3 0 32 -1 3 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 28 BG_000 5 312 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 307 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 314 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 4 5 0 2 3 5 7 -1 -1 1 0 21 - 313 RN_AVEC_EXP 3 21 2 4 2 3 5 7 21 -1 6 0 21 - 296 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 4 0 21 - 297 SM_AMIGA_2_ 3 -1 2 4 2 3 5 7 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 5 4 0 2 5 6 -1 -1 3 0 21 - 298 inst_VMA_INT 3 -1 5 3 2 3 5 -1 -1 10 0 21 - 294 cpu_est_1_ 3 -1 5 3 0 2 5 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 0 3 0 2 5 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 0 3 0 2 5 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 1 6 -1 -1 2 0 21 - 309 RN_AS_000 3 32 3 2 3 5 32 -1 3 1 21 - 305 CLK_CNT_0_ 3 -1 6 2 4 6 -1 -1 2 0 21 - 301 inst_BGACK_030_INTreg 3 -1 6 2 3 6 -1 -1 2 0 21 - 306 CLK_CNT_1_ 3 -1 4 2 0 6 -1 -1 1 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 0 2 1 6 -1 -1 1 0 21 - 311 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 310 RN_UDS_000 3 31 3 1 3 31 -1 5 1 21 - 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 1 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 312 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 307 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 303 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 2 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 3 0 4 5 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 2 3 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 6 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -81 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 308 7 1 3 80 -1 3 1 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 30 LDS_000 5 311 3 0 30 -1 13 0 21 - 21 AVEC_EXP 5 313 2 0 21 -1 6 0 21 - 31 UDS_000 5 310 3 0 31 -1 5 1 21 - 32 AS_000 5 309 3 0 32 -1 3 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 28 BG_000 5 312 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 307 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 314 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 4 5 0 2 3 5 7 -1 -1 1 0 21 - 313 RN_AVEC_EXP 3 21 2 4 2 3 5 7 21 -1 6 0 21 - 296 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 4 0 21 - 297 SM_AMIGA_2_ 3 -1 2 4 2 3 5 7 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 5 4 0 2 5 6 -1 -1 3 0 21 - 298 inst_VMA_INT 3 -1 5 3 2 3 5 -1 -1 10 0 21 - 294 cpu_est_1_ 3 -1 5 3 0 2 5 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 0 3 0 2 5 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 0 3 0 2 5 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 1 6 -1 -1 2 0 21 - 309 RN_AS_000 3 32 3 2 3 5 32 -1 3 1 21 - 305 CLK_CNT_0_ 3 -1 6 2 4 6 -1 -1 2 0 21 - 301 inst_BGACK_030_INTreg 3 -1 6 2 3 6 -1 -1 2 0 21 - 306 CLK_CNT_1_ 3 -1 4 2 0 6 -1 -1 1 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 0 2 1 6 -1 -1 1 0 21 - 311 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 310 RN_UDS_000 3 31 3 1 3 31 -1 5 1 21 - 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 1 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 312 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 307 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 303 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 2 5 40 162 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 3 0 4 5 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 2 3 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 6 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 85 RST 1 -1 -1 1 1 85 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 10 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 32 AS_000 5 318 3 0 32 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 7 4 0 2 3 7 -1 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 4 0 2 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 3 4 0 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 0 3 7 -1 -1 3 0 21 - 295 cpu_est_3_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 297 inst_CLK_OUT_PRE 3 -1 0 3 0 1 6 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 7 2 3 7 -1 -1 6 0 21 - 320 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 7 -1 -1 4 0 20 - 318 RN_AS_000 3 32 3 2 3 7 32 -1 3 0 21 - 300 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 - 311 SM_AMIGA_5_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 7 2 3 7 -1 -1 1 0 20 - 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 313 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 3 1 0 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 7 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 7 60 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 10 CLK_000 1 -1 -1 3 0 3 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 0 3 68 -1 - 63 CLK_030 1 -1 -1 2 0 3 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 0 78 -1 - 69 SIZE_0_ 1 -1 -1 1 0 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 - 30 LDS_000 5 307 3 0 30 -1 10 0 21 - 31 UDS_000 5 306 3 0 31 -1 6 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 4 0 3 6 7 -1 -1 5 0 20 - 301 inst_SM_AMIGA_ENABLE 3 -1 3 4 0 3 6 7 -1 -1 5 0 21 - 303 SM_AMIGA_1_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 - 297 inst_CLK_000_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 309 RN_E 3 65 6 3 0 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 298 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 296 inst_VMA_INT 3 -1 3 3 0 3 6 -1 -1 2 1 20 - 295 inst_VPA_SYNC 3 -1 6 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 81 AS_030 1 -1 7 2 3 6 81 -1 - 70 RW 1 -1 6 1 4 70 -1 - 288 inst_AS_030_D 8 81 7 3 3 6 7 81 189 - 258 inst_DTACK_D 8 29 3 3 0 3 6 29 159 - 283 inst_RW_D 8 70 6 1 3 70 184 - 63 CLK_030 9 -1 3 0 3 6 63 -1 - 10 CLK_000 9 -1 1 6 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 4 0 3 6 7 -1 -1 5 0 20 - 303 SM_AMIGA_1_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 - 301 inst_SM_AMIGA_ENABLE 3 -1 3 3 0 3 6 -1 -1 5 0 21 - 309 RN_E 3 65 6 3 0 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 298 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 296 inst_VMA_INT 3 -1 3 3 0 3 6 -1 -1 2 1 20 - 297 inst_CLK_000_D 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 6 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 305 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 81 AS_030 1 -1 7 2 3 6 81 -1 - 70 RW 1 -1 6 1 4 70 -1 - 288 inst_AS_030_D 8 81 7 3 3 6 7 81 189 - 258 inst_DTACK_D 8 29 3 3 0 3 6 29 159 - 283 inst_RW_D 8 70 6 1 3 70 184 - 63 CLK_030 9 -1 3 0 3 6 63 -1 - 10 CLK_000 9 -1 1 6 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 - 30 LDS_000 5 307 3 0 30 -1 11 0 21 - 31 UDS_000 5 306 3 0 31 -1 7 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 296 inst_VMA_INT 3 -1 0 4 0 3 6 7 -1 -1 2 1 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 309 RN_E 3 65 6 3 0 6 7 65 -1 4 0 21 - 303 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 3 0 6 7 -1 -1 4 0 20 - 298 cpu_est_2_ 3 -1 7 3 0 6 7 -1 -1 3 0 20 - 297 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 295 inst_VPA_SYNC 3 -1 3 3 0 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 7 3 0 6 7 -1 -1 1 0 20 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 81 AS_030 1 -1 7 2 0 3 81 -1 - 70 RW 1 -1 6 1 4 70 -1 - 288 inst_AS_030_D 8 81 7 3 3 6 7 81 189 - 258 inst_DTACK_D 8 29 3 2 6 7 29 159 - 283 inst_RW_D 8 70 6 1 3 70 184 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 10 CLK_000 9 -1 1 6 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 3 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 10 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 32 AS_000 5 319 3 0 32 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 296 inst_VMA_INT 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 308 SM_AMIGA_4_ 3 -1 1 4 1 2 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 - 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 3 2 3 6 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 297 inst_CLK_OUT_PRE 3 -1 1 1 1 -1 -1 3 1 20 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 CLK_REF_0_ 3 -1 3 1 1 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 10 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 32 AS_000 5 318 3 0 32 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 7 4 2 3 6 7 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 295 cpu_est_3_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 3 2 3 7 -1 -1 6 0 21 - 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 7 -1 -1 4 0 20 - 300 cpu_est_2_ 3 -1 3 2 3 7 -1 -1 3 1 20 - 297 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 3 2 3 7 -1 -1 3 0 20 - 311 CLK_CNT_1_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 310 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 2 0 20 - 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 - 302 CLK_REF_0_ 3 -1 7 2 1 6 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 7 1 7 -1 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 7 1 7 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 4 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 9 CLK_EXP 0 1 0 9 -1 4 0 21 - 32 AS_000 5 317 3 0 32 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 20 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 20 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 309 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 - 311 CLK_CNT_1_ 3 -1 7 3 1 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 - 310 CLK_CNT_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 1 20 - 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 302 CLK_REF_1_ 3 -1 3 3 1 6 7 -1 -1 1 0 20 - 301 CLK_REF_0_ 3 -1 7 3 1 6 7 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 20 - 312 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 298 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 20 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 20 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 297 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -79 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 312 3 0 30 -1 13 0 21 - 31 UDS_000 5 311 3 0 31 -1 9 0 21 - 65 E 5 314 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 310 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 313 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 0 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 308 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 300 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 312 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 311 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 314 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 306 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 SM_AMIGA_LAST_1_ 3 -1 3 1 0 -1 -1 1 0 20 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 298 inst_DTACK_SYNC_D 3 -1 6 1 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 0 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 63 CLK_030 9 -1 4 0 3 6 7 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -79 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 312 3 0 30 -1 13 0 21 - 31 UDS_000 5 311 3 0 31 -1 9 0 21 - 65 E 5 314 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 310 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 313 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 0 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 307 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 308 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 300 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 312 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 311 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 314 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 306 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 SM_AMIGA_LAST_1_ 3 -1 3 1 0 -1 -1 1 0 20 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 298 inst_DTACK_SYNC_D 3 -1 6 1 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 6 1 0 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 63 CLK_030 9 -1 3 3 6 7 63 -1 - 60 CLK_OSZI 9 -1 1 0 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -79 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 312 3 0 30 -1 13 0 21 - 31 UDS_000 5 311 3 0 31 -1 9 0 21 - 65 E 5 314 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 310 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 313 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 0 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 307 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 300 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 297 inst_DTACK_SYNC 3 -1 7 2 6 7 -1 -1 2 0 21 - 298 inst_DTACK_SYNC_D 3 -1 6 2 6 7 -1 -1 1 0 20 - 312 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 311 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 314 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 306 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 295 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 63 CLK_030 9 -1 2 3 6 63 -1 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 21 - 31 UDS_000 5 309 3 0 31 -1 9 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 0 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 308 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 312 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 297 inst_DTACK_SYNC_D 3 -1 6 1 7 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 63 CLK_030 9 -1 3 3 6 7 63 -1 - 10 CLK_000 9 -1 2 3 6 10 -1 - 60 CLK_OSZI 9 -1 1 6 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -77 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 310 3 0 30 -1 13 0 21 - 31 UDS_000 5 309 3 0 31 -1 9 0 21 - 65 E 5 312 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 308 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 311 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 308 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 302 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 20 - 301 inst_DTACK_SYNC 3 -1 7 2 6 7 -1 -1 2 0 20 - 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 296 inst_DTACK_SYNC_D 3 -1 6 2 3 7 -1 -1 1 0 20 - 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 312 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 - 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 2 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 308 3 0 30 -1 13 0 21 - 31 UDS_000 5 307 3 0 31 -1 9 0 21 - 65 E 5 310 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 306 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 309 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 310 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 63 CLK_030 9 -1 1 3 63 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 7 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 305 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -75 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 - 32 AS_000 5 305 3 1 7 32 -1 2 0 21 - 30 LDS_000 5 307 3 0 30 -1 13 0 21 - 31 UDS_000 5 306 3 0 31 -1 9 0 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 20 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 29 DTACK 5 -1 3 0 29 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 20 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 - 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 309 RN_E 3 65 6 1 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 - 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 - 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 - 10 CLK_000 9 -1 2 3 7 10 -1 - 63 CLK_030 9 -1 1 3 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 6 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 40 VPA 1 -1 -1 1 6 40 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 10 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 32 AS_000 5 316 3 0 32 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 1 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 3 4 1 2 3 7 -1 -1 2 0 21 - 296 inst_VMA_INT 3 -1 3 3 3 6 7 -1 -1 6 0 21 - 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 - 303 SM_AMIGA_7_ 3 -1 3 3 1 3 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 20 - 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 304 SM_AMIGA_1_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 297 inst_CLK_000_D 3 -1 3 3 1 3 7 -1 -1 1 0 20 - 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 1 21 - 311 SM_AMIGA_5_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 309 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 306 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 298 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_REF_0_ 3 -1 3 2 6 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 313 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 - 81 AS_030 1 -1 -1 3 1 3 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 1 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 1 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 1 78 -1 - 69 SIZE_0_ 1 -1 -1 1 1 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 10 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 4 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 9 CLK_EXP 0 1 0 9 -1 4 0 21 - 32 AS_000 5 319 3 0 32 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 20 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 20 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 1 4 1 2 3 6 -1 -1 4 0 20 - 303 SM_AMIGA_6_ 3 -1 7 4 2 3 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 - 310 CLK_CNT_1_ 3 -1 7 3 1 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 - 309 CLK_CNT_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 1 20 - 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 302 CLK_REF_1_ 3 -1 7 3 1 6 7 -1 -1 1 0 20 - 301 CLK_REF_0_ 3 -1 3 3 1 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 20 - 313 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 298 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 20 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 20 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 20 - 300 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 313 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 31 UDS_000 5 315 3 0 31 -1 10 0 21 - 30 LDS_000 5 316 3 0 30 -1 4 0 21 - 32 AS_000 5 314 3 0 32 -1 3 0 21 - 28 BG_000 5 317 3 0 28 -1 3 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 7 4 2 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 7 4 2 3 6 7 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 295 cpu_est_3_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 296 inst_VMA_INT 3 -1 7 2 3 7 -1 -1 6 0 21 - 316 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 7 -1 -1 4 0 20 - 314 RN_AS_000 3 32 3 2 3 7 32 -1 3 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 7 -1 -1 3 0 20 - 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 298 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 315 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 317 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 7 1 7 -1 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 7 60 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 63 CLK_030 1 -1 -1 2 3 6 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -84 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 311 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 314 3 0 30 -1 14 0 21 - 31 UDS_000 5 313 3 0 31 -1 10 0 21 - 32 AS_000 5 312 3 0 32 -1 3 0 21 - 28 BG_000 5 315 3 0 28 -1 3 0 21 - 64 CLK_DIV_OUT 5 318 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 310 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 0 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 6 3 2 3 6 -1 -1 4 0 20 - 301 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 4 0 21 - 302 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 312 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 298 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 318 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 314 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 - 313 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 315 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 311 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 310 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 1 1 -1 -1 1 0 21 - 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -86 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 312 7 1 3 80 -1 3 0 21 - 31 UDS_000 5 314 3 0 31 -1 10 0 21 - 30 LDS_000 5 315 3 0 30 -1 4 0 21 - 32 AS_000 5 313 3 0 32 -1 3 0 21 - 28 BG_000 5 316 3 0 28 -1 3 0 21 - 82 BGACK_030 5 317 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 320 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 311 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 - 301 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 7 3 2 3 7 -1 -1 2 0 21 - 297 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 6 0 21 - 315 RN_LDS_000 3 30 3 2 3 7 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 302 SM_AMIGA_7_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 295 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 317 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 314 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 310 LDS_000_0 3 -1 7 1 3 -1 -1 10 0 21 - 316 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 - 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 68 A_0_ 1 -1 -1 2 3 7 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 7 78 -1 - 69 SIZE_0_ 1 -1 -1 1 7 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 1 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 314 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_0_ 3 -1 7 4 1 2 3 7 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_5_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 6 0 21 - 318 RN_LDS_000 3 30 3 2 3 7 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 310 SM_AMIGA_3_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 295 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 7 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 1 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 68 A_0_ 1 -1 -1 2 3 7 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 7 78 -1 - 69 SIZE_0_ 1 -1 -1 1 7 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 1 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 314 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 7 5 1 2 3 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 3 1 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 295 cpu_est_3_ 3 -1 1 3 1 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_5_ 3 -1 3 3 1 3 7 -1 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 1 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 1 3 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 - 302 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 1 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 1 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 1 78 -1 - 69 SIZE_0_ 1 -1 -1 1 1 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 306 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 6 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 314 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 6 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 1 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 4 1 3 4 7 70 -1 - 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 1 3 68 -1 - 63 CLK_030 1 -1 -1 2 1 3 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 1 78 -1 - 69 SIZE_0_ 1 -1 -1 1 1 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 6 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 6 4 1 2 3 6 -1 -1 3 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 308 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 6 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 1 21 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 4 1 3 4 7 70 -1 - 13 CPU_SPACE 1 -1 -1 4 1 3 6 7 13 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 1 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 1 78 -1 - 69 SIZE_0_ 1 -1 -1 1 1 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 6 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 314 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 5 1 2 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 6 3 2 3 6 -1 -1 3 0 20 - 308 SM_AMIGA_2_ 3 -1 7 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 318 RN_LDS_000 3 30 3 2 3 6 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 6 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 6 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 20 - 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 7 3 1 3 7 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 1 0 20 - 316 RN_AS_000 3 32 3 2 3 7 32 -1 4 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 20 - 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 7 3 1 3 7 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 1 0 20 - 316 RN_AS_000 3 32 3 2 3 7 32 -1 4 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 20 - 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 7 3 1 3 7 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 1 0 20 - 316 RN_AS_000 3 32 3 2 3 7 32 -1 4 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 1 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 - 302 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 1 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 4 1 3 4 7 70 -1 - 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 1 3 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 1 78 -1 - 69 SIZE_0_ 1 -1 -1 1 1 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 12 0 21 - 32 AS_000 5 316 3 0 32 -1 4 0 21 - 30 LDS_000 5 318 3 0 30 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 20 - 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 7 3 1 3 7 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 1 0 20 - 316 RN_AS_000 3 32 3 2 3 7 32 -1 4 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 - 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 312 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 315 3 0 30 -1 8 0 21 - 31 UDS_000 5 314 3 0 31 -1 4 0 21 - 28 BG_000 5 316 3 0 28 -1 3 0 21 - 82 BGACK_030 5 317 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 318 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 313 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 311 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 306 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_0_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 317 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 315 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 314 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 316 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 307 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_0_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 311 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 13 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 311 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 319 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 inst_CLK_000_DDD 3 -1 6 1 1 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -91 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 13 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 6 5 1 2 3 5 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 4 1 3 5 6 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 294 cpu_est_1_ 3 -1 6 2 5 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 2 5 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 5 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 5 6 -1 -1 3 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 - 313 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_DDDD 3 -1 6 1 1 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 inst_CLK_000_DDD 3 -1 0 1 6 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 5 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 5 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 6 29 -1 1 0 21 - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 321 3 0 31 -1 13 0 21 - 30 LDS_000 5 322 3 0 30 -1 4 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 0 6 0 1 2 3 5 6 -1 -1 4 0 21 - 298 inst_CLK_000_D 3 -1 3 5 0 1 3 5 6 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 4 0 3 5 6 -1 -1 4 0 21 - 301 cpu_est_2_ 3 -1 6 4 0 3 5 6 -1 -1 3 1 21 - 297 inst_VMA_INT 3 -1 5 4 0 3 5 6 -1 -1 3 0 21 - 295 cpu_est_3_ 3 -1 3 4 0 3 5 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 4 0 3 5 6 -1 -1 3 0 20 - 299 SM_AMIGA_1_ 3 -1 6 4 0 1 6 7 -1 -1 2 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 0 2 3 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 3 0 2 6 -1 -1 2 0 20 - 311 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 inst_CLK_000_DDDDD 3 -1 1 1 6 -1 -1 1 0 20 - 309 inst_CLK_000_DDDD 3 -1 1 1 1 -1 -1 1 0 20 - 306 SM_AMIGA_7_ 3 -1 0 1 3 -1 -1 1 0 21 - 304 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 6 1 1 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 5 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 - 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 13 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 314 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 313 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 319 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 309 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 299 inst_CLK_000_DDD 3 -1 6 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -91 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 13 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 1 3 1 2 6 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 inst_CLK_000_DDD 3 -1 0 1 1 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 - 299 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 5 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 321 3 0 31 -1 13 0 21 - 30 LDS_000 5 322 3 0 30 -1 4 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 6 5 1 2 3 5 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 5 0 1 3 5 6 -1 -1 1 0 20 - 314 SM_AMIGA_2_ 3 -1 0 4 0 1 2 5 -1 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 3 4 1 3 5 6 -1 -1 2 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 2 3 5 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 315 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 inst_CLK_000_DDDD 3 -1 6 1 1 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 5 1 3 -1 -1 1 0 21 - 304 inst_CLK_000_DDD 3 -1 0 1 6 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 - 299 inst_CLK_000_DDDDD 3 -1 1 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 321 3 0 31 -1 13 0 21 - 30 LDS_000 5 322 3 0 30 -1 4 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 3 6 0 1 3 5 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 5 1 2 3 5 6 -1 -1 4 0 20 - 314 SM_AMIGA_2_ 3 -1 0 4 0 1 2 5 -1 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 3 4 1 3 5 6 -1 -1 2 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 3 2 3 5 -1 -1 3 0 21 - 300 SM_AMIGA_1_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 315 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 311 inst_CLK_000_DDDD 3 -1 6 1 1 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 5 1 3 -1 -1 1 0 21 - 305 inst_CLK_000_DDD 3 -1 0 1 6 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 301 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 - 299 inst_CLK_000_DDDDD 3 -1 1 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 - 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_0_ 3 -1 6 3 1 2 6 -1 -1 2 0 20 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 1 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 295 cpu_est_3_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 - 296 inst_AS_030_INT 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 316 3 0 31 -1 13 0 21 - 30 LDS_000 5 317 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 - 300 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 312 SM_AMIGA_0_ 3 -1 6 3 1 2 6 -1 -1 2 0 20 - 307 SM_AMIGA_1_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 304 inst_AS_000_START 3 -1 6 2 3 6 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 297 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 298 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 311 SM_AMIGA_0_ 3 -1 3 3 1 2 3 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 1 3 1 2 3 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 3 3 1 3 7 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 303 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 inst_AS_000_START 3 -1 3 1 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 316 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 - 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 - 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 316 3 0 31 -1 13 0 21 - 30 LDS_000 5 317 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 - 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 - 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 316 3 0 31 -1 13 0 21 - 30 LDS_000 5 317 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 - 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 - 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 316 3 0 31 -1 13 0 21 - 30 LDS_000 5 317 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 - 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 - 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -89 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 316 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 - 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 - 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 - 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 302 SM_AMIGA_6_ 3 -1 1 4 1 2 3 6 -1 -1 3 0 21 - 310 SM_AMIGA_2_ 3 -1 1 3 1 2 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 295 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 303 SM_AMIGA_7_ 3 -1 6 2 1 3 -1 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 13 CPU_SPACE 1 -1 -1 4 1 3 6 7 13 -1 - 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 - 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 - 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 20 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 2 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -88 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 13 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 65 E 0 6 0 65 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 306 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 313 SM_AMIGA_2_ 3 -1 6 3 1 2 6 -1 -1 2 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 300 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 299 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 309 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 2 1 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 323 RN_E 3 65 6 1 6 65 -1 4 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 6 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 13 0 21 - 65 E 5 327 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 306 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 316 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 312 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 327 RN_E 3 65 6 1 6 65 -1 4 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 313 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 299 inst_CLK_000_DDD 3 -1 1 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 13 0 21 - 65 E 5 327 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 306 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 315 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 314 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 311 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 327 RN_E 3 65 6 1 6 65 -1 4 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 299 inst_CLK_000_DDD 3 -1 1 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 13 0 21 - 65 E 5 324 6 0 65 -1 4 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 - 311 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 299 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 2 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 301 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 319 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 309 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 - 306 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 4 0 21 - 31 UDS_000 5 323 3 0 31 -1 13 0 21 - 65 E 5 328 6 0 65 -1 4 0 21 - 30 LDS_000 5 324 3 0 30 -1 4 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 310 SM_AMIGA_4_ 3 -1 0 6 0 1 2 3 5 6 -1 -1 4 0 21 - 298 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 297 inst_VMA_INT 3 -1 6 4 0 3 5 6 -1 -1 3 0 20 - 307 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 311 cpu_est_d_2_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 5 6 -1 -1 1 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 314 SM_AMIGA_3_ 3 -1 5 2 1 5 -1 -1 3 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 317 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 323 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 328 RN_E 3 65 6 1 6 65 -1 4 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 306 inst_CLK_000_DDD 3 -1 1 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 299 inst_CLK_000_DDDD 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 10 CLK_000 9 -1 1 6 10 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 13 0 21 - 65 E 5 324 6 0 65 -1 4 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 - 310 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 309 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 2 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 300 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 299 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 319 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 - 305 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 323 RN_E 3 65 6 1 6 65 -1 4 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 6 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 304 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 313 SM_AMIGA_2_ 3 -1 6 3 1 2 6 -1 -1 2 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 300 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 299 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 309 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 2 1 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 323 RN_E 3 65 6 1 6 65 -1 4 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 6 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 321 3 0 31 -1 13 0 21 - 65 E 5 326 6 0 65 -1 4 0 21 - 30 LDS_000 5 322 3 0 30 -1 4 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 306 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 315 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 2 1 6 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 326 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 323 RN_E 3 65 6 1 6 65 -1 4 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 6 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 - 311 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 - 312 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 2 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 300 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 299 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 309 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 - 305 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 323 RN_E 3 65 6 1 6 65 -1 4 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 6 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 13 0 21 - 65 E 5 327 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 306 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 314 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 316 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 327 RN_E 3 65 6 1 6 65 -1 4 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 299 inst_CLK_000_DDD 3 -1 1 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 13 0 21 - 65 E 5 323 6 0 65 -1 4 0 21 - 30 LDS_000 5 319 3 0 30 -1 4 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_0_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 6 0 21 - 65 E 5 325 6 0 65 -1 4 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 5 324 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 325 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 301 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 324 RN_FPU_CS 3 77 7 2 2 3 77 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 6 0 21 - 65 E 5 325 6 0 65 -1 4 0 21 - 30 LDS_000 5 320 3 0 30 -1 4 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 5 324 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 325 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 301 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 324 RN_FPU_CS 3 77 7 2 2 3 77 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 6 0 21 - 65 E 5 326 6 0 65 -1 4 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 5 325 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 299 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 326 RN_E 3 65 6 1 6 65 -1 4 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 1 3 77 -1 1 0 21 - 315 AVEC_EXP_0 3 -1 3 1 2 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 6 0 21 - 65 E 5 326 6 0 65 -1 4 0 21 - 30 LDS_000 5 321 3 0 30 -1 4 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 5 325 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 299 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 309 cpu_est_d_2_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 326 RN_E 3 65 6 1 6 65 -1 4 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 1 3 77 -1 1 0 21 - 315 AVEC_EXP_0 3 -1 3 1 2 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 3 63 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 327 6 0 65 -1 4 0 21 - 30 LDS_000 5 322 3 0 30 -1 4 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 311 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 7 -1 -1 3 0 21 - 296 inst_AS_030_INT 3 -1 7 2 3 7 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 2 6 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 299 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 7 1 3 -1 -1 3 1 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 315 AVEC_EXP_0 3 -1 6 1 2 -1 -1 1 0 21 - 310 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 - 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 323 3 0 31 -1 11 0 21 - 30 LDS_000 5 324 3 0 30 -1 9 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 296 inst_AS_030_INT 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_5_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 - 330 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 301 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 299 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 306 SM_AMIGA_7_ 3 -1 6 2 1 3 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 318 N_32 3 -1 3 1 3 -1 -1 4 1 21 - 313 CLK_WATCH_3_ 3 -1 1 1 1 -1 -1 4 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 312 CLK_WATCH_2_ 3 -1 1 1 1 -1 -1 3 0 20 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 311 CLK_WATCH_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 AVEC_EXP_0 3 -1 7 1 2 -1 -1 1 0 21 - 310 CLK_WATCH_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 309 cpu_est_d_2_ 3 -1 3 1 6 -1 -1 1 0 20 - 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 323 3 0 31 -1 11 0 21 - 30 LDS_000 5 324 3 0 30 -1 9 0 21 - 65 E 5 329 6 0 65 -1 4 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 308 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 - 314 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 329 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 313 CLK_WATCH_3_ 3 -1 7 2 1 7 -1 -1 4 0 20 - 300 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_INT 3 -1 7 2 3 7 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 CLK_WATCH_2_ 3 -1 7 2 1 7 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 317 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 311 CLK_WATCH_1_ 3 -1 7 2 1 7 -1 -1 2 0 20 - 306 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 310 CLK_WATCH_0_ 3 -1 7 2 1 7 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 299 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 N_63_i 3 -1 3 1 3 -1 -1 2 0 21 - 319 AVEC_EXP_0 3 -1 7 1 2 -1 -1 1 0 21 - 309 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 7 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 7 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 2 3 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 325 3 0 31 -1 11 0 21 - 30 LDS_000 5 326 3 0 30 -1 9 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 28 BG_000 5 327 3 0 28 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 310 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 - 319 SM_AMIGA_1_ 3 -1 1 3 0 1 7 -1 -1 2 0 21 - 318 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 2 0 20 - 316 SM_AMIGA_5_ 3 -1 3 3 0 3 6 -1 -1 2 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_INT 3 -1 7 2 3 7 -1 -1 4 0 21 - 317 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 307 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 330 RN_FPU_CS 3 77 7 2 1 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 324 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 306 inst_CLK_000_DDD 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 325 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 315 CLK_WATCH_3_ 3 -1 1 1 1 -1 -1 4 0 20 - 327 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 CLK_WATCH_2_ 3 -1 1 1 1 -1 -1 3 0 20 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 329 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 320 N_62_i 3 -1 3 1 3 -1 -1 2 0 21 - 313 CLK_WATCH_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 321 AVEC_EXP_0 3 -1 1 1 2 -1 -1 1 0 21 - 312 CLK_WATCH_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 311 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 - 308 SM_AMIGA_7_ 3 -1 0 1 3 -1 -1 1 0 21 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 6 1 1 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 1 1 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 328 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 315 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 311 cpu_est_d_2_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 305 inst_CLK_000_DDD 3 -1 1 2 1 6 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 328 RN_E 3 65 6 1 6 65 -1 4 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 299 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 5 6 29 -1 1 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 31 UDS_000 5 323 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 4 0 21 - 30 LDS_000 5 324 3 0 30 -1 4 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 310 SM_AMIGA_4_ 3 -1 6 5 0 1 3 5 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 5 0 1 3 5 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 - 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 311 cpu_est_d_2_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_3_ 3 -1 5 2 1 5 -1 -1 3 0 21 - 307 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 313 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 329 RN_E 3 65 6 1 6 65 -1 4 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 315 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 306 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 299 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 328 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 328 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 316 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 314 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 299 inst_CLK_000_DDD 3 -1 6 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 4 0 21 - 30 LDS_000 5 322 3 0 30 -1 4 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 310 SM_AMIGA_4_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 - 298 inst_CLK_000_D 3 -1 1 4 0 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 307 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 313 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 311 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 2 0 6 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 329 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 315 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 306 inst_CLK_000_DDD 3 -1 1 1 6 -1 -1 1 0 20 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 299 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 1 4 0 1 3 6 -1 -1 1 0 20 - 311 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 - 315 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_5_ 3 -1 3 3 0 3 6 -1 -1 2 0 21 - 303 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 304 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 317 SM_AMIGA_1_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 0 1 3 -1 -1 1 0 21 - 307 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 - 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 301 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 311 SM_AMIGA_4_ 3 -1 6 5 0 1 3 6 7 -1 -1 4 0 20 - 300 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 313 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_6_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 304 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 312 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 - 303 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 6 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 7 1 3 -1 -1 3 1 21 - 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_DDD 3 -1 6 1 6 -1 -1 1 0 21 - 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 311 SM_AMIGA_4_ 3 -1 1 4 0 1 3 6 -1 -1 4 0 21 - 300 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 0 3 0 1 3 -1 -1 3 0 21 - 313 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 CLK_CNT_1_ 3 -1 1 2 1 6 -1 -1 2 0 20 - 312 cpu_est_d_2_ 3 -1 6 2 0 1 -1 -1 1 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 303 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 2 1 7 -1 -1 1 0 20 - 298 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 0 1 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 2 0 1 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 2 0 1 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 304 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 315 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 317 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 307 inst_CLK_000_DDD 3 -1 1 1 6 -1 -1 1 0 20 - 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 1 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 1 3 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 311 SM_AMIGA_4_ 3 -1 1 4 0 1 3 6 -1 -1 4 0 21 - 300 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 0 3 0 1 3 -1 -1 3 0 21 - 313 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 CLK_CNT_1_ 3 -1 1 2 1 6 -1 -1 2 0 20 - 312 cpu_est_d_2_ 3 -1 6 2 0 1 -1 -1 1 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 303 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 2 1 7 -1 -1 1 0 20 - 298 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 0 1 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 2 0 1 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 2 0 1 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 304 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 315 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 317 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 307 inst_CLK_000_DDD 3 -1 1 1 6 -1 -1 1 0 20 - 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 1 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 1 3 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 311 SM_AMIGA_4_ 3 -1 6 5 0 1 3 6 7 -1 -1 4 0 20 - 300 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 313 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_6_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 304 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 312 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 - 303 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 6 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 7 1 3 -1 -1 3 1 21 - 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_DDD 3 -1 6 1 6 -1 -1 1 0 21 - 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 311 SM_AMIGA_4_ 3 -1 6 5 0 1 3 6 7 -1 -1 4 0 20 - 300 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 313 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_6_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 304 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 312 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 - 303 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 6 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 7 1 3 -1 -1 3 1 21 - 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_DDD 3 -1 6 1 6 -1 -1 1 0 21 - 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 5 6 29 -1 1 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 31 UDS_000 5 323 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 30 LDS_000 5 325 3 0 30 -1 4 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 311 SM_AMIGA_4_ 3 -1 6 5 0 1 3 5 6 -1 -1 4 0 20 - 300 inst_CLK_000_D 3 -1 3 5 0 1 3 5 6 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 - 314 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 313 cpu_est_d_2_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 304 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 5 2 1 5 -1 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 307 inst_CLK_000_DDD 3 -1 1 1 6 -1 -1 1 0 20 - 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 303 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 301 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 30 LDS_000 5 323 3 0 30 -1 4 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 3 5 0 3 5 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 3 6 7 -1 -1 4 0 21 - 311 SM_AMIGA_4_ 3 -1 6 3 3 5 6 -1 -1 4 0 20 - 308 SM_AMIGA_6_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 - 317 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 316 SM_AMIGA_2_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 312 cpu_est_d_2_ 3 -1 1 2 5 6 -1 -1 1 0 20 - 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 6 2 0 3 -1 -1 1 0 20 - 303 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 298 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 5 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 5 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 7 2 5 6 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 330 RN_E 3 65 6 1 6 65 -1 4 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 304 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 307 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 - 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 - 301 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 5 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 5 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 31 UDS_000 5 323 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 4 0 21 - 30 LDS_000 5 324 3 0 30 -1 4 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 310 SM_AMIGA_4_ 3 -1 0 5 0 3 5 6 7 -1 -1 4 0 21 - 298 inst_CLK_000_D 3 -1 3 5 0 3 5 6 7 -1 -1 1 0 20 - 297 inst_VMA_INT 3 -1 6 4 0 3 5 6 -1 -1 3 0 20 - 313 SM_AMIGA_5_ 3 -1 3 3 0 3 7 -1 -1 2 0 21 - 312 cpu_est_d_2_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 307 SM_AMIGA_6_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 329 RN_E 3 65 6 1 6 65 -1 4 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 7 1 3 -1 -1 3 1 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 2 0 21 - 316 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 - 306 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 7 1 1 -1 -1 1 0 20 - 299 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 10 CLK_000 9 -1 2 1 3 10 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 30 LDS_000 5 322 3 0 30 -1 4 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 - 311 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 6 7 65 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 2 5 6 -1 -1 3 1 21 - 302 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 327 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 316 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 312 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_d_2_ 3 -1 5 2 0 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 7 2 0 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 7 2 0 6 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 303 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 314 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 307 inst_CLK_000_DDD 3 -1 3 1 6 -1 -1 1 0 20 - 306 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 7 1 3 -1 -1 1 0 20 - 299 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 30 LDS_000 5 322 3 0 30 -1 4 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 - 311 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 313 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 312 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 6 2 1 3 -1 -1 1 0 20 - 302 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 299 inst_CLK_000_DDD 3 -1 7 2 3 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 304 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 1 6 65 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 314 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 303 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 301 inst_CLK_000_DD 3 -1 3 1 7 -1 -1 1 0 20 - 300 inst_CLK_000_DDDD 3 -1 3 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 - 296 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 297 inst_CLK_000_D 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 0 6 65 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 298 inst_CLK_000_DDD 3 -1 1 2 6 7 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 310 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 6 1 1 -1 -1 1 0 21 - 299 inst_CLK_000_DDDD 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 297 inst_CLK_000_D 3 -1 6 4 0 1 3 6 -1 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 - 296 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 0 6 65 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_DDDD 3 -1 7 1 7 -1 -1 1 0 20 - 298 inst_CLK_000_DDD 3 -1 6 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 - 296 inst_VMA_INT 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 2 1 6 65 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 299 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 310 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 308 inst_CLK_000_DDD 3 -1 7 1 7 -1 -1 2 0 21 - 307 inst_CLK_000_DD 3 -1 7 1 7 -1 -1 2 0 21 - 297 inst_CLK_000_DDDD 3 -1 7 1 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 1 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 313 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 315 3 0 31 -1 11 0 21 - 30 LDS_000 5 316 3 0 30 -1 4 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 318 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 314 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 - 296 inst_VMA_INT 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 2 1 6 65 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 307 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 315 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 316 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 299 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 297 inst_CLK_000_DD 3 -1 7 1 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 1 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 9 CLK_EXP 5 322 1 0 9 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 - 296 inst_VMA_INT 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 300 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 297 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 2 1 6 65 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 inst_CLK_000_DDDD 3 -1 7 2 1 7 -1 -1 2 0 21 - 298 inst_CLK_000_DD 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_EXP 3 9 1 1 1 9 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 299 inst_CLK_000_DDD 3 -1 7 1 7 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 9 CLK_EXP 5 322 1 0 9 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 297 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 300 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 299 inst_CLK_000_DDDD 3 -1 1 2 1 7 -1 -1 2 0 21 - 298 inst_CLK_000_DD 3 -1 1 2 1 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_EXP 3 9 1 1 1 9 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 308 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 319 3 0 31 -1 11 0 21 - 30 LDS_000 5 320 3 0 30 -1 9 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 9 CLK_EXP 5 324 1 0 9 -1 2 1 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 313 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_CLK_EXP 3 9 1 2 1 7 9 -1 2 1 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 N_61_i 3 -1 3 1 3 -1 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 310 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 9 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 - 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 313 N_28_0 3 -1 3 1 3 -1 -1 3 1 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 297 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 - 309 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 - 308 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 - 309 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 4 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 309 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 - 308 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 297 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 9 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 - 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 N_62_i 3 -1 3 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 30 LDS_000 5 318 3 0 30 -1 9 0 21 - 9 CLK_EXP 5 322 1 0 9 -1 4 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 20 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 20 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 20 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 21 - 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 4 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 2 1 6 65 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 1 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 - 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 20 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 20 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 20 - 313 N_72_i 3 -1 3 1 3 -1 -1 2 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 30 LDS_000 5 319 3 0 30 -1 9 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 300 CLK_WATCH_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 314 N_36 3 -1 3 1 3 -1 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 30 LDS_000 5 319 3 0 30 -1 9 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 300 CLK_WATCH_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 N_73_i 3 -1 3 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 30 LDS_000 5 319 3 0 30 -1 9 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 300 CLK_WATCH_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 314 N_36 3 -1 3 1 3 -1 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 30 LDS_000 5 319 3 0 30 -1 9 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 300 CLK_WATCH_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 314 N_36 3 -1 3 1 3 -1 -1 4 1 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 2 1 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 30 LDS_000 5 319 3 0 30 -1 9 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 298 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 N_69_i 3 -1 3 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 30 LDS_000 5 319 3 0 30 -1 9 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 298 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 309 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 N_178_i 3 -1 3 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 30 LDS_000 5 319 3 0 30 -1 9 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 306 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 309 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 N_66_i 3 -1 3 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 30 LDS_000 5 319 3 0 30 -1 9 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 309 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 N_69_i 3 -1 3 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 30 LDS_000 5 319 3 0 30 -1 9 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 306 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 309 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 N_66_i 3 -1 3 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 13 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 297 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 307 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 309 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 1 6 65 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 314 N_70_i 3 -1 3 1 3 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 318 3 0 30 -1 8 0 21 - 31 UDS_000 5 317 3 0 31 -1 5 0 21 - 65 E 5 322 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 321 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 4 0 21 - 307 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 325 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 321 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 300 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 2 6 7 -1 -1 1 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 310 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 311 SM_AMIGA_4_ 3 -1 6 1 6 -1 -1 4 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 322 RN_E 3 65 6 1 6 65 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 309 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 8 0 21 - 31 UDS_000 5 322 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 2 3 6 31 -1 5 0 21 - 314 SM_AMIGA_1_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 316 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 315 N_41_0 3 -1 6 1 3 -1 -1 7 1 21 - 310 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 1 6 65 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 308 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 68 A_0_ 1 -1 -1 1 6 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 10 0 21 - 31 UDS_000 5 322 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 307 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 315 N_188 3 -1 3 1 3 -1 -1 4 0 21 - 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 298 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 1 6 65 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 312 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 10 0 21 - 31 UDS_000 5 322 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 307 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 315 N_37 3 -1 3 1 3 -1 -1 4 0 21 - 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 298 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 1 6 65 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 312 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 10 0 21 - 31 UDS_000 5 322 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 307 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 315 N_37 3 -1 3 1 3 -1 -1 4 0 21 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 1 6 65 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 312 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 10 0 21 - 31 UDS_000 5 320 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 315 N_192 3 -1 3 1 3 -1 -1 4 0 21 - 307 SM_AMIGA_4_ 3 -1 3 1 3 -1 -1 4 0 21 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 1 6 65 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 3 1 3 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 306 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 30 LDS_000 5 323 3 0 30 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 330 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 3 3 3 6 7 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 3 3 6 7 -1 -1 2 0 21 - 300 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 2 3 6 31 -1 5 0 21 - 323 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 - 315 N_37_1_i 3 -1 3 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_1_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 330 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 316 N_141 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 318 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 317 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 1 6 65 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 309 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 68 A_0_ 1 -1 -1 1 6 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 322 3 0 31 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 30 LDS_000 5 323 3 0 30 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 330 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_4_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 3 3 3 6 7 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 3 3 6 7 -1 -1 2 0 21 - 300 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 322 RN_UDS_000 3 31 3 2 3 6 31 -1 5 0 21 - 323 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 - 315 N_37_1_i 3 -1 3 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_1_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 330 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 316 N_141 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 318 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 317 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 310 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 1 6 65 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 68 A_0_ 1 -1 -1 1 6 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 10 0 21 - 31 UDS_000 5 320 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 315 N_23 3 -1 3 1 3 -1 -1 4 0 21 - 310 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 306 SM_AMIGA_4_ 3 -1 3 1 3 -1 -1 4 0 21 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 1 6 65 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 3 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 10 0 21 - 31 UDS_000 5 320 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 34 VMA 0 3 0 34 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 315 N_203_0 3 -1 3 1 3 -1 -1 4 0 21 - 310 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 306 SM_AMIGA_4_ 3 -1 3 1 3 -1 -1 4 0 21 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 3 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 10 0 21 - 31 UDS_000 5 318 3 0 31 -1 5 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 304 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 303 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 314 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 296 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 313 N_203_0 3 -1 3 1 3 -1 -1 4 0 21 - 308 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 317 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 10 0 21 - 31 UDS_000 5 318 3 0 31 -1 5 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 314 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 313 N_203_0 3 -1 3 1 3 -1 -1 4 0 21 - 308 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 317 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 320 RN_UDS_000 3 31 3 2 3 6 31 -1 5 0 21 - 305 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 321 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 - 313 N_48_1_i 3 -1 6 2 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 310 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 N_112 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 316 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 315 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 68 A_0_ 1 -1 -1 1 6 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 305 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 328 RN_VMA 3 34 3 3 1 3 7 34 -1 2 0 21 - 304 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 320 RN_UDS_000 3 31 3 2 1 3 31 -1 5 0 21 - 321 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 - 313 N_48_1_i 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 N_112 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 303 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 316 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 315 UDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 310 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 3 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 308 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 1 3 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 7 60 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 4 1 3 4 6 70 -1 - 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 - 97 DS_030 1 -1 -1 3 1 3 6 97 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 1 6 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 8 0 21 - 31 UDS_000 5 320 3 0 31 -1 4 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 326 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 320 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 - 307 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 314 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 313 LDS_000_INT_0_sqmuxa_1_0 3 -1 3 1 3 -1 -1 4 0 21 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 8 0 21 - 31 UDS_000 5 321 3 0 31 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 308 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 297 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 314 N_36 3 -1 3 1 3 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 8 0 21 - 31 UDS_000 5 321 3 0 31 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 296 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 - 308 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 314 N_36 3 -1 3 1 3 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 8 0 21 - 31 UDS_000 5 321 3 0 31 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 2 3 6 -1 -1 1 0 20 - 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 314 N_162 3 -1 6 1 3 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 5 1 21 - 31 UDS_000 5 323 3 0 31 -1 4 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 330 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 4 3 4 6 7 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 3 3 4 7 34 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 6 3 4 6 7 -1 -1 2 0 21 - 300 inst_CLK_000_DD 3 -1 3 3 4 6 7 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 2 3 6 30 -1 5 1 21 - 297 CLK_000_CNT_2_ 3 -1 4 2 4 7 -1 -1 5 0 21 - 323 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 - 308 CLK_000_CNT_1_ 3 -1 4 2 4 7 -1 -1 4 0 21 - 314 N_66_0 3 -1 3 2 3 6 -1 -1 3 0 21 - 311 inst_DTACK_SYNC 3 -1 4 2 4 6 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 330 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 327 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 N_99 3 -1 3 2 3 6 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 2 4 6 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 4 2 1 7 -1 -1 1 0 21 - 317 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 316 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 4 7 40 162 - 60 CLK_OSZI 9 -1 5 1 3 4 6 7 60 -1 - 85 RST 1 -1 -1 5 1 3 4 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 4 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 4 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 68 A_0_ 1 -1 -1 1 6 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 8 0 21 - 31 UDS_000 5 321 3 0 31 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 301 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 314 N_42_0 3 -1 3 1 3 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 0 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 8 0 21 - 31 UDS_000 5 321 3 0 31 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 301 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 314 N_36 3 -1 3 1 3 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 0 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 8 0 21 - 31 UDS_000 5 321 3 0 31 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 300 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 296 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 297 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 310 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 - 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 - 307 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 314 N_165 3 -1 3 1 3 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 0 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 8 0 21 - 31 UDS_000 5 320 3 0 31 -1 4 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 305 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 308 CLK_000_CNT_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_5_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 296 CLK_000_CNT_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 - 309 CLK_000_CNT_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 306 inst_DSACK_INT_SET 3 -1 7 2 6 7 -1 -1 4 0 21 - 297 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 312 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 311 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 299 inst_CLK_000_DD 3 -1 3 2 1 6 -1 -1 1 0 20 - 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 315 N_24_0 3 -1 3 1 3 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 8 0 21 - 31 UDS_000 5 320 3 0 31 -1 4 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 298 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 - 312 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 4 0 20 - 306 inst_DSACK_INT_SET 3 -1 7 2 6 7 -1 -1 4 0 21 - 311 inst_DTACK_SYNC 3 -1 3 2 3 6 -1 -1 3 0 21 - 305 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 328 RN_VMA 3 34 3 2 3 7 34 -1 2 0 21 - 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 299 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 316 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 315 N_194_0 3 -1 6 1 3 -1 -1 7 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 296 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 309 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 308 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 2 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 68 A_0_ 1 -1 -1 1 6 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 8 0 21 - 31 UDS_000 5 321 3 0 31 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 320 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 299 inst_CLK_000_DD 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 321 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 - 311 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 CLK_000_CNT_0_ 3 -1 3 2 3 7 -1 -1 2 0 20 - 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 315 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 314 N_47_0 3 -1 6 1 3 -1 -1 7 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 296 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 308 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 68 A_0_ 1 -1 -1 1 6 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 8 0 21 - 31 UDS_000 5 320 3 0 31 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_DD 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 296 CLK_000_CNT_2_ 3 -1 6 3 1 6 7 -1 -1 5 0 21 - 308 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 3 1 3 7 34 -1 2 0 21 - 307 CLK_000_CNT_0_ 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 320 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 - 297 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 311 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 3 0 21 - 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 315 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 314 N_43_0 3 -1 3 1 3 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 1 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 8 0 21 - 31 UDS_000 5 319 3 0 31 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 308 CLK_000_CNT_2_ 3 -1 6 3 1 6 7 -1 -1 5 0 21 - 297 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 301 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 3 1 3 7 34 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 319 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 - 298 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 311 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 3 0 21 - 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 315 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 314 N_42_0 3 -1 3 1 3 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 1 3 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 30 LDS_000 5 319 3 0 30 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 323 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 301 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 307 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 297 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 327 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_3_i 3 -1 3 1 3 -1 -1 4 0 21 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 301 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 - 326 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 319 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 314 state_machine_uds_000_int_8_1_i_n 3 -1 3 2 3 6 -1 -1 4 0 21 - 321 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 - 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 - 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 N_122 3 -1 6 2 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 316 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 307 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 311 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 - 296 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 6 1 7 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 293 cpu_est_0_ 3 -1 1 4 1 3 6 7 -1 -1 3 0 20 - 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 302 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 296 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 306 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_DD 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 300 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 330 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 296 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 - 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 297 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 - 305 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 299 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 328 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 - 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 30 LDS_000 5 322 3 0 30 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 330 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 298 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 - 299 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 297 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 - 307 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_3_0 3 -1 3 1 3 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 30 LDS_000 5 322 3 0 30 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 - 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 317 N_186_0 3 -1 3 1 3 -1 -1 4 0 21 - 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 30 LDS_000 5 322 3 0 30 -1 9 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 317 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 302 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 316 LDS_000_INT_0_sqmuxa 3 -1 3 1 3 -1 -1 5 0 21 - 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 298 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 30 LDS_000 5 322 3 0 30 -1 9 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 317 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 302 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 - 316 LDS_000_INT_0_sqmuxa 3 -1 3 1 3 -1 -1 5 0 21 - 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 298 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 30 LDS_000 5 322 3 0 30 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 - 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 317 N_186_0 3 -1 3 1 3 -1 -1 4 0 21 - 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 30 LDS_000 5 322 3 0 30 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 - 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 317 N_186_0 3 -1 3 1 3 -1 -1 4 0 21 - 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 30 LDS_000 5 322 3 0 30 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 317 N_186_0 3 -1 3 1 3 -1 -1 4 0 21 - 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 330 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 - 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 328 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 - 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 301 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 296 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 20 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 295 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 300 inst_CLK_000_DD 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 298 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 0 3 34 -1 2 0 20 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 20 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 295 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 300 inst_CLK_000_DD 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 298 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 0 3 34 -1 2 0 20 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 30 LDS_000 5 322 3 0 30 -1 5 0 21 - 34 VMA 5 330 3 0 34 -1 4 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 330 RN_VMA 3 34 3 3 3 6 7 34 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 307 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_0_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_5_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 301 inst_CLK_000_DD 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 310 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 - 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 317 N_189_0 3 -1 3 1 3 -1 -1 4 0 21 - 308 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 34 VMA 5 324 3 0 34 -1 4 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 30 LDS_000 5 318 3 0 30 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 - 297 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 324 RN_VMA 3 34 3 3 3 6 7 34 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 300 SM_AMIGA_6_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 312 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 306 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_7_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 298 inst_CLK_000_DD 3 -1 1 2 3 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 313 un1_UDS_000_INT_0_sqmuxa_3_0 3 -1 3 1 3 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 311 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 317 3 0 31 -1 11 0 21 - 34 VMA 5 324 3 0 34 -1 4 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 30 LDS_000 5 318 3 0 30 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 - 296 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 324 RN_VMA 3 34 3 3 3 6 7 34 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 300 SM_AMIGA_6_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 312 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 306 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_7_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 297 inst_CLK_000_DD 3 -1 1 2 3 6 -1 -1 1 0 20 - 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 313 un1_UDS_000_INT_0_sqmuxa_3_i 3 -1 3 1 3 -1 -1 4 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 311 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 7 0 20 - 327 RN_VMA 3 34 3 2 3 7 34 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 305 SM_AMIGA_4_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_3_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 7 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 295 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 297 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 309 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 296 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 295 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 297 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 - 312 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 311 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 296 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 310 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 295 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 297 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 - 312 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 311 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 296 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 310 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 296 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 295 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 307 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 296 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 295 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 307 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 298 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_DD 3 -1 6 2 6 7 -1 -1 1 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 8 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 307 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 298 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 8 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 319 3 0 31 -1 11 0 21 - 34 VMA 5 326 3 0 34 -1 5 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 - 296 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 307 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 298 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 326 RN_VMA 3 34 3 1 3 34 -1 5 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 297 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 5 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 - 297 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 307 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 5 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 298 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 - 297 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 307 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 298 inst_CLK_000_DD 3 -1 1 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 - 297 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 307 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 - 305 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_DD 3 -1 1 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 - 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 299 inst_CLK_000_DD 3 -1 1 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 1 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 305 SM_AMIGA_4_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_3_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 7 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 30 LDS_000 5 320 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 6 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 1 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 297 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 295 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 307 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 296 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 307 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 5 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 303 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 302 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 5 1 20 - 304 SM_AMIGA_2_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 21 - 296 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 7 3 1 3 7 -1 -1 3 0 21 - 298 cpu_est_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 20 - 308 SM_AMIGA_5_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 297 inst_CLK_000_DD 3 -1 7 3 1 6 7 -1 -1 1 0 20 - 313 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 5 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 - 295 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 5 0 20 - 293 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 2 1 6 65 -1 3 0 21 - 299 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 1 20 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_D_0_ 3 -1 7 2 1 7 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 7 0 20 - 327 RN_VMA 3 34 3 2 3 7 34 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 - 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 327 RN_VMA 3 34 3 2 3 7 34 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 2 3 7 34 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 inst_VPA_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 297 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 296 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 297 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 296 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 34 VMA 5 327 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 297 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 296 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 34 VMA 5 325 3 0 34 -1 3 1 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 304 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 297 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 296 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 318 3 0 31 -1 11 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 34 VMA 5 325 3 0 34 -1 3 1 21 - 30 LDS_000 5 319 3 0 30 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 304 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 297 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 303 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 325 RN_VMA 3 34 3 1 3 34 -1 3 1 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 296 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 3 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 34 VMA 5 327 3 0 34 -1 4 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 1 6 65 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 34 VMA 5 331 3 0 34 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 0 21 - 30 LDS_000 5 325 3 0 30 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 307 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 297 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 322 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 331 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 1 6 65 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 300 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 cpu_est_d_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 294 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 293 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 321 3 0 31 -1 11 0 21 - 65 E 5 327 6 0 65 -1 4 0 21 - 34 VMA 5 328 3 0 34 -1 4 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 304 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 297 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 306 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 296 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 327 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 312 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 300 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 298 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 328 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 299 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 7 1 6 -1 -1 1 0 20 - 307 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 - 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_3_ 3 -1 7 1 6 -1 -1 1 0 20 - 293 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 1 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 34 VMA 5 331 3 0 34 -1 4 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 305 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 307 SM_AMIGA_3_ 3 -1 1 4 1 3 6 7 -1 -1 3 0 21 - 299 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 317 inst_DTACK_SYNC 3 -1 7 3 1 6 7 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 301 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 331 RN_VMA 3 34 3 1 3 34 -1 4 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 316 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 308 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 - 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 294 cpu_est_d_3_ 3 -1 7 1 6 -1 -1 1 0 20 - 293 cpu_est_d_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 6 7 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 2 1 6 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 303 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 cpu_est_d_2_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 316 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 325 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 30 LDS_000 5 326 3 0 30 -1 3 0 21 - 28 BG_000 5 327 3 0 28 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 310 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 300 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 297 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 302 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 303 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 324 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 318 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 317 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 325 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 319 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 331 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 326 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 325 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 4 0 21 - 28 BG_000 5 327 3 0 28 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 312 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 301 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 315 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 304 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 302 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 318 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 303 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 326 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 316 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 4 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 329 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 4 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 329 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 4 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 329 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 4 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 329 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 4 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 329 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 7 40 162 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 332 6 0 65 -1 4 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 312 CLK_000_CNT_0_ 3 -1 0 4 0 1 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 314 CLK_000_CNT_2_ 3 -1 6 3 1 6 7 -1 -1 5 0 21 - 318 SM_AMIGA_1_ 3 -1 7 3 0 1 7 -1 -1 4 0 21 - 313 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 - 317 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 316 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 0 3 0 3 7 -1 -1 1 0 21 - 305 inst_CLK_000_DD 3 -1 3 3 0 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 0 3 0 3 7 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 315 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 332 RN_E 3 65 6 1 6 65 -1 4 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 335 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 312 CLK_000_CNT_0_ 3 -1 0 4 0 1 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 314 CLK_000_CNT_2_ 3 -1 6 3 1 6 7 -1 -1 5 0 21 - 318 SM_AMIGA_1_ 3 -1 7 3 0 1 7 -1 -1 4 0 21 - 313 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 - 317 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 316 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 0 3 0 3 7 -1 -1 1 0 21 - 305 inst_CLK_000_DD 3 -1 3 3 0 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 0 3 0 3 7 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 315 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 333 RN_E 3 65 6 1 6 65 -1 4 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 332 6 0 65 -1 4 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 - 319 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 318 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 316 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 310 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 314 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 315 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 326 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 332 RN_E 3 65 6 1 6 65 -1 4 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 313 CLK_000_CNT_1_ 3 -1 0 1 0 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_000_CNT_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 305 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 1 3 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 331 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 331 RN_E 3 65 6 1 6 65 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 323 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 30 LDS_000 5 326 3 0 30 -1 11 0 21 - 31 UDS_000 5 325 3 0 31 -1 7 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 28 BG_000 5 327 3 0 28 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 0 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 3 3 1 3 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 6 3 0 6 7 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 0 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 3 2 1 3 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 0 2 0 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 cpu_est_d_2_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 309 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 327 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 10 CLK_000 9 -1 4 0 3 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 4 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 31 UDS_000 5 326 3 0 31 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 1 3 6 7 -1 -1 4 0 21 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 331 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 - 322 un1_LDS_000_INT_0_sqmuxa_4_i 3 -1 1 1 3 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 309 SM_AMIGA_4_ 3 -1 1 1 1 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 1 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 1 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 7 3 1 6 7 -1 -1 1 0 20 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 3 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 332 6 0 65 -1 4 0 21 - 30 LDS_000 5 328 3 0 30 -1 4 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 4 0 1 3 7 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 0 3 0 1 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 326 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 328 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 2 3 6 -1 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 20 - 303 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 0 2 0 3 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 323 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 332 RN_E 3 65 6 1 6 65 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 2 0 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 4 0 3 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 8 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 331 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 8 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 331 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 331 RN_E 3 65 6 1 6 65 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 9 1 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 1 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 9 1 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 1 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 6 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 12 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 12 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 12 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 12 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 6 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 11 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 6 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 11 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 331 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 331 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 8 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 331 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 10 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 10 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 331 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 333 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 21 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 303 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 2 0 3 -1 -1 1 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 6 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 332 6 0 65 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 332 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 21 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 - 299 inst_CLK_000_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 303 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 314 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 313 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 308 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 309 cpu_est_d_2_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 2 0 3 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 11 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 312 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 309 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 314 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 313 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 308 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 314 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 - 308 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 - 313 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 1 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 97 DS_030 1 -1 -1 2 1 3 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 1 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 97 DS_030 1 -1 -1 2 1 3 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 7 4 0 1 3 6 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 0 3 0 1 7 -1 -1 10 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 - 325 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 3 0 6 7 -1 -1 2 0 20 - 298 inst_VPA_SYNC 3 -1 3 3 0 3 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 3 3 0 3 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 7 3 0 3 7 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 318 SM_AMIGA_0_ 3 -1 0 2 0 1 -1 -1 6 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 2 0 6 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 333 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 3 40 162 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 4 0 1 3 7 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 330 3 0 28 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 0 3 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 4 0 3 6 7 -1 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 1 4 0 3 6 7 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 3 4 0 3 6 7 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 0 3 0 1 7 -1 -1 5 0 20 - 313 CLK_000_CNT_2_ 3 -1 6 3 0 6 7 -1 -1 5 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 3 0 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 310 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 0 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 0 2 0 1 -1 -1 6 0 20 - 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 0 20 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 331 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 320 SM_AMIGA_D_1_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 1 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 97 DS_030 1 -1 -1 2 1 3 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 310 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 314 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 - 315 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 - 313 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 1 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 97 DS_030 1 -1 -1 2 1 3 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 299 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 297 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 310 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 298 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 314 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 - 315 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 303 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 296 cpu_est_d_3_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 295 cpu_est_d_2_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 333 RN_E 3 65 6 1 6 65 -1 4 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 - 313 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 305 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 1 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 97 DS_030 1 -1 -1 2 1 3 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 1 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 97 DS_030 1 -1 -1 2 1 3 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 1 1 3 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 1 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 97 DS_030 1 -1 -1 2 1 3 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 - 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 1 1 3 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 1 6 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 3 1 3 4 70 -1 - 97 DS_030 1 -1 -1 2 1 3 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 333 RN_E 3 65 6 1 6 65 -1 4 0 21 - 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 6 40 162 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 11 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 4 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 - 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 - 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 40 VPA 1 -1 4 0 40 -1 - 261 inst_VPA_D 8 40 4 1 0 40 162 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 3 4 6 7 70 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -103 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 326 7 1 3 80 -1 11 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 328 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 329 3 0 30 -1 4 0 21 - 28 BG_000 5 330 3 0 28 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 327 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 325 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 336 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 335 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 - 319 SM_AMIGA_0_ 3 -1 0 3 0 1 7 -1 -1 4 0 21 - 313 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 4 0 21 - 316 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 3 1 3 5 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 312 CLK_000_CNT_0_ 3 -1 1 3 1 6 7 -1 -1 2 0 20 - 311 SM_AMIGA_5_ 3 -1 3 3 1 3 5 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 314 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 - 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 329 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 - 318 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 315 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 2 3 5 -1 -1 3 0 21 - 317 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 331 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 327 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 309 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 - 302 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 326 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 - 324 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 - 303 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 335 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 325 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_VPA_D 3 -1 3 1 0 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 10 CLK_000 9 -1 4 0 1 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 4 3 4 5 7 70 -1 - 97 DS_030 1 -1 -1 2 3 5 97 -1 - 68 A_0_ 1 -1 -1 2 3 5 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 5 78 -1 - 69 SIZE_0_ 1 -1 -1 1 5 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 328 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 334 6 0 65 -1 4 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 34 VMA 5 335 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 - 299 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 300 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 - 317 SM_AMIGA_2_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 316 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 334 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 303 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 326 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 8 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 328 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 332 6 0 65 -1 4 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 34 VMA 5 335 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 - 299 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 300 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 - 317 SM_AMIGA_2_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 316 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 332 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 303 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 326 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 - 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 334 6 0 65 -1 4 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 34 VMA 5 335 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 - 299 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 300 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 - 317 SM_AMIGA_2_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 316 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 334 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 303 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 326 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 334 6 0 65 -1 4 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 335 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 314 CLK_000_CNT_2_ 3 -1 6 3 5 6 7 -1 -1 5 0 21 - 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 - 313 CLK_000_CNT_1_ 3 -1 7 3 5 6 7 -1 -1 4 0 20 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 312 CLK_000_CNT_0_ 3 -1 7 3 5 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 302 cpu_est_0_ 3 -1 1 3 0 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 334 RN_E 3 65 6 2 0 6 65 -1 4 0 21 - 315 CLK_000_CNT_3_ 3 -1 5 2 5 7 -1 -1 4 0 21 - 303 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 316 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 10 CLK_000 9 -1 5 0 3 5 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 8 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 332 6 0 65 -1 4 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 - 300 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 332 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 318 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 303 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 316 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 - 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 323 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 332 6 0 65 -1 4 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 - 300 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 332 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 318 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 303 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 316 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -103 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 326 7 1 3 80 -1 6 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 328 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 4 0 21 - 30 LDS_000 5 329 3 0 30 -1 4 0 21 - 28 BG_000 5 330 3 0 28 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 34 VMA 5 335 3 0 34 -1 2 0 21 - 32 AS_000 5 327 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 325 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 336 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 319 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 303 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 1 3 1 6 7 -1 -1 3 0 20 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 302 cpu_est_0_ 3 -1 7 3 1 6 7 -1 -1 1 0 20 - 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 329 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 - 318 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 313 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 2 0 3 -1 -1 3 0 21 - 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 316 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 331 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 327 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 312 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 1 2 3 6 -1 -1 1 0 20 - 294 cpu_est_d_1_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 - 328 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 - 326 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 - 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 330 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 2 6 7 10 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 97 DS_030 1 -1 -1 2 0 3 97 -1 - 68 A_0_ 1 -1 -1 2 0 3 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 0 78 -1 - 69 SIZE_0_ 1 -1 -1 1 0 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 8 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 332 6 0 65 -1 4 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 314 CLK_000_CNT_2_ 3 -1 6 3 5 6 7 -1 -1 5 0 21 - 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 - 313 CLK_000_CNT_1_ 3 -1 7 3 5 6 7 -1 -1 4 0 20 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 312 CLK_000_CNT_0_ 3 -1 7 3 5 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 302 cpu_est_0_ 3 -1 1 3 0 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 332 RN_E 3 65 6 2 0 6 65 -1 4 0 21 - 315 CLK_000_CNT_3_ 3 -1 5 2 5 7 -1 -1 4 0 21 - 303 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 316 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 10 CLK_000 9 -1 5 0 3 5 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 8 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 328 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 334 6 0 65 -1 4 0 21 - 34 VMA 5 335 3 0 34 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 315 CLK_000_CNT_2_ 3 -1 6 3 5 6 7 -1 -1 5 0 21 - 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_1_ 3 -1 7 3 5 6 7 -1 -1 4 0 20 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 313 CLK_000_CNT_0_ 3 -1 7 3 5 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 302 cpu_est_0_ 3 -1 1 3 0 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 334 RN_E 3 65 6 2 0 6 65 -1 4 0 21 - 316 CLK_000_CNT_3_ 3 -1 5 2 5 7 -1 -1 4 0 21 - 303 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 328 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 10 CLK_000 9 -1 5 0 3 5 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 334 6 0 65 -1 4 0 21 - 34 VMA 5 335 3 0 34 -1 3 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 333 7 0 77 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 - 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 - 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 - 315 CLK_000_CNT_2_ 3 -1 6 3 5 6 7 -1 -1 5 0 21 - 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 - 314 CLK_000_CNT_1_ 3 -1 7 3 5 6 7 -1 -1 4 0 20 - 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 313 CLK_000_CNT_0_ 3 -1 7 3 5 6 7 -1 -1 2 0 20 - 309 cpu_est_d_2_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 302 cpu_est_0_ 3 -1 1 3 0 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 294 cpu_est_d_1_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 293 cpu_est_d_0_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 334 RN_E 3 65 6 2 0 6 65 -1 4 0 21 - 316 CLK_000_CNT_3_ 3 -1 5 2 5 7 -1 -1 4 0 21 - 303 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 10 CLK_000 9 -1 5 0 3 5 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 0 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -103 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 326 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 328 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 3 0 21 - 34 VMA 5 334 3 0 34 -1 3 0 21 - 30 LDS_000 5 329 3 0 30 -1 3 0 21 - 28 BG_000 5 330 3 0 28 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 32 AS_000 5 327 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 325 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 336 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 335 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 298 inst_VPA_SYNC 3 -1 6 5 0 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 320 SM_AMIGA_0_ 3 -1 0 4 0 1 3 7 -1 -1 4 0 21 - 296 inst_DTACK_SYNC 3 -1 6 4 0 1 6 7 -1 -1 2 0 20 - 319 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 318 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 327 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 303 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 302 cpu_est_d_0_ 3 -1 1 2 0 6 -1 -1 1 0 20 - 294 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 293 cpu_est_d_1_ 3 -1 7 2 0 6 -1 -1 1 0 20 - 328 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 326 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 316 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 324 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 317 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 315 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 334 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 333 RN_E 3 65 6 1 6 65 -1 3 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 335 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 325 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 323 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 322 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 309 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 3 0 21 - 34 VMA 5 332 3 0 34 -1 3 0 21 - 30 LDS_000 5 325 3 0 30 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 0 3 3 6 7 -1 -1 1 0 21 - 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 310 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 320 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 331 RN_E 3 65 6 1 6 65 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 296 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 3 0 21 - 34 VMA 5 332 3 0 34 -1 3 0 21 - 30 LDS_000 5 325 3 0 30 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 0 3 3 6 7 -1 -1 1 0 21 - 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 310 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 320 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 331 RN_E 3 65 6 1 6 65 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 296 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 3 0 21 - 34 VMA 5 332 3 0 34 -1 3 0 21 - 30 LDS_000 5 325 3 0 30 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 0 3 3 6 7 -1 -1 1 0 21 - 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 310 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 320 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 331 RN_E 3 65 6 1 6 65 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 296 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 34 VMA 5 326 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 312 SM_AMIGA_0_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 - 311 SM_AMIGA_1_ 3 -1 7 4 0 1 6 7 -1 -1 4 0 21 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 310 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 314 SM_AMIGA_D_1_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 326 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 316 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 322 3 0 31 -1 11 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 34 VMA 5 328 3 0 34 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 311 SM_AMIGA_1_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 7 4 0 3 6 7 -1 -1 4 0 20 - 327 RN_E 3 65 6 4 0 3 6 7 65 -1 3 0 21 - 302 cpu_est_2_ 3 -1 7 4 0 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 4 0 3 6 7 -1 -1 3 0 20 - 298 inst_VPA_SYNC 3 -1 6 4 0 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 20 - 312 SM_AMIGA_0_ 3 -1 0 3 0 1 6 -1 -1 4 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 3 0 6 7 -1 -1 2 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 328 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 316 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 297 inst_VPA_D 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 10 CLK_000 1 -1 -1 4 0 3 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 11 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 34 VMA 5 330 3 0 34 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 6 5 1 3 5 6 7 -1 -1 2 0 20 - 315 SM_AMIGA_1_ 3 -1 6 4 1 5 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 4 3 5 6 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 4 3 5 6 7 65 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 - 296 inst_DTACK_SYNC 3 -1 6 4 1 5 6 7 -1 -1 2 0 20 - 316 SM_AMIGA_0_ 3 -1 5 3 1 5 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 1 3 5 32 -1 2 0 21 - 310 CLK_000_CNT_0_ 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 330 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 4 0 5 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 6 7 96 -1 - 95 A_16_ 1 -1 -1 2 6 7 95 -1 - 94 A_18_ 1 -1 -1 2 6 7 94 -1 - 58 A_17_ 1 -1 -1 2 6 7 58 -1 - 57 FC_1_ 1 -1 -1 2 6 7 57 -1 - 56 FC_0_ 1 -1 -1 2 6 7 56 -1 - 27 BGACK_000 1 -1 -1 2 6 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 34 VMA 5 331 3 0 34 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 2 3 6 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 311 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 331 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 323 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 34 VMA 5 331 3 0 34 -1 3 0 21 - 30 LDS_000 5 324 3 0 30 -1 3 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 4 1 3 6 7 -1 -1 4 0 21 - 299 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 315 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 303 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 CLK_000_CNT_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 311 CLK_000_CNT_2_ 3 -1 7 2 1 7 -1 -1 5 0 20 - 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 312 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 - 310 CLK_000_CNT_1_ 3 -1 7 2 1 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 307 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 318 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 316 SM_AMIGA_D_0_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 296 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 319 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 331 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 317 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 302 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 297 inst_VPA_D 3 -1 0 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 34 VMA 5 331 3 0 34 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 2 3 6 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 311 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 331 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 11 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 34 VMA 5 330 3 0 34 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 6 5 1 3 5 6 7 -1 -1 2 0 20 - 315 SM_AMIGA_1_ 3 -1 6 4 1 5 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 4 3 5 6 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 4 3 5 6 7 65 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 - 296 inst_DTACK_SYNC 3 -1 6 4 1 5 6 7 -1 -1 2 0 20 - 316 SM_AMIGA_0_ 3 -1 5 3 1 5 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 1 3 5 32 -1 2 0 21 - 310 CLK_000_CNT_0_ 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 330 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 4 0 5 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 6 7 96 -1 - 95 A_16_ 1 -1 -1 2 6 7 95 -1 - 94 A_18_ 1 -1 -1 2 6 7 94 -1 - 58 A_17_ 1 -1 -1 2 6 7 58 -1 - 57 FC_1_ 1 -1 -1 2 6 7 57 -1 - 56 FC_0_ 1 -1 -1 2 6 7 56 -1 - 27 BGACK_000 1 -1 -1 2 6 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 34 VMA 5 326 3 0 34 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 312 SM_AMIGA_0_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 - 311 SM_AMIGA_1_ 3 -1 7 4 0 1 6 7 -1 -1 4 0 21 - 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 - 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 - 310 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 314 SM_AMIGA_D_1_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 303 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 326 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 316 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 34 VMA 5 331 3 0 34 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 2 3 6 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 311 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 331 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 320 3 0 31 -1 11 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 34 VMA 5 326 3 0 34 -1 3 0 21 - 30 LDS_000 5 321 3 0 30 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 311 SM_AMIGA_1_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 - 297 inst_VPA_SYNC 3 -1 6 4 0 3 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 - 312 SM_AMIGA_0_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 7 3 0 6 7 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 0 6 7 65 -1 3 0 21 - 302 cpu_est_2_ 3 -1 7 3 0 6 7 -1 -1 3 1 20 - 301 cpu_est_0_ 3 -1 7 3 0 6 7 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 6 3 0 6 7 -1 -1 2 0 20 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 299 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 - 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 316 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 - 326 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 303 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 296 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 11 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 34 VMA 5 330 3 0 34 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 6 5 1 3 5 6 7 -1 -1 2 0 20 - 315 SM_AMIGA_1_ 3 -1 6 4 1 5 6 7 -1 -1 4 0 20 - 294 cpu_est_1_ 3 -1 6 4 3 5 6 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 4 3 5 6 7 65 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 - 296 inst_DTACK_SYNC 3 -1 6 4 1 5 6 7 -1 -1 2 0 20 - 316 SM_AMIGA_0_ 3 -1 5 3 1 5 7 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 6 3 3 6 7 -1 -1 4 0 20 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 1 3 5 32 -1 2 0 21 - 310 CLK_000_CNT_0_ 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 330 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 4 0 5 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 6 7 96 -1 - 95 A_16_ 1 -1 -1 2 6 7 95 -1 - 94 A_18_ 1 -1 -1 2 6 7 94 -1 - 58 A_17_ 1 -1 -1 2 6 7 58 -1 - 57 FC_1_ 1 -1 -1 2 6 7 57 -1 - 56 FC_0_ 1 -1 -1 2 6 7 56 -1 - 27 BGACK_000 1 -1 -1 2 6 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 3 0 21 - 34 VMA 5 332 3 0 34 -1 3 0 21 - 30 LDS_000 5 325 3 0 30 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 298 inst_CLK_000_D 3 -1 0 3 3 6 7 -1 -1 1 0 21 - 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 - 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 310 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 - 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 320 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 - 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 331 RN_E 3 65 6 1 6 65 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 296 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 328 7 1 3 80 -1 8 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 330 3 0 31 -1 11 0 21 - 65 E 5 335 6 0 65 -1 3 0 21 - 34 VMA 5 336 3 0 34 -1 3 0 21 - 30 LDS_000 5 331 3 0 30 -1 3 0 21 - 28 BG_000 5 332 3 0 28 -1 3 0 21 - 82 BGACK_030 5 333 7 0 82 -1 2 0 21 - 77 FPU_CS 5 334 7 0 77 -1 2 0 21 - 32 AS_000 5 329 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 327 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 338 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 337 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 6 7 0 1 2 3 5 6 7 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 5 6 0 1 2 5 6 7 -1 -1 2 0 21 - 302 inst_CLK_000_D 3 -1 3 6 0 1 2 3 5 6 -1 -1 1 0 20 - 321 SM_AMIGA_0_ 3 -1 5 4 0 1 3 5 -1 -1 4 0 21 - 320 SM_AMIGA_1_ 3 -1 2 4 1 2 5 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 4 2 5 6 7 -1 -1 4 0 21 - 335 RN_E 3 65 6 4 2 5 6 7 65 -1 3 0 21 - 308 cpu_est_2_ 3 -1 6 4 2 5 6 7 -1 -1 3 1 21 - 307 cpu_est_0_ 3 -1 6 4 2 5 6 7 -1 -1 3 0 21 - 317 CLK_000_CNT_2_ 3 -1 6 3 0 6 7 -1 -1 5 0 21 - 318 CLK_000_CNT_3_ 3 -1 6 3 0 6 7 -1 -1 4 0 21 - 316 CLK_000_CNT_1_ 3 -1 6 3 0 6 7 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 1 5 6 -1 -1 3 0 20 - 334 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 329 RN_AS_000 3 32 3 3 0 3 5 32 -1 2 0 21 - 315 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 0 2 0 3 -1 -1 4 0 21 - 319 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 2 0 6 -1 -1 3 0 21 - 333 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 311 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 2 5 6 -1 -1 1 0 21 - 330 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 328 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 - 326 DSACK_INT_1_sqmuxa 3 -1 0 1 7 -1 -1 4 1 21 - 325 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 336 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 332 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 331 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 338 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 337 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 327 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 324 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 323 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 322 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 cpu_est_d_2_ 3 -1 7 1 3 -1 -1 1 0 20 - 305 cpu_est_d_1_ 3 -1 7 1 3 -1 -1 1 0 20 - 303 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 294 cpu_est_d_0_ 3 -1 7 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 5 0 3 5 6 7 81 -1 - 10 CLK_000 1 -1 -1 4 2 3 5 6 10 -1 - 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 328 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 330 3 0 31 -1 11 0 21 - 65 E 5 335 6 0 65 -1 3 0 21 - 34 VMA 5 336 3 0 34 -1 3 0 21 - 30 LDS_000 5 331 3 0 30 -1 3 0 21 - 28 BG_000 5 332 3 0 28 -1 3 0 21 - 82 BGACK_030 5 333 7 0 82 -1 2 0 21 - 77 FPU_CS 5 334 7 0 77 -1 2 0 21 - 32 AS_000 5 329 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 327 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 338 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 337 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 inst_CLK_000_D 3 -1 1 7 0 1 3 4 5 6 7 -1 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 6 5 0 3 5 6 7 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 4 5 0 4 5 6 7 -1 -1 2 0 21 - 320 SM_AMIGA_1_ 3 -1 0 4 0 1 5 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 4 0 5 6 7 -1 -1 4 0 21 - 335 RN_E 3 65 6 4 0 5 6 7 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 0 4 0 1 4 6 -1 -1 3 0 21 - 308 cpu_est_2_ 3 -1 6 4 0 5 6 7 -1 -1 3 1 21 - 307 cpu_est_0_ 3 -1 6 4 0 5 6 7 -1 -1 3 0 21 - 329 RN_AS_000 3 32 3 4 1 3 5 7 32 -1 2 0 21 - 321 SM_AMIGA_0_ 3 -1 5 3 1 5 7 -1 -1 4 0 21 - 319 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 334 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 312 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 3 0 21 - 333 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 CLK_000_CNT_0_ 3 -1 1 2 1 6 -1 -1 2 0 20 - 315 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 298 inst_VPA_D 3 -1 3 2 4 6 -1 -1 1 0 20 - 330 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 328 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 318 CLK_000_CNT_2_ 3 -1 6 1 6 -1 -1 5 0 21 - 326 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 317 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 336 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 332 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 331 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 325 state_machine_un27_clk_out_pre_0_n 3 -1 6 1 7 -1 -1 3 0 21 - 338 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 337 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 327 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 324 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 323 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 322 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 305 cpu_est_d_1_ 3 -1 7 1 3 -1 -1 1 0 20 - 303 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 7 1 3 -1 -1 1 0 20 - 294 cpu_est_d_0_ 3 -1 7 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 3 4 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 4 6 7 81 -1 - 10 CLK_000 1 -1 -1 4 0 1 5 6 10 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 328 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 332 6 0 65 -1 3 0 21 - 34 VMA 5 333 3 0 34 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 6 6 0 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_VPA_SYNC 3 -1 2 5 0 2 3 5 6 -1 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 5 4 1 5 6 7 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 0 4 0 1 2 6 -1 -1 3 0 21 - 326 RN_AS_000 3 32 3 4 3 5 6 7 32 -1 2 0 21 - 293 cpu_est_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 3 2 5 6 65 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 3 1 5 6 -1 -1 3 0 20 - 307 cpu_est_2_ 3 -1 6 3 2 5 6 -1 -1 3 1 21 - 306 cpu_est_0_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 320 inst_DTACK_SYNC 3 -1 0 3 0 5 6 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 316 SM_AMIGA_1_ 3 -1 5 2 1 5 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 310 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 7 2 0 2 -1 -1 1 0 20 - 328 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 333 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 321 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 294 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 6 0 1 2 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 5 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 30 LDS_000 5 329 3 0 30 -1 4 0 21 - 65 E 5 333 6 0 65 -1 3 0 21 - 34 VMA 5 334 3 0 34 -1 3 0 21 - 28 BG_000 5 330 3 0 28 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 3 5 0 3 5 6 7 -1 -1 1 0 20 - 310 SM_AMIGA_1_ 3 -1 5 4 0 1 5 7 -1 -1 4 0 21 - 298 inst_VPA_SYNC 3 -1 6 4 0 3 5 6 -1 -1 2 0 20 - 311 SM_AMIGA_0_ 3 -1 0 3 0 1 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 - 333 RN_E 3 65 6 3 0 5 6 65 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 3 1 5 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 307 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 - 306 cpu_est_0_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 0 3 7 32 -1 2 0 21 - 320 inst_DTACK_SYNC 3 -1 6 3 0 5 6 -1 -1 2 0 20 - 315 SM_AMIGA_5_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 313 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 329 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 - 321 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 303 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 3 0 20 - 331 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 312 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 322 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 300 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 297 inst_VPA_D 3 -1 0 1 6 -1 -1 1 0 20 - 295 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 294 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 3 3 4 6 70 -1 - 10 CLK_000 1 -1 -1 3 0 3 5 10 -1 - 97 DS_030 1 -1 -1 2 3 6 97 -1 - 68 A_0_ 1 -1 -1 2 3 6 68 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 6 78 -1 - 69 SIZE_0_ 1 -1 -1 1 6 69 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -104 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 327 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 330 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 329 3 0 31 -1 11 0 21 - 65 E 5 335 6 0 65 -1 3 0 21 - 34 VMA 5 337 3 0 34 -1 3 0 21 - 28 BG_000 5 331 3 0 28 -1 3 0 21 - 82 BGACK_030 5 332 7 0 82 -1 2 0 21 - 77 FPU_CS 5 333 7 0 77 -1 2 0 21 - 32 AS_000 5 328 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 326 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 336 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 7 6 0 2 3 5 6 7 -1 -1 1 0 20 - 319 SM_AMIGA_0_ 3 -1 5 4 0 1 5 7 -1 -1 4 0 21 - 310 SM_AMIGA_1_ 3 -1 6 4 1 5 6 7 -1 -1 4 0 20 - 328 RN_AS_000 3 32 3 4 0 3 5 7 32 -1 2 0 21 - 316 CLK_000_CNT_0_ 3 -1 6 4 0 2 5 6 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 4 0 3 5 6 -1 -1 2 0 20 - 317 CLK_000_CNT_1_ 3 -1 5 3 0 2 5 -1 -1 4 0 20 - 314 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 324 inst_DTACK_SYNC 3 -1 6 3 0 5 6 -1 -1 2 0 20 - 313 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 318 CLK_000_CNT_2_ 3 -1 0 2 0 2 -1 -1 5 0 20 - 311 CLK_000_CNT_3_ 3 -1 2 2 2 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 5 6 -1 -1 4 0 21 - 335 RN_E 3 65 6 2 5 6 65 -1 3 0 21 - 320 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 307 cpu_est_2_ 3 -1 6 2 5 6 -1 -1 3 1 21 - 306 cpu_est_0_ 3 -1 6 2 5 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 3 0 20 - 332 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 300 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 312 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 299 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 330 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 329 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 325 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 326 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 323 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 322 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 297 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 294 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 6 0 1 2 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 5 0 2 5 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 328 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 330 3 0 31 -1 11 0 21 - 30 LDS_000 5 331 3 0 30 -1 11 0 21 - 65 E 5 335 6 0 65 -1 3 0 21 - 34 VMA 5 338 3 0 34 -1 3 0 21 - 28 BG_000 5 332 3 0 28 -1 3 0 21 - 82 BGACK_030 5 333 7 0 82 -1 2 0 21 - 77 FPU_CS 5 334 7 0 77 -1 2 0 21 - 32 AS_000 5 329 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 327 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 337 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 336 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 inst_CLK_000_D 3 -1 7 6 0 2 3 5 6 7 -1 -1 1 0 20 - 313 SM_AMIGA_3_ 3 -1 5 5 0 1 2 5 6 -1 -1 3 0 21 - 299 inst_VPA_SYNC 3 -1 2 5 2 3 5 6 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 4 0 5 6 7 -1 -1 2 0 21 - 321 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 319 SM_AMIGA_0_ 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 3 2 6 7 -1 -1 4 0 21 - 335 RN_E 3 65 6 3 2 6 7 65 -1 3 0 21 - 308 cpu_est_2_ 3 -1 6 3 2 6 7 -1 -1 3 1 21 - 307 cpu_est_0_ 3 -1 6 3 2 6 7 -1 -1 3 0 21 - 334 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 3 1 3 5 -1 -1 2 0 21 - 318 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 320 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 309 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 3 0 21 - 333 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 329 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 311 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 298 inst_VPA_D 3 -1 0 2 0 2 -1 -1 1 0 20 - 331 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 330 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 328 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 317 CLK_000_CNT_2_ 3 -1 6 1 6 -1 -1 5 0 21 - 316 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 338 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 332 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 326 LDS_000_INT_1_sqmuxa 3 -1 3 1 3 -1 -1 3 0 21 - 337 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 336 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 327 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 324 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 323 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 322 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 315 CLK_000_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 325 UDS_000_INT_0_sqmuxa 3 -1 3 1 3 -1 -1 1 0 21 - 306 cpu_est_d_2_ 3 -1 7 1 3 -1 -1 1 0 20 - 305 cpu_est_d_1_ 3 -1 7 1 3 -1 -1 1 0 20 - 303 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 294 cpu_est_d_0_ 3 -1 7 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 333 6 0 65 -1 3 0 21 - 34 VMA 5 334 3 0 34 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 318 SM_AMIGA_1_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 - 325 RN_AS_000 3 32 3 4 0 1 3 7 32 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 4 0 3 6 7 -1 -1 2 0 20 - 316 SM_AMIGA_0_ 3 -1 0 3 0 1 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 3 0 6 7 -1 -1 4 0 21 - 333 RN_E 3 65 6 3 0 6 7 65 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 3 0 6 7 -1 -1 3 1 21 - 305 cpu_est_0_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 0 6 7 -1 -1 2 0 20 - 315 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 334 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 304 cpu_est_d_2_ 3 -1 7 1 3 -1 -1 1 0 20 - 303 cpu_est_d_1_ 3 -1 7 1 3 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 7 1 3 -1 -1 1 0 20 - 294 cpu_est_d_0_ 3 -1 7 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 325 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 327 3 0 31 -1 11 0 21 - 65 E 5 334 6 0 65 -1 3 0 21 - 34 VMA 5 335 3 0 34 -1 3 0 21 - 30 LDS_000 5 328 3 0 30 -1 3 0 21 - 28 BG_000 5 329 3 0 28 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 333 7 0 77 -1 2 0 21 - 32 AS_000 5 326 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 6 4 0 3 6 7 -1 -1 2 0 20 - 300 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 - 319 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 317 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 0 6 7 -1 -1 2 0 20 - 316 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 334 RN_E 3 65 6 2 6 7 65 -1 3 0 21 - 318 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 1 21 - 305 cpu_est_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 302 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 - 307 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 - 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 - 315 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 CLK_000_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 cpu_est_d_2_ 3 -1 7 1 3 -1 -1 1 0 20 - 303 cpu_est_d_1_ 3 -1 7 1 3 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 294 cpu_est_d_0_ 3 -1 7 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 3 0 21 - 34 VMA 5 332 3 0 34 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_VPA_SYNC 3 -1 6 4 0 3 6 7 -1 -1 2 0 20 - 300 inst_CLK_000_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 316 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 314 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 - 312 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 3 0 6 7 -1 -1 2 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 293 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 6 7 65 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 1 21 - 305 cpu_est_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 302 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 - 310 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 304 cpu_est_d_2_ 3 -1 7 1 3 -1 -1 1 0 20 - 303 cpu_est_d_1_ 3 -1 7 1 3 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 - 295 cpu_est_d_3_ 3 -1 7 1 3 -1 -1 1 0 20 - 294 cpu_est_d_0_ 3 -1 7 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 3 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 316 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 301 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 297 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 312 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 307 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 299 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_3_i 3 -1 3 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 300 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 298 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 296 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 295 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 3 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 316 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 315 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 301 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 297 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 307 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 299 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_3_0 3 -1 3 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 300 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 298 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 296 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 295 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 3 0 21 - 30 LDS_000 5 327 3 0 30 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 316 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 315 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 303 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 - 301 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 - 297 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 310 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 307 CLK_CNT_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 299 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_3_i 3 -1 3 1 3 -1 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 300 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 298 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 296 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 295 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 324 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 327 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 326 3 0 31 -1 11 0 21 - 65 E 5 331 6 0 65 -1 3 0 21 - 28 BG_000 5 328 3 0 28 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 0 21 - 32 AS_000 5 325 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 316 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 315 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 301 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 297 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 307 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 299 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 300 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 298 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 296 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 295 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 324 3 0 31 -1 11 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 30 LDS_000 5 325 3 0 30 -1 3 0 21 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 7 5 0 3 5 6 7 -1 -1 1 0 20 - 314 SM_AMIGA_3_ 3 -1 6 4 0 1 5 6 -1 -1 3 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 3 5 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 299 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 297 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 300 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 298 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_3_0 3 -1 3 1 3 -1 -1 4 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 - 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 296 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 295 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 326 3 0 30 -1 13 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 31 UDS_000 5 325 3 0 31 -1 11 0 21 - 65 E 5 330 6 0 65 -1 3 0 21 - 28 BG_000 5 327 3 0 28 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 7 5 0 3 5 6 7 -1 -1 1 0 20 - 314 SM_AMIGA_3_ 3 -1 0 4 0 1 5 6 -1 -1 3 0 21 - 294 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 311 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 3 5 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 - 300 inst_VPA_SYNC 3 -1 5 3 0 5 6 -1 -1 2 0 21 - 299 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 297 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 324 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 298 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 326 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 - 296 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 295 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 31 UDS_000 0 3 0 31 -1 13 0 21 - 9 CLK_EXP 0 -1 0 9 -1 13 1 21 - 65 E 0 6 0 65 -1 3 0 21 - 30 LDS_000 0 3 0 30 -1 3 0 21 - 28 BG_000 0 3 0 28 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 322 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 0 21 - 32 AS_000 0 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 -1 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 1 0 21 - 46 CIIN 0 -1 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 -1 0 33 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 2 RESET 0 -1 0 2 -1 1 0 21 - 301 inst_CLK_000_D 3 -1 -1 3 3 6 7 -1 -1 1 0 21 - 294 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 306 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 -1 2 1 7 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 -1 2 3 6 -1 -1 1 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 - 297 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 4 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 - 320 un1_UDS_000_INT_0_sqmuxa_3_0 3 -1 -1 1 3 -1 -1 3 1 21 - 311 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 3 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 inst_CLK_OUT_PRE 3 -1 -1 1 7 -1 -1 2 0 21 - 305 cpu_est_d_2_ 3 -1 -1 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 -1 1 3 -1 -1 1 0 21 - 299 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 296 cpu_est_d_3_ 3 -1 -1 1 3 -1 -1 1 0 21 - 295 cpu_est_d_0_ 3 -1 -1 1 3 -1 -1 1 0 21 - 316 SM_AMIGA_0_ 3 -1 -1 0 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 319 SM_AMIGA_D_2_ 3 -1 -1 0 -1 -1 2 0 21 - 318 SM_AMIGA_D_1_ 3 -1 -1 0 -1 -1 2 0 21 - 317 SM_AMIGA_D_0_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 298 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 307 CLK_CNT_0_ 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 3 1 3 7 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 70 RW 1 -1 -1 1 3 70 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 93 A_21_ 1 -1 -1 0 93 -1 - 92 A_20_ 1 -1 -1 0 92 -1 - 84 A_22_ 1 -1 -1 0 84 -1 - 83 A_23_ 1 -1 -1 0 83 -1 - 35 VPA 1 -1 -1 0 35 -1 - 18 A_24_ 1 -1 -1 0 18 -1 - 17 A_25_ 1 -1 -1 0 17 -1 - 16 A_26_ 1 -1 -1 0 16 -1 - 15 A_27_ 1 -1 -1 0 15 -1 - 14 A_28_ 1 -1 -1 0 14 -1 - 10 CLK_000 1 -1 -1 0 10 -1 - 5 A_29_ 1 -1 -1 0 5 -1 - 4 A_30_ 1 -1 -1 0 4 -1 - 3 A_31_ 1 -1 -1 0 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 13 1 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 7 5 0 3 5 6 7 -1 -1 1 0 20 - 313 SM_AMIGA_3_ 3 -1 6 4 0 1 5 6 -1 -1 3 0 20 - 294 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 311 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 3 5 6 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 299 inst_VPA_D 3 -1 0 3 0 3 5 -1 -1 1 0 20 - 297 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 - 300 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 298 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 302 inst_CLK_000_DD 3 -1 7 2 3 6 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 - 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 296 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 295 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 293 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 2 0 21 - 300 inst_VPA_SYNC 3 -1 0 3 0 1 6 -1 -1 2 0 21 - 299 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 297 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 328 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 298 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 - 302 inst_CLK_000_DD 3 -1 7 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 cpu_est_d_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 304 cpu_est_d_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 296 cpu_est_d_3_ 3 -1 6 1 3 -1 -1 1 0 21 - 295 cpu_est_d_0_ 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 313 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 316 3 0 30 -1 12 0 21 - 31 UDS_000 5 315 3 0 31 -1 8 0 21 - 65 E 5 322 6 0 65 -1 3 0 21 - 28 BG_000 5 318 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 321 7 0 77 -1 2 0 21 - 34 VMA 5 323 3 0 34 -1 2 0 21 - 32 AS_000 5 314 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 321 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 322 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 323 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 316 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 315 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 311 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 296 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 63 CLK_030 1 -1 -1 3 3 5 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -91 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 318 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 319 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 302 inst_CLK_000_DD 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 304 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 0 20 - 293 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 297 inst_VPA_D 3 -1 7 3 0 3 5 -1 -1 1 0 20 - 311 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 307 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 324 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 319 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 298 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 300 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 299 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 313 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 316 3 0 30 -1 12 0 21 - 31 UDS_000 5 315 3 0 31 -1 8 0 21 - 65 E 5 322 6 0 65 -1 3 0 21 - 28 BG_000 5 317 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 318 7 0 82 -1 2 0 21 - 77 FPU_CS 5 321 7 0 77 -1 2 0 21 - 34 VMA 5 323 3 0 34 -1 2 0 21 - 32 AS_000 5 314 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 321 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 322 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 323 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 318 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 316 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 315 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 311 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 296 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 63 CLK_030 1 -1 -1 3 3 5 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 313 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 316 3 0 30 -1 12 0 21 - 31 UDS_000 5 315 3 0 31 -1 8 0 21 - 65 E 5 322 6 0 65 -1 3 0 21 - 28 BG_000 5 317 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 318 7 0 82 -1 2 0 21 - 77 FPU_CS 5 321 7 0 77 -1 2 0 21 - 34 VMA 5 323 3 0 34 -1 2 0 21 - 32 AS_000 5 314 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 321 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 322 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 323 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 318 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 316 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 315 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 311 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 296 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 63 CLK_030 1 -1 -1 3 3 5 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 313 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 316 3 0 30 -1 12 0 21 - 31 UDS_000 5 315 3 0 31 -1 8 0 21 - 65 E 5 322 6 0 65 -1 3 0 21 - 28 BG_000 5 317 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 312 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 318 7 0 82 -1 2 0 21 - 77 FPU_CS 5 321 7 0 77 -1 2 0 21 - 34 VMA 5 323 3 0 34 -1 2 0 21 - 32 AS_000 5 314 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_DD 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 295 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 321 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 322 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 310 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 323 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 318 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 305 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 316 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 315 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 311 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 296 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 303 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 63 CLK_030 1 -1 -1 3 3 5 7 63 -1 - 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 318 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 63 CLK_030 1 -1 -1 3 3 5 7 63 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 5 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 5 1 3 5 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 0 5 1 3 5 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 5 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 310 SM_AMIGA_5_ 3 -1 5 2 5 6 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 307 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 0 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 0 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 6 7 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_DD 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 317 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_DD 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 7 3 0 3 5 -1 -1 1 0 20 - 311 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 324 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 302 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 1 5 -1 -1 2 0 21 - 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 294 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 3 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 307 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 - 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_DD 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 308 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 - 315 SM_AMIGA_0_ 3 -1 6 2 0 6 -1 -1 4 0 20 - 312 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 4 0 20 - 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 310 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 - 313 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 0 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 304 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 7 1 7 -1 -1 2 0 21 - 308 inst_CLK_000_D2 3 -1 3 1 6 -1 -1 1 0 20 - 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D3 3 -1 6 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 0 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -91 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 318 3 0 30 -1 12 0 21 - 31 UDS_000 5 317 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 303 inst_CLK_OUT_PRE 3 -1 7 4 1 5 6 7 -1 -1 2 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 6 2 5 6 -1 -1 3 0 20 - 310 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 305 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 5 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 318 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 313 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 2 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 0 3 0 2 3 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 319 RN_AS_000 3 32 3 3 3 5 6 32 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 6 2 5 6 -1 -1 3 0 20 - 315 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 312 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 307 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 328 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 313 inst_CLK_000_D4 3 -1 5 1 6 -1 -1 1 0 20 - 311 inst_CLK_000_D3 3 -1 7 1 5 -1 -1 1 0 20 - 309 inst_CLK_000_D2 3 -1 3 1 7 -1 -1 1 0 20 - 308 inst_CLK_000_D5 3 -1 6 1 7 -1 -1 1 0 21 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 312 SM_AMIGA_3_ 3 -1 0 4 0 1 2 5 -1 -1 3 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 2 3 6 65 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 2 3 0 1 2 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 3 0 1 5 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 2 3 5 -1 -1 1 0 21 - 315 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 2 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 302 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 2 1 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 6 1 1 -1 -1 1 0 21 - 311 inst_CLK_000_D3 3 -1 6 1 6 -1 -1 1 0 21 - 309 inst_CLK_000_D2 3 -1 3 1 6 -1 -1 1 0 20 - 308 inst_CLK_000_D5 3 -1 1 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 318 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 63 CLK_030 1 -1 -1 3 3 5 7 63 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 5 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 4 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -90 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 317 3 0 30 -1 12 0 21 - 31 UDS_000 5 316 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 315 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 316 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -91 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 318 3 0 30 -1 12 0 21 - 31 UDS_000 5 317 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 319 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 3 0 21 - 82 BGACK_030 5 320 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 316 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 302 inst_CLK_000_D0 3 -1 3 6 0 1 3 5 6 7 -1 -1 1 0 20 - 303 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 3 0 21 - 304 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 3 0 3 6 -1 -1 2 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 7 2 5 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 318 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 317 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 313 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 310 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 300 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 302 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 20 - 303 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 2 3 5 -1 -1 1 0 20 - 310 CLK_CNT_1_ 3 -1 1 2 0 1 -1 -1 4 0 20 - 315 SM_AMIGA_0_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 CLK_CNT_0_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 308 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 0 2 0 7 -1 -1 2 0 20 - 307 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 2 2 2 6 -1 -1 2 0 21 - 305 CLK_REF_1_ 3 -1 3 2 0 1 -1 -1 1 0 20 - 304 CLK_REF_0_ 3 -1 7 2 0 1 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 60 CLK_OSZI 9 -1 6 0 1 2 3 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 0 3 0 3 6 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 7 3 1 5 7 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 302 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 1 3 5 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 308 SM_AMIGA_4_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 7 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 5 0 1 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 0 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 0 5 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 3 5 6 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 315 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 312 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 2 5 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 0 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 0 3 0 3 6 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 7 3 1 5 7 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 302 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 1 3 5 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 308 SM_AMIGA_4_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 7 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 5 0 1 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 0 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 302 inst_CLK_OUT_PRE 3 -1 1 4 1 2 6 7 -1 -1 4 0 20 - 294 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 308 SM_AMIGA_1_ 3 -1 6 3 2 6 7 -1 -1 3 0 20 - 303 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 0 2 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 5 -1 -1 1 0 20 - 310 CLK_CNT_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 315 SM_AMIGA_0_ 3 -1 2 2 0 2 -1 -1 3 0 21 - 309 CLK_CNT_0_ 3 -1 1 2 0 1 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 307 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 7 2 0 1 -1 -1 1 0 20 - 304 CLK_REF_0_ 3 -1 3 2 0 1 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 0 3 0 3 6 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 7 3 1 5 7 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 302 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 1 3 5 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 308 SM_AMIGA_4_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 7 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 5 0 1 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 0 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 13 0 21 - 31 UDS_000 5 322 3 0 31 -1 9 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 294 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 1 3 6 65 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 7 3 0 1 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 20 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 310 inst_CLK_000_D4 3 -1 6 3 0 1 7 -1 -1 1 0 21 - 309 inst_CLK_000_D2 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 5 3 0 1 3 -1 -1 1 0 21 - 307 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 1 3 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 1 2 1 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 7 -1 -1 2 0 21 - 303 inst_CLK_000_D5 3 -1 7 2 0 1 -1 -1 1 0 20 - 302 inst_CLK_000_D3 3 -1 7 2 3 6 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 5 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 13 0 21 - 31 UDS_000 5 319 3 0 31 -1 9 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 4 0 1 6 7 -1 -1 3 1 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 3 1 5 6 -1 -1 3 0 20 - 304 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 1 3 5 -1 -1 1 0 20 - 306 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 308 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 325 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 305 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 31 UDS_000 5 321 3 0 31 -1 9 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 4 0 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 7 3 2 5 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 7 3 1 3 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 3 2 3 5 -1 -1 1 0 21 - 307 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 1 2 1 3 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 310 SM_AMIGA_1_ 3 -1 7 2 0 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 2 2 2 6 -1 -1 2 0 21 - 309 inst_CLK_000_D4 3 -1 7 2 2 5 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 2 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 5 1 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 1 3 7 63 -1 - 96 A_19_ 1 -1 -1 2 1 7 96 -1 - 95 A_16_ 1 -1 -1 2 1 7 95 -1 - 94 A_18_ 1 -1 -1 2 1 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 1 7 58 -1 - 57 FC_1_ 1 -1 -1 2 1 7 57 -1 - 56 FC_0_ 1 -1 -1 2 1 7 56 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 1 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 31 UDS_000 5 320 3 0 31 -1 9 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 294 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 20 - 302 inst_CLK_000_D2 3 -1 7 4 0 3 5 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 304 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 319 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 326 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 2 0 5 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 4 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 3 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 31 UDS_000 5 321 3 0 31 -1 9 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 4 0 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 7 3 2 5 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 7 3 1 3 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 3 2 3 5 -1 -1 1 0 21 - 307 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 1 2 1 3 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 310 SM_AMIGA_1_ 3 -1 7 2 0 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 2 2 2 6 -1 -1 2 0 21 - 303 inst_CLK_000_D4 3 -1 7 2 2 5 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 2 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 5 1 2 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 1 3 7 63 -1 - 96 A_19_ 1 -1 -1 2 1 7 96 -1 - 95 A_16_ 1 -1 -1 2 1 7 95 -1 - 94 A_18_ 1 -1 -1 2 1 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 1 7 58 -1 - 57 FC_1_ 1 -1 -1 2 1 7 57 -1 - 56 FC_0_ 1 -1 -1 2 1 7 56 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 1 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 13 0 21 - 31 UDS_000 5 322 3 0 31 -1 9 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 3 6 7 -1 -1 4 0 21 - 314 SM_AMIGA_3_ 3 -1 6 4 0 1 5 6 -1 -1 3 0 20 - 307 SM_AMIGA_6_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 305 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 20 - 304 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 3 1 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 1 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 317 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 316 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 315 inst_CLK_000_D4 3 -1 7 2 0 6 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 3 1 7 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 303 inst_CLK_000_D5 3 -1 6 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 31 UDS_000 5 321 3 0 31 -1 9 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 307 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 327 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 2 5 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 2 0 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 7 1 0 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 3 6 0 1 3 5 6 7 -1 -1 1 0 20 - 294 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 20 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 0 3 0 1 5 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 3 0 1 5 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 308 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 20 - 305 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 302 inst_CLK_000_D4 3 -1 7 1 0 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 31 UDS_000 5 321 3 0 31 -1 9 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 3 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 304 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 3 5 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 3 0 1 5 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 307 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 310 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 6 2 0 7 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 7 1 0 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 31 UDS_000 5 321 3 0 31 -1 9 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 307 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 327 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 2 5 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 2 0 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 7 1 0 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 13 0 21 - 31 UDS_000 5 321 3 0 31 -1 9 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 5 1 3 5 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 1 3 5 7 -1 -1 4 0 21 - 307 SM_AMIGA_6_ 3 -1 5 3 1 3 5 -1 -1 4 0 21 - 304 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 320 RN_AS_000 3 32 3 3 0 3 7 32 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 0 3 0 3 5 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 1 3 5 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 3 2 3 6 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 7 2 0 7 -1 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 2 2 6 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 327 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 2 2 2 6 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 2 0 2 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 0 2 2 3 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 307 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 327 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 2 5 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 2 0 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 7 1 0 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 294 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 0 3 0 1 5 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 3 0 1 5 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 20 - 305 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 302 inst_CLK_000_D4 3 -1 7 1 0 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 13 0 21 - 31 UDS_000 5 319 3 0 31 -1 9 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 1 3 0 1 3 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 308 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 325 3 0 33 -1 3 0 20 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 0 5 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 7 3 1 5 7 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 - 303 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 20 - 302 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 1 3 5 -1 -1 1 0 21 - 307 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 324 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 7 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 325 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 7 1 7 -1 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 0 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 31 UDS_000 5 320 3 0 31 -1 9 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 304 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 3 5 6 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 1 2 1 3 -1 -1 4 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 308 inst_CLK_000_D2 3 -1 7 2 3 7 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 306 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 4 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 3 1 7 -1 -1 1 0 20 - 302 inst_CLK_000_D3 3 -1 7 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 5 0 1 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 4 0 5 6 7 10 -1 - 63 CLK_030 1 -1 -1 3 1 3 7 63 -1 - 96 A_19_ 1 -1 -1 2 1 7 96 -1 - 95 A_16_ 1 -1 -1 2 1 7 95 -1 - 94 A_18_ 1 -1 -1 2 1 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 1 7 58 -1 - 57 FC_1_ 1 -1 -1 2 1 7 57 -1 - 56 FC_0_ 1 -1 -1 2 1 7 56 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 1 3 7 -1 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 302 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 317 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 314 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 4 0 1 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 0 5 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 3 5 6 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 315 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 312 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 2 5 6 -1 -1 3 0 20 - 306 SM_AMIGA_6_ 3 -1 3 2 3 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 0 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 302 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 317 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 314 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 4 0 1 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 0 3 0 3 6 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 7 3 1 5 7 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 302 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 1 3 5 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 308 SM_AMIGA_4_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 7 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 5 0 1 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 0 3 7 -1 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 302 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 317 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 314 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 4 0 1 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -92 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 303 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 302 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 317 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 314 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 307 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 325 3 0 33 -1 3 0 20 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 303 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 1 3 -1 -1 1 0 20 - 313 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 324 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 325 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 310 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 309 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 304 CLK_REF_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 325 3 0 33 -1 3 0 20 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 304 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 1 3 -1 -1 1 0 20 - 313 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 324 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 325 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 310 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 309 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 4 0 21 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 6 2 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_D4 3 -1 3 2 1 3 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D2 3 -1 1 1 6 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 308 inst_CLK_000_D2 3 -1 3 3 3 6 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 12 0 21 - 31 UDS_000 5 322 3 0 31 -1 8 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 304 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 4 0 21 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 328 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 316 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 303 inst_CLK_000_D5 3 -1 1 2 0 5 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 inst_CLK_000_D4 3 -1 6 1 1 -1 -1 1 0 21 - 311 inst_CLK_000_D3 3 -1 6 1 6 -1 -1 1 0 21 - 307 CLK_REF_1_ 3 -1 7 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 12 0 21 - 31 UDS_000 5 322 3 0 31 -1 8 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 304 inst_CLK_OUT_PRE 3 -1 1 5 0 1 5 6 7 -1 -1 3 1 20 - 301 inst_CLK_000_D1 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 313 SM_AMIGA_1_ 3 -1 0 3 0 5 7 -1 -1 3 0 21 - 294 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 1 3 5 32 -1 2 0 21 - 303 inst_CLK_000_D5 3 -1 6 3 0 5 7 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 318 SM_AMIGA_0_ 3 -1 5 2 1 5 -1 -1 3 0 21 - 317 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 328 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 302 inst_CLK_000_D2 3 -1 6 2 0 3 -1 -1 1 0 21 - 298 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 inst_CLK_000_D4 3 -1 6 1 6 -1 -1 1 0 21 - 310 inst_CLK_000_D3 3 -1 3 1 6 -1 -1 1 0 20 - 307 CLK_REF_1_ 3 -1 7 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 306 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 304 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 316 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 1 5 -1 -1 2 0 21 - 303 inst_CLK_000_D4 3 -1 6 2 6 7 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 inst_CLK_000_D3 3 -1 6 1 6 -1 -1 1 0 21 - 307 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -87 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 - 30 LDS_000 5 313 3 0 30 -1 12 0 21 - 31 UDS_000 5 312 3 0 31 -1 8 0 21 - 65 E 5 317 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 321 3 0 33 -1 3 0 20 - 28 BG_000 5 314 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 310 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 315 7 0 82 -1 2 0 21 - 77 FPU_CS 5 316 7 0 77 -1 2 0 21 - 34 VMA 5 318 3 0 34 -1 2 0 21 - 32 AS_000 5 311 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 29 DTACK 0 3 0 29 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D1 3 -1 3 6 0 1 3 5 6 7 -1 -1 1 0 20 - 298 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 3 5 7 -1 -1 4 0 21 - 302 SM_AMIGA_3_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 - 316 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 300 inst_CLK_000_D2 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 317 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 315 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_2_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 3 2 0 3 -1 -1 1 0 21 - 313 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 312 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 321 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 310 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_VMA 3 34 3 1 3 34 -1 2 0 21 - 311 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 308 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 304 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 297 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 294 cpu_est_0_ 3 -1 1 4 0 1 3 6 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 306 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 304 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 1 2 1 3 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 307 CLK_REF_1_ 3 -1 6 2 1 6 -1 -1 1 0 20 - 303 inst_CLK_000_D3 3 -1 3 2 0 6 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 6 2 1 3 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 1 3 6 7 -1 -1 4 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 304 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 320 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 317 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 303 inst_CLK_000_D4 3 -1 1 2 0 5 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 3 1 1 -1 -1 1 0 20 - 307 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 311 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 0 3 0 1 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 310 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 7 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 4 0 1 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 304 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 319 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 3 0 3 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 307 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 0 1 3 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 318 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 0 3 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 7 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 297 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 313 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D1 3 -1 3 3 1 3 7 -1 -1 1 0 20 - 299 inst_VPA_D 3 -1 1 3 0 3 6 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 4 0 21 - 316 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 309 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 1 21 - 307 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 317 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 311 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 306 cpu_est_d_2_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 303 inst_CLK_000_D2 3 -1 3 2 1 3 -1 -1 1 0 20 - 296 cpu_est_d_3_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 295 cpu_est_d_1_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 294 cpu_est_d_0_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 308 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 328 RN_E 3 65 6 1 6 65 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 318 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 314 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 298 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 310 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 4 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 302 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 297 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 299 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 328 RN_E 3 65 6 2 6 7 65 -1 4 0 21 - 307 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 - 305 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 318 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 316 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 312 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 308 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 317 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 311 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 313 cpu_est_d0_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 306 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 303 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 296 cpu_est_d0_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 295 cpu_est_d0_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d0_0_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 314 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 298 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 309 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 2 0 1 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 4 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 302 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 297 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 316 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 - 313 cpu_est_d0_2_ 3 -1 7 3 0 3 7 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 296 cpu_est_d0_3_ 3 -1 1 3 0 1 3 -1 -1 3 0 20 - 295 cpu_est_d0_1_ 3 -1 1 3 0 1 3 -1 -1 3 0 20 - 294 cpu_est_d0_0_ 3 -1 7 3 0 3 7 -1 -1 3 0 20 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 299 inst_VPA_D 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 328 RN_E 3 65 6 2 1 6 65 -1 4 0 21 - 307 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 318 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 312 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 308 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 317 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 311 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 310 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 298 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 306 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 303 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 10 CLK_000 9 -1 3 0 6 7 10 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 302 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 308 cpu_est_1_ 3 -1 7 3 1 6 7 -1 -1 4 0 20 - 297 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 316 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 299 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 6 7 65 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 1 20 - 307 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 311 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 300 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 298 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 306 cpu_est_d0_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 2 3 6 -1 -1 1 0 20 - 296 cpu_est_d0_3_ 3 -1 6 2 0 3 -1 -1 1 0 21 - 295 cpu_est_d0_1_ 3 -1 1 2 0 3 -1 -1 1 0 20 - 294 cpu_est_d0_0_ 3 -1 7 2 0 3 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 318 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 315 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 314 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 303 inst_CLK_OUT_PRE 3 -1 0 3 1 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 3 5 6 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_3_ 3 -1 6 2 5 6 -1 -1 3 0 20 - 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 312 CLK_CNT_1_ 3 -1 0 1 0 -1 -1 4 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 307 CLK_REF_1_ 3 -1 1 1 0 -1 -1 1 0 20 - 306 CLK_REF_0_ 3 -1 3 1 0 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 5 6 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 5 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 1 3 6 7 -1 -1 4 0 21 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_6_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 309 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 305 inst_FPU_CS_INT 3 -1 7 2 2 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 2 0 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 2 0 6 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 303 inst_CLK_OUT_PRE 3 -1 0 3 1 6 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 3 5 6 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 295 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_3_ 3 -1 6 2 5 6 -1 -1 3 0 20 - 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 312 CLK_CNT_1_ 3 -1 0 1 0 -1 -1 4 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 311 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 307 CLK_REF_1_ 3 -1 1 1 0 -1 -1 1 0 20 - 306 CLK_REF_0_ 3 -1 3 1 0 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 5 6 60 -1 - 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 5 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 294 cpu_est_0_ 3 -1 1 4 0 1 3 6 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 328 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 308 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 12 0 21 - 31 UDS_000 5 324 3 0 31 -1 8 0 21 - 65 E 5 329 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 20 - 28 BG_000 5 326 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 330 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 316 SM_AMIGA_5_ 3 -1 6 2 0 6 -1 -1 2 0 20 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 1 5 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 2 3 6 -1 -1 1 0 20 - 325 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 312 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 inst_CLK_000_D4 3 -1 6 1 1 -1 -1 1 0 21 - 310 inst_CLK_000_D3 3 -1 3 1 6 -1 -1 1 0 20 - 308 inst_CLK_000_D5 3 -1 1 1 7 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 7 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 294 cpu_est_0_ 3 -1 1 4 0 1 3 6 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 307 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 305 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 0 1 3 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 328 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 307 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 308 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 306 inst_CLK_000_D3 3 -1 3 1 7 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 302 inst_CLK_000_D3 3 -1 3 4 0 1 3 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 309 inst_CLK_000_D2 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 328 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 306 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 312 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 307 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 1 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 0 1 3 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 328 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 307 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 308 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 306 inst_CLK_000_D3 3 -1 3 1 7 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 294 cpu_est_0_ 3 -1 1 4 0 1 3 6 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 328 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 5 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 303 inst_CLK_000_D4 3 -1 1 2 3 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 307 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 12 0 21 - 31 UDS_000 5 322 3 0 31 -1 8 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 304 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 3 2 3 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 314 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 307 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 1 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 13 0 21 - 31 UDS_000 5 322 3 0 31 -1 9 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 304 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 2 3 6 33 -1 3 0 20 - 315 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 6 2 3 7 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 2 3 6 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 307 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 1 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 13 0 21 - 31 UDS_000 5 322 3 0 31 -1 9 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 3 0 21 - 306 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 2 3 6 33 -1 3 0 20 - 312 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 2 3 6 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 315 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 311 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 307 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 305 inst_CLK_000_D3 3 -1 3 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 3 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 31 UDS_000 5 320 3 0 31 -1 9 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 306 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 2 3 6 33 -1 3 0 20 - 315 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 313 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 20 - 311 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 307 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 305 inst_CLK_000_D3 3 -1 6 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 1 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 294 cpu_est_0_ 3 -1 1 4 0 1 3 6 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 328 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 307 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 308 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 12 0 21 - 31 UDS_000 5 323 3 0 31 -1 8 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 28 BG_000 5 325 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 1 3 5 6 7 -1 -1 1 0 21 - 303 inst_CLK_OUT_PRE 3 -1 6 4 0 1 6 7 -1 -1 3 1 21 - 301 inst_CLK_000_D1 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 328 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 314 SM_AMIGA_1_ 3 -1 1 3 0 1 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 6 3 3 5 6 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 1 3 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 7 3 3 5 6 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 4 0 21 - 317 SM_AMIGA_0_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_3_ 3 -1 6 2 5 6 -1 -1 3 0 20 - 329 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 315 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 310 inst_CLK_000_D3 3 -1 7 1 1 -1 -1 1 0 20 - 308 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 5 0 1 5 6 7 10 -1 - 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 314 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 1 3 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 inst_CLK_000_D3 3 -1 1 1 6 -1 -1 1 0 20 - 308 inst_CLK_000_D4 3 -1 6 1 7 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 325 3 0 33 -1 3 0 20 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 4 0 20 - 309 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 325 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 317 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 1 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 309 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 309 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 309 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 3 1 3 6 -1 -1 1 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 314 inst_CLK_000_D4 3 -1 1 1 0 -1 -1 1 0 20 - 311 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 309 inst_CLK_000_D5 3 -1 0 1 7 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 309 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 309 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 309 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 309 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 309 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 31 UDS_000 5 320 3 0 31 -1 9 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 1 4 0 1 3 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 4 0 1 3 6 65 -1 3 0 21 - 305 cpu_est_2_ 3 -1 1 4 0 1 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 4 0 1 3 6 -1 -1 3 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 304 SM_AMIGA_6_ 3 -1 6 3 3 5 6 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 3 1 5 6 -1 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 3 1 5 6 -1 -1 2 0 20 - 310 SM_AMIGA_4_ 3 -1 1 3 1 3 5 -1 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 6 3 5 6 7 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 2 3 6 33 -1 3 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 5 2 3 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 1 6 -1 -1 1 0 20 - 309 inst_CLK_000_D4 3 -1 6 1 7 -1 -1 1 0 21 - 306 CLK_REF_1_ 3 -1 6 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 31 UDS_000 5 320 3 0 31 -1 9 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 1 4 0 1 3 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 4 0 1 3 6 65 -1 3 0 21 - 304 cpu_est_2_ 3 -1 1 4 0 1 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 4 0 1 3 6 -1 -1 3 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 306 SM_AMIGA_6_ 3 -1 6 3 3 5 6 -1 -1 4 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 3 1 5 6 -1 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 3 1 5 6 -1 -1 2 0 20 - 310 SM_AMIGA_4_ 3 -1 1 3 1 3 5 -1 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 6 3 5 6 7 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 2 3 6 33 -1 3 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 5 2 3 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 1 6 -1 -1 1 0 20 - 309 inst_CLK_000_D4 3 -1 6 1 7 -1 -1 1 0 21 - 305 CLK_REF_1_ 3 -1 6 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 1 3 6 7 -1 -1 4 0 21 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 307 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 310 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 1 5 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 1 2 3 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 1 6 -1 -1 1 0 20 - 309 inst_CLK_000_D4 3 -1 6 1 7 -1 -1 1 0 21 - 305 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 12 0 21 - 31 UDS_000 5 319 3 0 31 -1 8 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 3 6 7 -1 -1 4 0 21 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 302 inst_CLK_000_D2 3 -1 6 2 0 3 -1 -1 1 0 21 - 298 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 20 - 320 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 309 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 2 0 21 - 305 CLK_REF_1_ 3 -1 6 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 0 3 7 -1 -1 1 0 20 - 307 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 308 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 316 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 314 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 304 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 12 0 21 - 31 UDS_000 5 322 3 0 31 -1 8 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 324 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 0 3 0 1 5 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 3 5 6 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 3 0 1 5 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 307 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 328 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 2 0 20 - 316 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 313 inst_CLK_000_D4 3 -1 6 1 6 -1 -1 1 0 21 - 310 inst_CLK_000_D3 3 -1 3 1 6 -1 -1 1 0 20 - 306 CLK_REF_1_ 3 -1 7 1 1 -1 -1 1 0 20 - 304 inst_CLK_000_D5 3 -1 6 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 0 3 6 -1 -1 1 0 20 - 307 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 - 305 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 1 2 1 6 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 2 0 20 - 311 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 - 306 CLK_REF_1_ 3 -1 7 2 1 6 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 6 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 305 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 1 3 6 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 20 - 307 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 308 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 1 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 2 0 20 - 312 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 306 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 1 4 0 1 3 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 4 0 1 3 6 65 -1 3 0 21 - 304 cpu_est_2_ 3 -1 1 4 0 1 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 4 0 1 3 6 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 314 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 303 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 1 5 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 3 6 -1 -1 1 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 2 0 20 - 315 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 1 1 -1 -1 1 0 20 - 309 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 305 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 4 1 3 6 7 -1 -1 4 0 21 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 306 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 307 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 310 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 1 5 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 1 2 3 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 1 6 -1 -1 1 0 20 - 309 inst_CLK_000_D4 3 -1 6 1 7 -1 -1 1 0 21 - 305 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 4 0 1 3 7 -1 -1 4 0 21 - 310 inst_CLK_000_D3 3 -1 1 4 0 1 3 6 -1 -1 1 0 20 - 307 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 0 1 3 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 317 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 315 SM_AMIGA_5_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 298 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 - 312 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 309 inst_CLK_000_D4 3 -1 6 1 7 -1 -1 1 0 21 - 305 CLK_REF_1_ 3 -1 3 1 1 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 3 0 20 - 302 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 - 309 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 1 5 -1 -1 2 0 21 - 310 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 2 0 20 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 3 1 1 -1 -1 1 0 20 - 308 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 304 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 7 3 0 5 7 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 6 2 6 7 -1 -1 2 0 20 - 309 SM_AMIGA_4_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 7 -1 -1 2 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 1 1 7 -1 -1 1 0 20 - 310 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 20 - 308 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 20 - 304 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 - 10 CLK_000 1 -1 -1 3 0 5 7 10 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 0 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 12 0 21 - 31 UDS_000 5 321 3 0 31 -1 8 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 28 BG_000 5 323 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 0 3 0 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 7 3 0 5 7 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 4 0 21 - 302 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 7 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 7 -1 -1 2 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 7 1 7 -1 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 1 1 6 -1 -1 1 0 20 - 310 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 20 - 308 inst_CLK_000_D4 3 -1 6 1 7 -1 -1 1 0 21 - 304 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 - 10 CLK_000 1 -1 -1 3 0 5 6 10 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 0 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 12 0 21 - 31 UDS_000 5 320 3 0 31 -1 8 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 7 4 0 3 6 7 -1 -1 4 0 20 - 325 RN_E 3 65 6 4 0 3 6 7 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 1 4 0 1 5 6 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 7 4 0 3 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 4 0 3 6 7 -1 -1 3 0 20 - 309 SM_AMIGA_4_ 3 -1 6 4 0 1 3 6 -1 -1 2 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 315 SM_AMIGA_5_ 3 -1 7 3 0 6 7 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 6 3 0 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 3 0 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 3 1 5 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 3 5 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 20 - 302 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 328 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 0 2 3 7 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 6 1 6 -1 -1 1 0 21 - 310 inst_CLK_000_D2 3 -1 3 1 6 -1 -1 1 0 20 - 308 inst_CLK_000_D4 3 -1 6 1 7 -1 -1 1 0 21 - 304 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 13 0 21 - 31 UDS_000 5 320 3 0 31 -1 9 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 28 BG_000 5 322 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 1 6 0 1 3 5 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 303 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 2 1 3 33 -1 3 0 20 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 302 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 310 inst_CLK_000_D2 3 -1 1 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 315 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 314 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 308 inst_CLK_000_D4 3 -1 1 1 7 -1 -1 1 0 20 - 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 - 304 CLK_REF_1_ 3 -1 6 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 5 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 11 0 21 - 31 UDS_000 5 319 3 0 31 -1 7 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 304 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 303 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_000_D2 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 297 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 6 0 21 - 315 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 5 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 310 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 309 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 11 0 21 - 31 UDS_000 5 319 3 0 31 -1 7 0 21 - 65 E 5 324 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 20 - 28 BG_000 5 321 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 324 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 304 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 303 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_000_D2 3 -1 7 3 3 6 7 -1 -1 1 0 20 - 297 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 308 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 325 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 6 0 21 - 315 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 5 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 20 - 310 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 20 - 309 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 10 0 21 - 31 UDS_000 5 318 3 0 31 -1 6 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 325 3 0 33 -1 3 0 20 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 7 3 0 1 7 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 301 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 300 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 20 - 297 inst_VPA_D 3 -1 7 3 0 1 3 -1 -1 1 0 20 - 324 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 0 2 0 7 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 1 2 1 7 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 6 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 325 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 317 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 305 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 0 1 3 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 6 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -93 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 10 0 21 - 31 UDS_000 5 318 3 0 31 -1 6 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 325 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 28 BG_000 5 320 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 299 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 323 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 311 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 307 SM_AMIGA_1_ 3 -1 7 3 1 6 7 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 301 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 317 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 297 inst_VPA_D 3 -1 7 3 0 3 6 -1 -1 1 0 20 - 312 inst_AS_030_000_SYNC 3 -1 7 2 1 7 -1 -1 7 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 3 7 -1 -1 4 0 21 - 314 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 324 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 325 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 305 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 1 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 10 0 21 - 31 UDS_000 5 321 3 0 31 -1 6 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 28 BG_000 5 323 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 294 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 20 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 1 3 0 3 5 -1 -1 1 0 20 - 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 327 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 311 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 20 - 309 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 20 - 307 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 302 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 10 0 21 - 31 UDS_000 5 320 3 0 31 -1 6 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 28 BG_000 5 322 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 314 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 303 inst_CLK_OUT_PRE 3 -1 6 3 1 6 7 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 7 3 0 1 3 -1 -1 1 0 20 - 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 319 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 305 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 20 - 307 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 3 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 3 0 1 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 10 0 21 - 31 UDS_000 5 322 3 0 31 -1 6 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 316 SM_AMIGA_3_ 3 -1 1 4 0 1 2 5 -1 -1 3 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 307 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 3 0 1 5 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 2 3 0 1 2 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 0 3 2 3 5 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 317 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 315 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 328 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 311 inst_CLK_000_D4 3 -1 7 2 6 7 -1 -1 1 0 20 - 310 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 20 - 303 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 318 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 4 0 20 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 2 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 10 0 21 - 31 UDS_000 5 322 3 0 31 -1 6 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 - 305 SM_AMIGA_6_ 3 -1 7 4 0 3 6 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 7 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 318 SM_AMIGA_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 317 SM_AMIGA_2_ 3 -1 6 2 5 6 -1 -1 3 0 20 - 306 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 303 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 - 328 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 314 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 313 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 311 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 20 - 307 CLK_REF_1_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 3 2 0 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 315 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 20 - 309 inst_CLK_000_D5 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 4 1 5 6 7 10 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 0 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 10 0 21 - 31 UDS_000 5 322 3 0 31 -1 6 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 306 SM_AMIGA_6_ 3 -1 7 4 2 3 6 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 2 3 2 3 7 -1 -1 5 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 316 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 315 SM_AMIGA_1_ 3 -1 1 3 0 1 7 -1 -1 3 0 21 - 307 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 2 3 32 -1 2 0 21 - 311 inst_CLK_000_D4 3 -1 7 3 0 1 7 -1 -1 1 0 20 - 303 inst_CLK_000_D5 3 -1 7 3 0 1 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 2 7 -1 -1 7 0 21 - 318 SM_AMIGA_0_ 3 -1 0 2 0 2 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 328 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 7 2 2 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 7 2 2 7 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 4 0 1 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 10 0 21 - 31 UDS_000 5 321 3 0 31 -1 6 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 28 BG_000 5 323 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 - 315 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 - 307 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 314 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 327 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 306 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 7 2 6 7 -1 -1 1 0 20 - 322 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 323 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 1 3 7 81 -1 - 10 CLK_000 1 -1 -1 4 0 1 6 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 321 3 0 30 -1 10 0 21 - 31 UDS_000 5 320 3 0 31 -1 6 0 21 - 65 E 5 325 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 0 21 - 32 AS_000 5 319 3 0 32 -1 2 0 21 - 28 BG_000 5 322 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_6_ 3 -1 7 4 0 3 6 7 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 7 4 0 1 6 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 3 4 0 1 6 7 -1 -1 1 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 313 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 - 294 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 319 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 7 0 21 - 316 SM_AMIGA_0_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 - 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 307 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 311 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 308 CLK_REF_1_ 3 -1 7 2 6 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 20 - 321 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 - 314 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 - 322 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 0 3 7 -1 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 10 0 21 - 31 UDS_000 5 323 3 0 31 -1 6 0 21 - 65 E 5 328 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_6_ 3 -1 7 4 0 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 295 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 20 - 328 RN_E 3 65 6 3 2 3 6 65 -1 3 0 21 - 317 SM_AMIGA_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 20 - 315 SM_AMIGA_1_ 3 -1 1 3 0 1 7 -1 -1 3 0 21 - 307 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 3 0 20 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 inst_CLK_000_D5 3 -1 6 3 0 1 7 -1 -1 1 0 21 - 303 inst_CLK_000_D6 3 -1 7 3 0 1 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 7 3 2 3 5 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 7 0 21 - 318 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 329 RN_VMA 3 34 3 2 2 3 34 -1 2 0 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 2 2 2 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 3 2 0 7 -1 -1 1 0 20 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 319 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 316 inst_CLK_000_D4 3 -1 7 1 6 -1 -1 1 0 20 - 308 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 10 CLK_000 1 -1 -1 5 0 1 2 5 6 10 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 0 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 10 0 21 - 31 UDS_000 5 322 3 0 31 -1 6 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 306 SM_AMIGA_6_ 3 -1 7 4 2 3 6 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 2 3 2 3 7 -1 -1 5 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 316 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 - 315 SM_AMIGA_1_ 3 -1 1 3 0 1 7 -1 -1 3 0 21 - 307 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 2 3 32 -1 2 0 21 - 311 inst_CLK_000_D4 3 -1 7 3 0 1 7 -1 -1 1 0 20 - 303 inst_CLK_000_D5 3 -1 7 3 0 1 7 -1 -1 1 0 20 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 2 2 7 -1 -1 7 0 21 - 318 SM_AMIGA_0_ 3 -1 0 2 0 2 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 328 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 2 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 7 2 2 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 7 2 2 7 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 4 0 1 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 2 3 7 -1 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 322 3 0 30 -1 10 0 21 - 31 UDS_000 5 321 3 0 31 -1 6 0 21 - 65 E 5 326 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 77 FPU_CS 5 325 7 0 77 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 0 21 - 32 AS_000 5 320 3 0 32 -1 2 0 21 - 28 BG_000 5 323 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 294 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 20 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 - 326 RN_E 3 65 6 3 1 3 6 65 -1 3 0 21 - 307 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 1 20 - 325 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 0 3 1 3 6 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 327 RN_VMA 3 34 3 2 1 3 34 -1 2 0 21 - 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 320 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 322 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 321 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 317 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 312 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 - 310 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 20 - 308 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 303 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 10 0 21 - 31 UDS_000 5 322 3 0 31 -1 6 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 20 - 316 SM_AMIGA_3_ 3 -1 1 4 0 1 2 5 -1 -1 3 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 - 309 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 - 295 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 307 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 6 3 3 6 7 -1 -1 2 0 20 - 299 inst_VPA_SYNC 3 -1 5 3 0 1 5 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 2 3 0 1 2 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 0 3 2 3 5 -1 -1 1 0 20 - 296 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 317 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 315 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 328 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 311 inst_CLK_000_D4 3 -1 7 2 6 7 -1 -1 1 0 20 - 310 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 20 - 303 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 318 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 4 0 20 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 CLK_REF_1_ 3 -1 3 1 6 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 7 0 1 2 3 5 6 7 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 10 CLK_000 1 -1 -1 3 2 5 7 10 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 323 3 0 30 -1 8 0 21 - 31 UDS_000 5 322 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 32 AS_000 5 321 3 0 32 -1 2 0 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 20 - 2 RESET 0 1 0 2 -1 1 0 20 - 300 inst_CLK_000_D0 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 - 315 SM_AMIGA_3_ 3 -1 1 4 0 1 5 6 -1 -1 3 0 21 - 301 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 20 - 327 RN_E 3 65 6 3 3 5 6 65 -1 3 0 21 - 307 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 20 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 20 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 299 inst_VPA_SYNC 3 -1 5 3 1 5 6 -1 -1 2 0 21 - 297 inst_DTACK_SYNC 3 -1 0 3 0 1 6 -1 -1 2 0 21 - 298 inst_VPA_D 3 -1 6 3 0 3 5 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 - 316 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 304 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 - 328 RN_VMA 3 34 3 2 3 5 34 -1 2 0 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 7 2 1 7 -1 -1 1 0 20 - 303 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 20 - 323 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 296 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 318 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 20 - 308 CLK_REF_1_ 3 -1 7 1 6 -1 -1 1 0 20 - 302 inst_CLK_000_D2 3 -1 6 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 - 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 8 0 21 - 31 UDS_000 5 323 3 0 31 -1 5 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 1 4 1 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 319 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 315 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 7 2 1 7 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 3 7 63 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 8 0 21 - 31 UDS_000 5 323 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 1 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 316 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 306 inst_CLK_000_D4 3 -1 7 2 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 7 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 3 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 8 0 21 - 31 UDS_000 5 323 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 1 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 319 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 311 CLK_CNT_N_1_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 306 inst_CLK_000_D4 3 -1 7 2 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 3 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 8 0 21 - 31 UDS_000 5 323 3 0 31 -1 5 0 21 - 65 E 5 327 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 1 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 5 0 21 - 308 inst_CLK_OUT_PRE 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 6 3 3 6 7 -1 -1 2 0 21 - 296 inst_VPA_D 3 -1 1 3 1 3 6 -1 -1 1 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 318 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 319 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 304 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 3 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 306 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D5 3 -1 7 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 317 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 7 2 6 7 -1 -1 1 0 21 - 302 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 294 CLK_OUT_INTreg 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 317 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 7 2 6 7 -1 -1 1 0 21 - 302 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 294 CLK_OUT_INTreg 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 317 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 7 2 6 7 -1 -1 1 0 21 - 302 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 294 CLK_OUT_INTreg 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 318 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 4 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 324 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 1 3 1 6 7 -1 -1 1 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 320 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 321 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 319 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 7 2 1 7 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 - 302 inst_CLK_000_D6 3 -1 6 2 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 317 inst_CLK_000_D4 3 -1 7 1 1 -1 -1 1 0 21 - 294 CLK_OUT_INTreg 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 3 6 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 28 BG_000 5 327 3 0 28 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 320 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 318 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 321 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 319 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 324 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 - 302 inst_CLK_000_D6 3 -1 7 2 1 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 1 0 21 - 317 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 - 314 CLK_CNT_P_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 294 CLK_OUT_INTreg 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 320 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 318 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 321 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 319 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 324 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 - 302 inst_CLK_000_D6 3 -1 7 2 1 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 6 1 6 -1 -1 2 0 21 - 317 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 - 314 CLK_CNT_P_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 294 CLK_OUT_INTreg 3 -1 6 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 318 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 317 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 320 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 311 CLK_CNT_N_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 - 306 inst_CLK_000_D5 3 -1 6 2 6 7 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 316 inst_CLK_000_D4 3 -1 7 1 6 -1 -1 1 0 21 - 313 CLK_CNT_P_1_ 3 -1 7 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 318 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 317 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 320 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 311 CLK_CNT_N_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 - 306 inst_CLK_000_D5 3 -1 6 2 6 7 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 316 inst_CLK_000_D4 3 -1 7 1 6 -1 -1 1 0 21 - 313 CLK_CNT_P_1_ 3 -1 7 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 1 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 327 3 4 0 3 6 7 30 -1 8 0 21 - 31 UDS_000 5 326 3 4 0 3 6 7 31 -1 5 0 21 - 32 AS_000 5 325 3 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 2 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 4 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 293 A0 5 -1 -1 1 3 -1 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 -1 0 33 -1 8 0 21 - 29 DTACK 5 331 3 0 29 -1 4 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 4 0 3 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 320 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 317 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 321 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 319 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 315 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 331 RN_DTACK 3 29 3 1 3 29 -1 4 0 21 - 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 335 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 3 0 21 - 333 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 330 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 325 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 324 RN_AS_030 3 81 7 1 0 81 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 306 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 302 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 -1 0 33 -1 8 0 21 - 294 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 308 SM_AMIGA_0_ 3 -1 -1 0 -1 -1 4 0 21 - 318 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 312 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 -1 0 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_BGACK_030_INT_D 3 -1 -1 0 -1 -1 2 0 21 - 314 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 313 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 4 0 3 6 7 30 -1 8 0 21 - 31 UDS_000 5 325 3 4 0 3 6 7 31 -1 5 0 21 - 32 AS_000 5 324 3 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 2 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 4 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 -1 0 33 -1 8 0 21 - 29 DTACK 5 330 3 0 29 -1 4 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 4 0 3 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 319 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 314 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 330 RN_DTACK 3 29 3 1 3 29 -1 4 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 308 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 329 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 324 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 323 RN_AS_030 3 81 7 1 0 81 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 -1 0 33 -1 8 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 307 SM_AMIGA_0_ 3 -1 -1 0 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 306 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 311 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 0 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 0 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -106 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 328 3 4 0 3 6 7 30 -1 10 0 21 - 31 UDS_000 5 327 3 4 0 3 6 7 31 -1 6 0 21 - 32 AS_000 5 325 3 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 334 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 -1 0 33 -1 7 0 21 - 29 DTACK 5 333 3 0 29 -1 5 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 7 4 0 3 6 7 82 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 319 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 335 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 324 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 320 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 314 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 6 0 21 - 333 RN_DTACK 3 29 3 1 3 29 -1 5 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 308 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 4 0 21 - 339 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 338 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 315 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 3 0 21 - 302 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 3 0 21 - 336 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 334 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 332 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 337 RN_AMIGA_BUS_ENABLE 3 33 -1 0 33 -1 7 0 21 - 317 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 4 0 21 - 306 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 307 SM_AMIGA_0_ 3 -1 -1 0 -1 -1 3 1 21 - 303 SM_AMIGA_6_ 3 -1 -1 0 -1 -1 3 0 21 - 311 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 297 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 327 3 4 0 3 6 7 30 -1 10 0 21 - 31 UDS_000 5 326 3 4 0 3 6 7 31 -1 6 0 21 - 32 AS_000 5 324 3 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 325 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 333 6 1 3 69 -1 2 0 21 - 68 A0 5 328 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 -1 0 33 -1 7 0 21 - 29 DTACK 5 332 3 0 29 -1 5 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 330 RN_BGACK_030 3 82 7 4 0 3 6 7 82 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 334 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 323 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 6 0 21 - 332 RN_DTACK 3 29 3 1 3 29 -1 5 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 4 0 21 - 338 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 337 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 3 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 3 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 333 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 331 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 328 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 336 RN_AMIGA_BUS_ENABLE 3 33 -1 0 33 -1 7 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 4 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 0 -1 -1 3 1 21 - 302 SM_AMIGA_6_ 3 -1 -1 0 -1 -1 3 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 327 3 4 0 3 6 7 30 -1 10 0 21 - 31 UDS_000 5 326 3 4 0 3 6 7 31 -1 6 0 21 - 32 AS_000 5 324 3 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 325 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 333 6 1 3 69 -1 2 0 21 - 68 A0 5 328 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 -1 0 33 -1 7 0 21 - 29 DTACK 5 332 3 0 29 -1 5 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 330 RN_BGACK_030 3 82 7 4 0 3 6 7 82 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 334 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 323 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 6 0 21 - 332 RN_DTACK 3 29 3 1 3 29 -1 5 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 4 0 21 - 338 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 337 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 3 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 3 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 333 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 331 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 328 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 336 RN_AMIGA_BUS_ENABLE 3 33 -1 0 33 -1 7 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 4 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 0 -1 -1 3 1 21 - 302 SM_AMIGA_6_ 3 -1 -1 0 -1 -1 3 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 328 3 4 0 3 6 7 30 -1 8 0 21 - 31 UDS_000 5 327 3 4 0 3 6 7 31 -1 5 0 21 - 32 AS_000 5 325 3 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 -1 0 33 -1 10 0 21 - 29 DTACK 5 335 3 0 29 -1 5 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 7 4 0 3 6 7 82 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 324 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 335 RN_DTACK 3 29 3 1 3 29 -1 5 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 334 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 -1 0 33 -1 10 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 0 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 328 3 4 0 3 6 7 30 -1 8 0 21 - 31 UDS_000 5 327 3 4 0 3 6 7 31 -1 5 0 21 - 32 AS_000 5 325 3 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 321 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 -1 0 33 -1 10 0 21 - 29 DTACK 5 335 3 0 29 -1 5 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 333 RN_BGACK_030 3 82 7 4 0 3 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 324 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 335 RN_DTACK 3 29 3 1 3 29 -1 5 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 334 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 332 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 321 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 -1 0 33 -1 10 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 0 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 328 3 4 0 3 6 7 30 -1 8 0 21 - 31 UDS_000 5 327 3 4 0 3 6 7 31 -1 5 0 21 - 32 AS_000 5 325 -1 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 -1 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 7 0 21 - 29 DTACK 5 335 3 0 29 -1 5 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 -1 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 -1 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 -1 0 64 -1 1 0 21 - 46 CIIN 0 -1 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 -1 0 9 -1 1 0 21 - 2 RESET 0 -1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 -1 4 0 3 6 7 82 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 3 1 3 6 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 324 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 298 inst_CLK_000_D1 3 -1 -1 2 1 6 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 335 RN_DTACK 3 29 3 1 3 29 -1 5 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 334 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_AS_000 3 32 -1 1 3 32 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 0 -1 -1 5 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 0 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 0 -1 -1 3 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 330 RN_BG_000 3 28 -1 0 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 -1 0 80 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 304 inst_CLK_000_D5 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 93 A_21_ 1 -1 -1 0 93 -1 - 92 A_20_ 1 -1 -1 0 92 -1 - 84 A_22_ 1 -1 -1 0 84 -1 - 83 A_23_ 1 -1 -1 0 83 -1 - 20 BG_030 1 -1 -1 0 20 -1 - 18 A_24_ 1 -1 -1 0 18 -1 - 17 A_25_ 1 -1 -1 0 17 -1 - 16 A_26_ 1 -1 -1 0 16 -1 - 15 A_27_ 1 -1 -1 0 15 -1 - 14 A_28_ 1 -1 -1 0 14 -1 - 10 CLK_000 1 -1 -1 0 10 -1 - 5 A_29_ 1 -1 -1 0 5 -1 - 4 A_30_ 1 -1 -1 0 4 -1 - 3 A_31_ 1 -1 -1 0 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 328 3 4 0 3 6 7 30 -1 8 0 21 - 31 UDS_000 5 327 3 4 0 3 6 7 31 -1 5 0 21 - 32 AS_000 5 325 -1 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 -1 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 7 0 21 - 29 DTACK 5 335 3 0 29 -1 5 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 -1 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 -1 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 -1 0 64 -1 1 0 21 - 46 CIIN 0 -1 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 -1 0 9 -1 1 0 21 - 2 RESET 0 -1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 -1 4 0 3 6 7 82 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 3 1 3 6 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 324 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 298 inst_CLK_000_D1 3 -1 -1 2 1 6 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 335 RN_DTACK 3 29 3 1 3 29 -1 5 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 334 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_AS_000 3 32 -1 1 3 32 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 0 -1 -1 5 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 0 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 0 -1 -1 3 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 330 RN_BG_000 3 28 -1 0 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 -1 0 80 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 304 inst_CLK_000_D5 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 93 A_21_ 1 -1 -1 0 93 -1 - 92 A_20_ 1 -1 -1 0 92 -1 - 84 A_22_ 1 -1 -1 0 84 -1 - 83 A_23_ 1 -1 -1 0 83 -1 - 20 BG_030 1 -1 -1 0 20 -1 - 18 A_24_ 1 -1 -1 0 18 -1 - 17 A_25_ 1 -1 -1 0 17 -1 - 16 A_26_ 1 -1 -1 0 16 -1 - 15 A_27_ 1 -1 -1 0 15 -1 - 14 A_28_ 1 -1 -1 0 14 -1 - 10 CLK_000 1 -1 -1 0 10 -1 - 5 A_29_ 1 -1 -1 0 5 -1 - 4 A_30_ 1 -1 -1 0 4 -1 - 3 A_31_ 1 -1 -1 0 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 328 3 4 0 3 6 7 30 -1 8 0 21 - 31 UDS_000 5 327 3 4 0 3 6 7 31 -1 5 0 21 - 32 AS_000 5 325 -1 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 -1 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 7 0 21 - 29 DTACK 5 335 3 0 29 -1 5 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 -1 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 -1 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 -1 0 64 -1 1 0 21 - 46 CIIN 0 -1 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 -1 0 9 -1 1 0 21 - 2 RESET 0 -1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 -1 4 0 3 6 7 82 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 3 1 3 6 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 324 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 298 inst_CLK_000_D1 3 -1 -1 2 1 6 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 335 RN_DTACK 3 29 3 1 3 29 -1 5 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 334 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_AS_000 3 32 -1 1 3 32 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 0 -1 -1 5 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 0 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 0 -1 -1 3 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 330 RN_BG_000 3 28 -1 0 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 -1 0 80 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 304 inst_CLK_000_D5 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 93 A_21_ 1 -1 -1 0 93 -1 - 92 A_20_ 1 -1 -1 0 92 -1 - 84 A_22_ 1 -1 -1 0 84 -1 - 83 A_23_ 1 -1 -1 0 83 -1 - 20 BG_030 1 -1 -1 0 20 -1 - 18 A_24_ 1 -1 -1 0 18 -1 - 17 A_25_ 1 -1 -1 0 17 -1 - 16 A_26_ 1 -1 -1 0 16 -1 - 15 A_27_ 1 -1 -1 0 15 -1 - 14 A_28_ 1 -1 -1 0 14 -1 - 10 CLK_000 1 -1 -1 0 10 -1 - 5 A_29_ 1 -1 -1 0 5 -1 - 4 A_30_ 1 -1 -1 0 4 -1 - 3 A_31_ 1 -1 -1 0 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 328 3 4 0 3 6 7 30 -1 8 0 21 - 31 UDS_000 5 327 3 4 0 3 6 7 31 -1 5 0 21 - 32 AS_000 5 325 -1 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 -1 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 7 0 21 - 29 DTACK 5 335 3 0 29 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 -1 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 -1 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 -1 0 64 -1 1 0 21 - 46 CIIN 0 -1 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 -1 0 9 -1 1 0 21 - 2 RESET 0 -1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 -1 4 0 3 6 7 82 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 3 1 3 6 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 324 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 298 inst_CLK_000_D1 3 -1 -1 2 1 6 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 335 RN_DTACK 3 29 3 1 3 29 -1 6 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 334 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_AS_000 3 32 -1 1 3 32 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 0 -1 -1 5 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 0 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 0 -1 -1 3 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 330 RN_BG_000 3 28 -1 0 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 -1 0 80 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 304 inst_CLK_000_D5 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 79 DSACK_0_ 1 -1 -1 1 3 79 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 93 A_21_ 1 -1 -1 0 93 -1 - 92 A_20_ 1 -1 -1 0 92 -1 - 84 A_22_ 1 -1 -1 0 84 -1 - 83 A_23_ 1 -1 -1 0 83 -1 - 20 BG_030 1 -1 -1 0 20 -1 - 18 A_24_ 1 -1 -1 0 18 -1 - 17 A_25_ 1 -1 -1 0 17 -1 - 16 A_26_ 1 -1 -1 0 16 -1 - 15 A_27_ 1 -1 -1 0 15 -1 - 14 A_28_ 1 -1 -1 0 14 -1 - 10 CLK_000 1 -1 -1 0 10 -1 - 5 A_29_ 1 -1 -1 0 5 -1 - 4 A_30_ 1 -1 -1 0 4 -1 - 3 A_31_ 1 -1 -1 0 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 328 3 4 0 3 6 7 30 -1 8 0 21 - 31 UDS_000 5 327 3 4 0 3 6 7 31 -1 5 0 21 - 32 AS_000 5 325 -1 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 -1 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 7 0 21 - 29 DTACK 5 335 3 0 29 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 -1 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 -1 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 -1 0 64 -1 1 0 21 - 46 CIIN 0 -1 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 -1 0 9 -1 1 0 21 - 2 RESET 0 -1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 -1 4 0 3 6 7 82 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 3 1 3 6 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 324 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 298 inst_CLK_000_D1 3 -1 -1 2 1 6 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 335 RN_DTACK 3 29 3 1 3 29 -1 6 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 334 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_AS_000 3 32 -1 1 3 32 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 0 -1 -1 5 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 0 -1 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 0 -1 -1 3 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 330 RN_BG_000 3 28 -1 0 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 -1 0 80 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 304 inst_CLK_000_D5 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 79 DSACK_0_ 1 -1 -1 1 3 79 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 93 A_21_ 1 -1 -1 0 93 -1 - 92 A_20_ 1 -1 -1 0 92 -1 - 84 A_22_ 1 -1 -1 0 84 -1 - 83 A_23_ 1 -1 -1 0 83 -1 - 20 BG_030 1 -1 -1 0 20 -1 - 18 A_24_ 1 -1 -1 0 18 -1 - 17 A_25_ 1 -1 -1 0 17 -1 - 16 A_26_ 1 -1 -1 0 16 -1 - 15 A_27_ 1 -1 -1 0 15 -1 - 14 A_28_ 1 -1 -1 0 14 -1 - 10 CLK_000 1 -1 -1 0 10 -1 - 5 A_29_ 1 -1 -1 0 5 -1 - 4 A_30_ 1 -1 -1 0 4 -1 - 3 A_31_ 1 -1 -1 0 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 327 3 4 0 3 6 7 30 -1 10 0 21 - 31 UDS_000 5 326 3 4 0 3 6 7 31 -1 6 0 21 - 32 AS_000 5 324 -1 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 325 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 331 6 1 3 69 -1 2 0 21 - 68 A0 5 328 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 29 DTACK 5 333 3 0 29 -1 6 0 21 - 65 E 0 -1 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 -1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 -1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 -1 0 6 -1 3 0 21 - 82 BGACK_030 0 -1 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 -1 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 -1 0 64 -1 1 0 21 - 46 CIIN 0 -1 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 -1 0 9 -1 1 0 21 - 2 RESET 0 -1 0 2 -1 1 0 21 - 330 RN_BGACK_030 3 82 -1 4 0 3 6 7 82 -1 2 0 21 - 300 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 336 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 333 RN_DTACK 3 29 3 1 3 29 -1 6 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 318 cpu_est_1_ 3 -1 -1 1 3 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 4 0 21 - 334 RN_E 3 65 -1 1 3 65 -1 3 1 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 1 3 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 1 3 -1 -1 3 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 3 1 21 - 302 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 3 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 3 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 332 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 331 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 328 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_AS_000 3 32 -1 1 3 32 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 0 -1 -1 6 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 0 -1 -1 4 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 338 RN_IPL_030_0_ 3 7 -1 0 7 -1 3 0 21 - 337 RN_IPL_030_1_ 3 6 -1 0 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 -1 0 8 -1 3 0 21 - 329 RN_BG_000 3 28 -1 0 28 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 4 0 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 79 DSACK_0_ 1 -1 -1 1 3 79 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 93 A_21_ 1 -1 -1 0 93 -1 - 92 A_20_ 1 -1 -1 0 92 -1 - 84 A_22_ 1 -1 -1 0 84 -1 - 83 A_23_ 1 -1 -1 0 83 -1 - 67 IPL_2_ 1 -1 -1 0 67 -1 - 66 IPL_0_ 1 -1 -1 0 66 -1 - 55 IPL_1_ 1 -1 -1 0 55 -1 - 20 BG_030 1 -1 -1 0 20 -1 - 18 A_24_ 1 -1 -1 0 18 -1 - 17 A_25_ 1 -1 -1 0 17 -1 - 16 A_26_ 1 -1 -1 0 16 -1 - 15 A_27_ 1 -1 -1 0 15 -1 - 14 A_28_ 1 -1 -1 0 14 -1 - 10 CLK_000 1 -1 -1 0 10 -1 - 5 A_29_ 1 -1 -1 0 5 -1 - 4 A_30_ 1 -1 -1 0 4 -1 - 3 A_31_ 1 -1 -1 0 3 -1 -104 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 323 7 5 0 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 328 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 327 3 3 0 6 7 31 -1 6 0 21 - 32 AS_000 5 325 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 69 SIZE_0_ 5 324 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 5 337 3 0 33 -1 6 0 21 - 65 E 5 335 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 322 7 0 80 -1 2 0 21 - 77 FPU_CS 5 333 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 336 3 0 34 -1 2 1 21 - 28 BG_000 5 330 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 300 inst_BGACK_030_INT_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 0 4 0 1 6 7 -1 -1 1 0 21 - 313 SM_AMIGA_7_ 3 -1 1 3 1 3 5 -1 -1 6 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 5 3 2 5 7 -1 -1 4 0 21 - 335 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 319 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_4_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 2 3 1 2 3 -1 -1 3 1 21 - 302 SM_AMIGA_6_ 3 -1 5 3 1 3 5 -1 -1 3 0 21 - 301 SM_AMIGA_5_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 1 2 3 32 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 4 3 1 4 6 -1 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 4 3 2 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 7 3 2 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 1 5 -1 -1 8 0 21 - 316 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 305 SM_AMIGA_3_ 3 -1 2 2 0 2 -1 -1 4 0 21 - 323 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 336 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 308 CLK_CNT_N_0_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 2 0 2 -1 -1 2 0 21 - 311 CLK_CNT_P_1_ 3 -1 1 2 4 6 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 2 1 5 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 0 2 1 5 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 337 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 5 1 4 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 35 VPA 1 -1 -1 3 0 2 3 35 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 327 3 4 0 3 6 7 30 -1 10 0 21 - 31 UDS_000 5 326 3 4 0 3 6 7 31 -1 6 0 21 - 32 AS_000 5 324 -1 4 0 3 6 7 32 -1 2 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 325 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 331 6 1 3 69 -1 2 0 21 - 68 A0 5 328 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 29 DTACK 5 333 3 0 29 -1 6 0 21 - 65 E 0 -1 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 -1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 -1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 -1 0 6 -1 3 0 21 - 82 BGACK_030 0 -1 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 -1 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 -1 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 -1 0 64 -1 1 0 21 - 46 CIIN 0 -1 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 -1 0 9 -1 1 0 21 - 2 RESET 0 -1 0 2 -1 1 0 21 - 330 RN_BGACK_030 3 82 -1 4 0 3 6 7 82 -1 2 0 21 - 300 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 336 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 333 RN_DTACK 3 29 3 1 3 29 -1 6 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 318 cpu_est_1_ 3 -1 -1 1 3 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 -1 1 7 -1 -1 4 0 21 - 334 RN_E 3 65 -1 1 3 65 -1 3 1 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 319 cpu_est_2_ 3 -1 -1 1 3 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 -1 1 3 -1 -1 3 0 21 - 314 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 3 1 21 - 302 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 3 0 21 - 301 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 3 0 21 - 335 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 332 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 331 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 328 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_AS_000 3 32 -1 1 3 32 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 313 SM_AMIGA_7_ 3 -1 -1 0 -1 -1 6 0 21 - 316 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 -1 0 -1 -1 4 0 21 - 305 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 338 RN_IPL_030_0_ 3 7 -1 0 7 -1 3 0 21 - 337 RN_IPL_030_1_ 3 6 -1 0 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 -1 0 8 -1 3 0 21 - 329 RN_BG_000 3 28 -1 0 28 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 4 0 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 3 6 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 4 0 3 6 7 13 -1 - 70 RW 1 -1 -1 2 0 3 70 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 79 DSACK_0_ 1 -1 -1 1 3 79 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 93 A_21_ 1 -1 -1 0 93 -1 - 92 A_20_ 1 -1 -1 0 92 -1 - 84 A_22_ 1 -1 -1 0 84 -1 - 83 A_23_ 1 -1 -1 0 83 -1 - 67 IPL_2_ 1 -1 -1 0 67 -1 - 66 IPL_0_ 1 -1 -1 0 66 -1 - 55 IPL_1_ 1 -1 -1 0 55 -1 - 20 BG_030 1 -1 -1 0 20 -1 - 18 A_24_ 1 -1 -1 0 18 -1 - 17 A_25_ 1 -1 -1 0 17 -1 - 16 A_26_ 1 -1 -1 0 16 -1 - 15 A_27_ 1 -1 -1 0 15 -1 - 14 A_28_ 1 -1 -1 0 14 -1 - 10 CLK_000 1 -1 -1 0 10 -1 - 5 A_29_ 1 -1 -1 0 5 -1 - 4 A_30_ 1 -1 -1 0 4 -1 - 3 A_31_ 1 -1 -1 0 3 -1 -104 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 323 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 328 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 327 3 3 0 6 7 31 -1 6 0 21 - 32 AS_000 5 325 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 324 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 337 3 0 33 -1 6 0 21 - 65 E 5 335 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 333 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 336 3 0 34 -1 2 1 21 - 28 BG_000 5 330 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 0 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 302 SM_AMIGA_6_ 3 -1 6 5 0 2 3 5 6 -1 -1 3 0 21 - 313 SM_AMIGA_7_ 3 -1 0 4 0 3 5 6 -1 -1 6 0 21 - 300 inst_BGACK_030_INT_D 3 -1 1 4 0 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 7 4 1 5 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 0 5 6 -1 -1 8 0 21 - 318 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 4 0 21 - 335 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 314 SM_AMIGA_4_ 3 -1 0 3 0 2 3 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 3 1 21 - 301 SM_AMIGA_5_ 3 -1 2 3 0 2 3 -1 -1 3 0 21 - 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 4 3 1 5 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 3 0 5 6 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 5 3 1 5 7 -1 -1 1 0 21 - 316 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 4 2 1 6 -1 -1 4 0 21 - 323 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 336 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 310 CLK_CNT_P_0_ 3 -1 4 2 4 5 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 - 298 inst_CLK_000_D2 3 -1 5 2 0 6 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 337 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 305 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 5 1 4 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 5 1 4 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 2 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 7 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 321 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 4 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 4 3 4 5 7 82 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 2 4 0 2 3 5 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 5 4 1 5 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 309 SM_AMIGA_1_ 3 -1 7 3 2 5 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 inst_CLK_000_D5 3 -1 7 3 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 308 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 323 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 1 2 1 4 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 311 CLK_CNT_N_1_ 3 -1 2 2 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 2 2 4 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 5 2 2 5 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 4 1 7 -1 -1 1 0 21 - 313 CLK_CNT_P_1_ 3 -1 4 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 0 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 7 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 321 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 4 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 4 3 4 5 7 82 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 2 4 0 2 3 5 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 5 4 1 5 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 309 SM_AMIGA_1_ 3 -1 7 3 2 5 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 inst_CLK_000_D5 3 -1 7 3 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 308 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 323 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 1 2 1 4 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 311 CLK_CNT_N_1_ 3 -1 2 2 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 2 2 4 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 5 2 2 5 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 4 1 7 -1 -1 1 0 21 - 313 CLK_CNT_P_1_ 3 -1 4 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 0 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -104 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 325 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 322 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 324 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 337 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 336 3 0 33 -1 7 0 21 - 65 E 5 334 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 332 7 0 82 -1 2 0 21 - 77 FPU_CS 5 333 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 335 3 0 34 -1 2 1 21 - 28 BG_000 5 331 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 4 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 332 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 5 4 0 1 6 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 5 4 0 3 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 334 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 1 3 1 5 7 -1 -1 3 0 21 - 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 0 3 0 2 3 -1 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 6 3 2 4 6 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 4 3 1 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 7 3 1 5 7 -1 -1 1 0 21 - 313 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 5 0 21 - 315 inst_CLK_OUT_PRE 3 -1 2 2 1 6 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 325 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 305 SM_AMIGA_3_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 335 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 326 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 2 2 0 2 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 2 1 2 -1 -1 2 0 21 - 311 CLK_CNT_P_1_ 3 -1 4 2 2 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 0 2 4 5 -1 -1 1 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 336 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 322 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 337 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 5 1 4 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 1 5 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 2 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 8 0 21 - 31 UDS_000 5 323 3 0 31 -1 5 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 308 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 310 CLK_CNT_N_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 - 305 inst_CLK_000_D5 3 -1 6 2 6 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 7 2 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 314 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 4 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 7 1 6 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 7 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 1 3 60 -1 - 85 RST 1 -1 -1 4 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 3 1 3 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 3 3 6 7 13 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 320 3 0 30 -1 8 0 21 - 31 UDS_000 5 319 3 0 31 -1 5 0 21 - 65 E 5 324 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 1 21 - 33 AMIGA_BUS_ENABLE 5 328 3 0 33 -1 2 0 21 - 32 AS_000 5 318 3 0 32 -1 2 0 21 - 28 BG_000 5 321 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_6_ 3 -1 5 4 2 3 5 6 -1 -1 2 0 21 - 307 SM_AMIGA_7_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 314 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 313 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 2 3 2 5 7 -1 -1 3 0 21 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 2 3 6 32 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 2 3 0 2 3 -1 -1 2 0 21 - 306 inst_CLK_000_D5 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE 3 -1 4 3 1 4 6 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 5 6 -1 -1 7 0 21 - 311 SM_AMIGA_0_ 3 -1 2 2 2 6 -1 -1 4 0 21 - 315 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 310 SM_AMIGA_2_ 3 -1 0 2 0 2 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 304 inst_CLK_000_D3 3 -1 5 2 5 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 1 2 5 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 2 0 3 -1 -1 1 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 2 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 6 1 5 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 3 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 30 LDS_000 5 324 3 0 30 -1 8 0 21 - 31 UDS_000 5 323 3 0 31 -1 5 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 2 0 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 6 0 1 2 3 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 2 4 0 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 4 0 2 3 6 65 -1 3 1 21 - 302 SM_AMIGA_6_ 3 -1 2 4 2 3 5 6 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 6 4 1 2 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 319 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_1_ 3 -1 1 3 1 5 7 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 1 2 3 32 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 7 3 0 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 2 0 21 - 305 inst_CLK_000_D5 3 -1 5 3 1 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 3 1 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 0 2 6 7 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 2 2 5 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 2 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 2 0 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 5 1 5 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -104 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 325 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 324 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 337 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 336 3 0 33 -1 7 0 21 - 65 E 5 334 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 3 0 21 - 82 BGACK_030 5 332 7 0 82 -1 2 0 21 - 77 FPU_CS 5 333 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 335 3 0 34 -1 2 1 21 - 28 BG_000 5 331 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 6 8 0 1 2 3 4 5 6 7 -1 -1 1 0 21 - 332 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 5 5 0 1 4 6 7 -1 -1 1 0 21 - 304 inst_CLK_000_D5 3 -1 2 4 0 1 5 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 313 SM_AMIGA_7_ 3 -1 5 3 0 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 334 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 4 3 3 4 6 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 1 3 1 5 7 -1 -1 3 0 21 - 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 1 3 1 2 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 0 3 0 3 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 3 1 3 5 -1 -1 2 0 21 - 300 inst_CLK_000_D6 3 -1 0 3 1 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 0 5 -1 -1 7 0 21 - 315 inst_CLK_OUT_PRE 3 -1 0 2 1 6 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 325 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 SM_AMIGA_2_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 335 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 326 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 311 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 2 0 5 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 0 2 0 5 -1 -1 1 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 336 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 305 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 3 0 21 - 337 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 5 1 2 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 2 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -104 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 323 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 6 0 21 - 32 AS_000 5 324 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 325 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 329 6 1 3 69 -1 2 0 21 - 68 A0 5 328 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 337 3 0 33 -1 6 0 21 - 65 E 5 333 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 334 1 0 6 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 336 3 0 34 -1 2 1 21 - 28 BG_000 5 330 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 300 inst_BGACK_030_INT_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 5 4 1 2 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 1 3 1 5 7 -1 -1 4 0 21 - 333 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 2 3 1 2 5 -1 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 0 3 1 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 5 3 1 5 7 -1 -1 1 0 21 - 313 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 6 0 21 - 316 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 305 SM_AMIGA_3_ 3 -1 0 2 0 2 -1 -1 4 0 21 - 323 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 3 1 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 3 0 21 - 336 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 4 2 1 4 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 2 2 0 2 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 2 2 0 2 -1 -1 2 0 21 - 311 CLK_CNT_P_1_ 3 -1 4 2 1 4 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 5 2 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 2 4 5 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 4 5 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 8 0 21 - 337 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 328 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 4 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 2 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -104 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 323 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 6 0 21 - 32 AS_000 5 324 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 325 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 329 6 1 3 69 -1 2 0 21 - 68 A0 5 328 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 337 3 0 33 -1 6 0 21 - 65 E 5 333 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 334 1 0 6 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 336 3 0 34 -1 2 1 21 - 28 BG_000 5 330 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 300 inst_BGACK_030_INT_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 5 4 1 2 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 1 3 1 5 7 -1 -1 4 0 21 - 333 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 3 0 21 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 2 3 1 2 5 -1 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 0 3 1 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 5 3 1 5 7 -1 -1 1 0 21 - 313 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 6 0 21 - 316 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 305 SM_AMIGA_3_ 3 -1 0 2 0 2 -1 -1 4 0 21 - 323 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 3 1 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 3 0 21 - 336 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 4 2 1 4 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 2 2 0 2 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 2 2 0 2 -1 -1 2 0 21 - 311 CLK_CNT_P_1_ 3 -1 4 2 1 4 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 5 2 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 2 4 5 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 4 5 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 8 0 21 - 337 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 328 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 4 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 2 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 324 3 0 30 -1 10 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 6 0 21 - 31 UDS_000 5 323 3 0 31 -1 6 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 321 7 0 80 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 0 4 1 4 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 2 3 7 -1 -1 6 0 21 - 308 SM_AMIGA_0_ 3 -1 5 3 2 3 5 -1 -1 3 1 21 - 304 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 3 0 21 - 302 SM_AMIGA_6_ 3 -1 2 3 2 3 7 -1 -1 3 0 21 - 301 SM_AMIGA_5_ 3 -1 2 3 1 2 3 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 2 3 5 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 0 3 0 4 5 -1 -1 2 0 21 - 305 inst_CLK_000_D5 3 -1 2 3 2 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 2 7 -1 -1 8 0 21 - 318 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 5 2 1 6 -1 -1 4 0 21 - 315 SM_AMIGA_2_ 3 -1 0 2 0 5 -1 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 4 0 21 - 307 SM_AMIGA_3_ 3 -1 0 2 0 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 295 inst_VPA_SYNC 3 -1 6 2 0 6 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 4 2 0 5 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 2 2 4 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 2 2 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 4 2 0 2 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 5 1 5 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 314 inst_CLK_000_D4 3 -1 4 1 2 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 5 1 5 -1 -1 1 0 21 - 300 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 4 7 13 -1 - 35 VPA 1 -1 -1 3 0 3 6 35 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 63 CLK_030 1 -1 -1 1 7 63 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 324 3 0 30 -1 8 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 7 0 21 - 31 UDS_000 5 323 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 321 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 4 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 4 3 4 5 7 82 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 2 4 2 3 5 6 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 308 SM_AMIGA_0_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 5 3 5 6 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 2 3 6 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 0 3 0 1 2 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 2 3 0 2 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 6 3 2 3 6 -1 -1 2 0 21 - 305 inst_CLK_000_D5 3 -1 5 3 5 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 0 2 0 5 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 310 CLK_CNT_N_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 2 2 0 1 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 2 2 4 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 2 4 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 4 1 5 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 2 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 2 3 4 5 7 13 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 0 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 320 7 0 80 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 317 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_0_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 316 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_1_ 3 -1 7 3 5 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 2 3 6 32 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 6 3 2 4 6 -1 -1 2 0 21 - 301 SM_AMIGA_6_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 2 3 0 2 3 -1 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 4 3 5 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 5 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 5 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 315 inst_CLK_OUT_PRE 3 -1 2 2 1 6 -1 -1 4 0 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 309 CLK_CNT_N_0_ 3 -1 2 2 2 4 -1 -1 2 0 21 - 303 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 4 2 2 6 -1 -1 1 0 21 - 302 inst_CLK_000_D3 3 -1 5 2 2 5 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 2 5 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 306 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 314 inst_CLK_000_D4 3 -1 5 1 4 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 2 3 4 5 7 13 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 0 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 322 7 0 80 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 317 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_0_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 316 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_1_ 3 -1 7 3 5 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 2 3 6 32 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 6 3 2 4 6 -1 -1 2 0 21 - 301 SM_AMIGA_6_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 2 3 0 2 3 -1 -1 2 0 21 - 304 inst_CLK_000_D5 3 -1 4 3 5 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 5 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 5 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 315 inst_CLK_OUT_PRE 3 -1 2 2 1 6 -1 -1 4 0 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 309 CLK_CNT_N_0_ 3 -1 2 2 2 4 -1 -1 2 0 21 - 303 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 4 2 2 6 -1 -1 1 0 21 - 302 inst_CLK_000_D3 3 -1 5 2 2 5 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 2 5 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 306 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 314 inst_CLK_000_D4 3 -1 5 1 4 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 35 VPA 1 -1 -1 2 0 3 35 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 323 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 4 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_1_ 3 -1 6 4 2 5 6 7 -1 -1 3 0 21 - 305 inst_CLK_000_D5 3 -1 7 4 2 5 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 4 2 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 4 1 5 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 3 1 4 6 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 3 1 3 5 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 4 2 1 6 -1 -1 4 0 21 - 308 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 6 2 1 4 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 0 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 2 1 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 2 1 2 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 5 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 7 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 322 7 0 80 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 5 5 0 1 2 6 7 -1 -1 1 0 21 - 318 cpu_est_0_ 3 -1 0 4 0 2 3 6 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 6 4 0 5 6 7 -1 -1 3 0 21 - 306 inst_CLK_000_D5 3 -1 6 4 0 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 5 4 0 5 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 0 3 0 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 3 1 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 1 3 1 5 6 -1 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 0 3 0 3 5 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 0 5 -1 -1 7 0 21 - 317 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 309 SM_AMIGA_0_ 3 -1 0 2 0 3 -1 -1 4 0 21 - 315 SM_AMIGA_2_ 3 -1 2 2 2 6 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 323 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 2 1 2 -1 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 5 2 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 inst_CLK_000_D4 3 -1 0 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 7 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 5 7 13 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 9 0 21 - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 322 7 0 80 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_1_ 3 -1 6 5 0 3 5 6 7 -1 -1 3 0 21 - 299 inst_CLK_000_D1 3 -1 5 5 0 1 2 6 7 -1 -1 1 0 21 - 318 cpu_est_0_ 3 -1 0 4 0 2 3 6 -1 -1 3 0 21 - 308 inst_CLK_000_D5 3 -1 6 4 0 5 6 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 5 4 0 5 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 3 1 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 327 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 1 3 1 5 6 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 0 3 0 3 5 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 0 5 -1 -1 7 0 21 - 317 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 0 2 0 3 -1 -1 4 0 21 - 315 SM_AMIGA_2_ 3 -1 2 2 2 6 -1 -1 3 0 21 - 310 SM_AMIGA_3_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 323 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 4 2 4 6 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 2 1 2 -1 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 5 2 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 316 inst_CLK_000_D4 3 -1 0 1 6 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 7 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 5 7 13 -1 - 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 323 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 2 0 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 4 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_1_ 3 -1 6 4 2 5 6 7 -1 -1 3 0 21 - 305 inst_CLK_000_D5 3 -1 7 4 2 5 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 4 2 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 4 1 5 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 1 3 1 4 6 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 3 1 3 5 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 4 2 1 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 6 2 1 4 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 0 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 314 SM_AMIGA_0_ 3 -1 2 1 2 -1 -1 4 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 2 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 2 1 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 2 1 2 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 5 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 322 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 2 0 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 inst_CLK_000_D0 3 -1 4 6 0 1 2 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 5 5 1 3 5 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_1_ 3 -1 6 4 2 5 6 7 -1 -1 3 0 21 - 305 inst_CLK_000_D5 3 -1 7 4 2 5 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 5 4 2 5 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 1 3 1 4 6 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 5 3 1 3 5 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 4 2 1 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 0 21 - 324 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 6 2 1 4 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 5 2 2 3 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 0 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 314 SM_AMIGA_0_ 3 -1 2 1 2 -1 -1 4 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 2 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 2 1 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 2 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 323 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 2 0 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 4 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 5 1 3 5 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_1_ 3 -1 6 4 2 5 6 7 -1 -1 3 0 21 - 305 inst_CLK_000_D5 3 -1 7 4 2 5 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 4 2 5 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 1 3 1 4 6 -1 -1 2 0 21 - 304 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 3 1 3 5 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 4 2 1 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 6 2 1 4 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 5 2 2 3 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 0 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 314 SM_AMIGA_0_ 3 -1 2 1 2 -1 -1 4 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 307 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 2 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 2 1 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 2 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 321 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 inst_CLK_000_D0 3 -1 4 6 0 1 2 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 5 5 1 3 5 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_1_ 3 -1 6 4 2 5 6 7 -1 -1 3 0 21 - 306 inst_CLK_000_D5 3 -1 7 4 2 5 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 5 4 2 5 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 3 1 4 6 -1 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 5 3 1 3 5 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 4 2 1 6 -1 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 6 2 1 4 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 5 2 2 3 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 0 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 2 1 7 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 2 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 321 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 32 AS_000 5 324 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 inst_CLK_000_D0 3 -1 4 6 0 1 2 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 5 5 1 3 5 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_1_ 3 -1 6 4 2 5 6 7 -1 -1 3 0 21 - 306 inst_CLK_000_D4 3 -1 2 4 2 5 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 7 4 2 5 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 3 1 4 6 -1 -1 2 0 21 - 305 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 5 3 1 3 5 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 4 2 1 6 -1 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 6 2 1 4 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 5 2 2 3 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 0 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 315 inst_CLK_000_D5 3 -1 5 1 7 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 2 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 326 3 0 30 -1 8 0 21 - 31 UDS_000 5 325 3 0 31 -1 5 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 324 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 321 7 0 80 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 32 AS_000 5 322 3 0 32 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 inst_CLK_000_D0 3 -1 4 6 0 1 2 5 6 7 -1 -1 1 0 21 - 302 SM_AMIGA_1_ 3 -1 6 5 2 3 5 6 7 -1 -1 3 0 21 - 297 inst_CLK_000_D1 3 -1 5 5 1 3 5 6 7 -1 -1 1 0 21 - 307 inst_CLK_000_D5 3 -1 7 4 2 5 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 5 4 2 5 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 328 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 3 1 4 6 -1 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 5 3 1 3 5 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 4 2 1 6 -1 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 6 2 1 4 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 5 2 2 3 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 0 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 315 inst_CLK_000_D4 3 -1 2 1 7 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 2 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 2 3 5 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 325 3 0 30 -1 8 0 21 - 31 UDS_000 5 324 3 0 31 -1 5 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 4 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 322 7 0 80 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 32 AS_000 5 323 3 0 32 -1 2 0 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 301 inst_CLK_000_D0 3 -1 2 6 0 1 2 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 5 5 1 2 3 6 7 -1 -1 1 0 21 - 318 cpu_est_1_ 3 -1 6 4 0 2 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 4 0 2 3 6 65 -1 3 1 21 - 302 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 5 4 2 3 5 6 -1 -1 2 0 21 - 308 SM_AMIGA_7_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 303 SM_AMIGA_0_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 329 RN_BGACK_030 3 82 7 3 3 4 7 82 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 2 3 6 32 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 2 3 0 2 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 6 3 2 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 3 3 5 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 5 6 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 0 2 0 5 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 312 CLK_CNT_P_0_ 3 -1 4 2 1 4 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 2 1 4 -1 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 4 2 1 4 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 5 2 5 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 6 2 0 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 1 0 -1 -1 2 0 21 - 320 DSACK_0__0 3 -1 7 1 7 -1 -1 1 0 21 - 315 inst_CLK_000_D4 3 -1 5 1 6 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 4 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 - 13 nEXP_SPACE 1 -1 -1 4 3 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 5 7 63 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A0 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -106 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 326 7 4 1 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 331 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 329 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 327 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 328 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 323 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 325 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 333 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 339 3 0 33 -1 9 0 21 - 65 E 5 337 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 324 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 335 7 0 82 -1 2 0 21 - 77 FPU_CS 5 336 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 338 3 0 34 -1 2 1 21 - 28 BG_000 5 334 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 335 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 302 inst_CLK_000_D0 3 -1 4 6 0 1 2 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 3 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 5 4 0 2 3 5 -1 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 5 4 0 3 6 7 -1 -1 1 0 21 - 314 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 337 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 336 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 0 3 0 2 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 0 3 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 7 3 2 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 5 3 2 3 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 0 2 1 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 4 0 21 - 326 RN_AS_030 3 81 7 2 0 7 81 -1 3 0 21 - 320 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 317 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 338 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 327 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 1 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 1 2 1 2 -1 -1 2 0 21 - 310 CLK_CNT_N_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 5 2 2 5 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 4 2 1 3 -1 -1 1 0 21 - 339 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 331 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 329 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 328 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 323 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 334 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 333 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 321 DSACK_0__0 3 -1 5 1 7 -1 -1 1 0 21 - 313 inst_CLK_000_D4 3 -1 5 1 0 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 2 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -106 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 326 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 330 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 329 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 327 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 328 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 322 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 325 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 324 6 1 3 69 -1 2 0 21 - 68 A0 5 331 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 339 3 0 33 -1 9 0 21 - 65 E 5 337 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 - 82 BGACK_030 5 334 7 0 82 -1 2 0 21 - 77 FPU_CS 5 336 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 338 3 0 34 -1 2 1 21 - 28 BG_000 5 332 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 334 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 302 inst_CLK_000_D0 3 -1 4 6 0 1 2 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 5 1 2 3 6 7 -1 -1 1 0 21 - 326 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 1 4 1 2 3 5 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 4 0 1 3 5 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 1 4 0 3 6 7 -1 -1 1 0 21 - 314 SM_AMIGA_7_ 3 -1 5 3 1 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 337 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 - 336 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 4 3 1 4 6 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 2 3 1 2 4 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 2 3 0 2 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 5 3 0 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 7 3 0 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 1 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 0 2 0 2 -1 -1 3 0 21 - 338 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 327 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 2 0 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 2 0 2 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 6 2 1 4 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 4 2 1 2 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 0 2 1 5 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 339 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 330 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 329 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 328 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 322 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 317 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 3 0 21 - 332 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 331 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 324 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 321 DSACK_0__0 3 -1 5 1 7 -1 -1 1 0 21 - 313 inst_CLK_000_D4 3 -1 5 1 5 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 325 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 324 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 338 3 0 33 -1 9 0 21 - 65 E 5 336 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 334 7 0 82 -1 2 0 21 - 77 FPU_CS 5 335 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 337 3 0 34 -1 2 1 21 - 28 BG_000 5 332 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 334 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 302 inst_CLK_000_D0 3 -1 0 6 0 1 2 5 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 5 4 1 2 3 5 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 5 4 0 3 6 7 -1 -1 1 0 21 - 313 SM_AMIGA_7_ 3 -1 1 3 1 3 5 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 2 3 1 2 3 -1 -1 4 0 21 - 336 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 317 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 335 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 1 2 3 32 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 2 3 0 2 3 -1 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 6 3 1 3 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 1 5 -1 -1 7 0 21 - 315 inst_CLK_OUT_PRE 3 -1 4 2 1 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 SM_AMIGA_2_ 3 -1 0 2 0 2 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 0 2 0 2 -1 -1 3 0 21 - 337 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 314 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 4 2 4 5 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 2 0 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 2 0 2 -1 -1 2 0 21 - 306 inst_CLK_000_D3 3 -1 5 2 1 5 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 332 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 4 1 4 -1 -1 2 0 21 - 320 DSACK_0__0 3 -1 7 1 7 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 4 1 4 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 5 1 4 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -106 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 325 7 5 2 3 4 5 7 81 -1 3 0 21 - 30 LDS_000 5 331 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 329 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 322 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 324 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 339 6 1 3 69 -1 2 0 21 - 68 A0 5 332 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 338 3 0 33 -1 9 0 21 - 65 E 5 336 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 334 7 0 82 -1 2 0 21 - 77 FPU_CS 5 335 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 337 3 0 34 -1 2 1 21 - 28 BG_000 5 333 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 334 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 302 inst_CLK_000_D0 3 -1 1 6 0 1 2 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_1_ 3 -1 6 5 0 3 5 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 2 3 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 294 inst_BGACK_030_INT_D 3 -1 5 4 0 3 6 7 -1 -1 1 0 21 - 314 SM_AMIGA_7_ 3 -1 0 3 0 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 - 335 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 0 3 0 2 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 3 0 3 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 0 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 2 2 2 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 0 2 0 3 -1 -1 3 0 21 - 337 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 326 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 4 2 1 4 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 4 2 1 4 -1 -1 1 0 21 - 307 inst_CLK_000_D5 3 -1 5 2 5 7 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 0 2 0 5 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 2 5 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 331 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 329 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 322 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 3 0 21 - 339 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 333 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 332 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 321 DSACK_0__0 3 -1 4 1 7 -1 -1 1 0 21 - 313 inst_CLK_000_D4 3 -1 5 1 5 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -103 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 324 7 5 2 3 4 5 7 81 -1 3 0 21 - 30 LDS_000 5 328 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 327 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 325 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 319 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 336 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 335 3 0 33 -1 9 0 21 - 65 E 5 333 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 323 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 322 1 0 6 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 334 3 0 34 -1 2 1 21 - 28 BG_000 5 330 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 5 5 1 3 4 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 0 5 1 2 5 6 7 -1 -1 1 0 21 - 311 SM_AMIGA_7_ 3 -1 1 4 1 2 3 5 -1 -1 5 0 21 - 324 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 2 4 1 2 3 5 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 5 4 1 3 5 7 -1 -1 2 0 21 - 300 inst_CLK_000_D2 3 -1 4 4 1 2 3 4 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 1 2 5 -1 -1 7 0 21 - 316 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 333 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 313 inst_CLK_OUT_PRE 3 -1 0 2 1 6 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 303 SM_AMIGA_0_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 334 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 325 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 309 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_000_D3 3 -1 4 2 1 2 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 5 2 2 3 -1 -1 1 0 21 - 335 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 306 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 3 0 21 - 336 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 318 DSACK_0__0 3 -1 4 1 7 -1 -1 1 0 21 - 310 CLK_CNT_P_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 308 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 324 7 4 1 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 330 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 329 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 325 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 338 6 1 3 69 -1 2 0 21 - 68 A0 5 331 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 337 3 0 33 -1 9 0 21 - 65 E 5 335 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 333 7 0 82 -1 2 0 21 - 77 FPU_CS 5 334 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 336 3 0 34 -1 2 1 21 - 28 BG_000 5 332 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 inst_CLK_000_D0 3 -1 4 6 0 1 2 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 21 - 333 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 324 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 318 cpu_est_0_ 3 -1 0 4 0 1 3 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 5 4 0 2 3 5 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 4 0 3 6 7 -1 -1 1 0 21 - 314 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 335 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 334 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 0 3 0 2 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 6 3 0 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 5 3 2 3 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 0 2 1 6 -1 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 3 0 21 - 336 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 325 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 2 2 2 3 -1 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 1 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 1 2 1 2 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 5 2 2 5 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 0 2 5 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 337 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 330 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 329 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 338 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 332 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 331 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 5 1 6 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 5 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 325 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 324 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 338 3 0 33 -1 9 0 21 - 65 E 5 336 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 334 7 0 82 -1 2 0 21 - 77 FPU_CS 5 335 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 337 3 0 34 -1 2 1 21 - 28 BG_000 5 333 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 inst_CLK_000_D0 3 -1 5 6 0 1 2 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_1_ 3 -1 0 5 0 2 3 5 7 -1 -1 3 0 21 - 334 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 3 4 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 307 inst_CLK_000_D5 3 -1 4 4 0 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 4 0 2 5 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 0 4 0 3 6 7 -1 -1 1 0 21 - 314 SM_AMIGA_7_ 3 -1 1 3 1 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 2 3 1 2 3 -1 -1 4 0 21 - 336 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 318 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 335 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 1 2 3 32 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 4 3 0 4 6 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 1 3 1 3 5 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 4 3 0 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 1 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 0 2 1 6 -1 -1 4 0 21 - 320 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 317 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 3 0 21 - 337 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 311 CLK_CNT_P_0_ 3 -1 5 2 0 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 5 2 0 5 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 6 2 0 4 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 0 2 0 1 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 3 0 21 - 333 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 0 1 4 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 81 AS_030 5 325 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 69 SIZE_0_ 5 323 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 9 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 324 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 332 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 3 0 6 7 81 -1 3 0 21 - 319 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 314 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 335 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 326 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 323 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 317 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 311 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 81 AS_030 5 325 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 69 SIZE_0_ 5 323 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 9 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 324 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 332 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 3 0 6 7 81 -1 3 0 21 - 319 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 314 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 335 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 326 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 323 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 317 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 311 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 325 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 324 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 323 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 338 3 0 33 -1 9 0 21 - 65 E 5 336 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 - 82 BGACK_030 5 332 7 0 82 -1 2 0 21 - 77 FPU_CS 5 335 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 337 3 0 34 -1 2 1 21 - 28 BG_000 5 331 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 inst_CLK_000_D0 3 -1 5 6 0 1 2 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_1_ 3 -1 0 5 0 2 3 5 7 -1 -1 3 0 21 - 332 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 3 4 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 307 inst_CLK_000_D5 3 -1 4 4 0 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 4 0 2 5 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 0 4 0 3 6 7 -1 -1 1 0 21 - 314 SM_AMIGA_7_ 3 -1 1 3 1 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 2 3 1 2 3 -1 -1 4 0 21 - 336 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 318 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 335 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 1 2 3 32 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 4 3 0 4 6 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 1 3 1 3 5 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 4 3 0 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 1 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 0 2 1 6 -1 -1 4 0 21 - 320 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 317 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 3 0 21 - 337 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 311 CLK_CNT_P_0_ 3 -1 5 2 0 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 5 2 0 5 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 6 2 0 4 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 0 2 0 1 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 3 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 323 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 0 1 4 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 325 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 324 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 323 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 338 3 0 33 -1 9 0 21 - 65 E 5 336 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 - 82 BGACK_030 5 332 7 0 82 -1 2 0 21 - 77 FPU_CS 5 335 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 337 3 0 34 -1 2 1 21 - 28 BG_000 5 331 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 inst_CLK_000_D0 3 -1 5 6 0 1 2 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_1_ 3 -1 0 5 0 2 3 5 7 -1 -1 3 0 21 - 332 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 3 4 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 307 inst_CLK_000_D5 3 -1 4 4 0 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 4 0 2 5 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 0 4 0 3 6 7 -1 -1 1 0 21 - 314 SM_AMIGA_7_ 3 -1 1 3 1 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 2 3 1 2 3 -1 -1 4 0 21 - 336 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 318 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 335 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 1 2 3 32 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 4 3 0 4 6 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 1 3 1 3 5 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 4 3 0 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 1 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 0 2 1 6 -1 -1 4 0 21 - 320 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 317 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 3 0 21 - 337 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 311 CLK_CNT_P_0_ 3 -1 5 2 0 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 5 2 0 5 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 6 2 0 4 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 0 2 0 1 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 3 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 323 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 0 1 4 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 81 AS_030 5 325 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 69 SIZE_0_ 5 324 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 9 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 323 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 332 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 3 0 6 7 81 -1 3 0 21 - 319 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 314 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 335 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 326 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 324 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 317 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 311 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 81 AS_030 5 325 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 69 SIZE_0_ 5 324 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 9 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 323 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 332 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 3 0 6 7 81 -1 3 0 21 - 319 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 314 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 335 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 326 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 324 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 317 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 311 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 81 AS_030 5 325 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 69 SIZE_0_ 5 324 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 9 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 323 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 332 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 3 0 6 7 81 -1 3 0 21 - 319 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 314 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 335 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 326 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 324 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 317 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 311 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 81 AS_030 5 325 7 2 3 7 81 -1 3 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 69 SIZE_0_ 5 324 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 9 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 323 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 332 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0a 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 4 0 3 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 3 0 6 7 81 -1 3 0 21 - 319 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 -1 2 1 6 -1 -1 4 0 21 - 336 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 320 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 318 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 314 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 337 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 335 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 331 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 326 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 324 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D5 3 -1 -1 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 -1 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 7 0 21 - 317 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 3 0 21 - 311 CLK_CNT_P_0_ 3 -1 -1 0 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 -1 0 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 -1 0 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_CNT_P_1_ 3 -1 -1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 -1 0 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 325 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 329 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 328 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 326 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 327 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 324 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 330 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 338 3 0 33 -1 9 0 21 - 65 E 5 336 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 323 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 334 7 0 82 -1 2 0 21 - 77 FPU_CS 5 335 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 337 3 0 34 -1 2 1 21 - 28 BG_000 5 333 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 inst_CLK_000_D0 3 -1 5 6 0 1 2 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_1_ 3 -1 0 5 0 2 3 5 7 -1 -1 3 0 21 - 334 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 3 4 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 307 inst_CLK_000_D5 3 -1 4 4 0 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 4 0 2 5 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 0 4 0 3 6 7 -1 -1 1 0 21 - 314 SM_AMIGA_7_ 3 -1 1 3 1 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 2 3 1 2 3 -1 -1 4 0 21 - 336 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 318 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 335 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 326 RN_AS_000 3 32 3 3 1 2 3 32 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 309 CLK_CNT_N_0_ 3 -1 4 3 0 4 6 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 1 3 1 3 5 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 4 3 0 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 1 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 0 2 1 6 -1 -1 4 0 21 - 320 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 317 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 3 0 21 - 337 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 311 CLK_CNT_P_0_ 3 -1 5 2 0 5 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 312 CLK_CNT_P_1_ 3 -1 5 2 0 5 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 6 2 0 4 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 0 2 0 1 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 338 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 329 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 328 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 327 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 308 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 3 0 21 - 333 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 330 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 0 1 4 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 325 7 4 1 2 3 7 81 -1 3 0 21 - 30 LDS_000 5 330 3 3 0 6 7 30 -1 8 0 21 - 31 UDS_000 5 329 3 3 0 6 7 31 -1 5 0 21 - 32 AS_000 5 327 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 328 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 338 6 1 3 69 -1 2 0 21 - 68 A0 5 331 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 337 3 0 33 -1 9 0 21 - 65 E 5 335 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 3 0 21 - 82 BGACK_030 5 333 7 0 82 -1 2 0 21 - 77 FPU_CS 5 334 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 336 3 0 34 -1 2 0 21 - 28 BG_000 5 332 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 4 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 333 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 7 5 1 4 5 6 7 -1 -1 1 0 21 - 325 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 3 0 21 - 306 SM_AMIGA_6_ 3 -1 5 4 0 2 3 5 -1 -1 2 0 21 - 294 inst_BGACK_030_INT_D 3 -1 0 4 0 3 6 7 -1 -1 1 0 21 - 302 SM_AMIGA_7_ 3 -1 5 3 2 3 5 -1 -1 5 0 21 - 319 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 318 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 334 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 0 3 0 3 5 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 6 3 0 4 6 -1 -1 2 0 21 - 308 inst_CLK_000_D5 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 301 inst_CLK_000_D6 3 -1 2 3 2 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 - 316 inst_CLK_OUT_PRE 3 -1 0 2 1 6 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 335 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 317 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 5 2 1 5 -1 -1 3 0 21 - 336 RN_VMA 3 34 3 2 1 3 34 -1 2 0 21 - 327 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 296 inst_VPA_SYNC 3 -1 1 2 1 5 -1 -1 2 0 21 - 295 inst_DTACK_SYNC 3 -1 1 2 1 5 -1 -1 2 0 21 - 311 CLK_CNT_N_1_ 3 -1 4 2 0 6 -1 -1 1 0 21 - 307 inst_CLK_000_D3 3 -1 2 2 4 5 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 4 2 2 5 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 337 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 9 0 21 - 330 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 - 329 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 - 328 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 320 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 338 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 332 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 331 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 inst_CLK_000_D4 3 -1 4 1 5 -1 -1 1 0 21 - 313 CLK_CNT_P_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 2 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 324 7 4 2 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 328 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 327 3 3 0 6 7 31 -1 6 0 21 - 32 AS_000 5 325 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 332 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 337 3 0 33 -1 6 0 21 - 34 VMA 5 335 3 0 34 -1 4 0 21 - 65 E 5 334 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 338 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 336 1 0 6 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 333 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 330 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_1_ 3 -1 2 5 1 2 3 5 7 -1 -1 4 0 21 - 324 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 308 inst_CLK_000_D5 3 -1 4 4 1 2 5 7 -1 -1 1 0 21 - 301 inst_BGACK_030_INT_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 4 1 2 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 4 1 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_7_ 3 -1 0 3 0 3 5 -1 -1 6 0 21 - 319 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 0 3 0 3 5 -1 -1 3 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 3 1 21 - 302 SM_AMIGA_5_ 3 -1 0 3 0 2 3 -1 -1 3 0 21 - 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 4 3 1 4 5 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 0 3 0 1 4 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 0 5 -1 -1 7 0 21 - 335 RN_VMA 3 34 3 2 2 3 34 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 334 RN_E 3 65 6 2 2 6 65 -1 3 1 21 - 318 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_4_ 3 -1 2 2 2 3 -1 -1 3 0 21 - 313 CLK_CNT_P_1_ 3 -1 5 2 1 4 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 4 2 0 1 -1 -1 1 0 21 - 307 inst_CLK_000_D3 3 -1 0 2 0 5 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 337 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 317 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 4 0 21 - 309 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 338 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 336 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 320 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 332 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 2 1 2 -1 -1 2 0 21 - 314 inst_CLK_000_D4 3 -1 5 1 4 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 5 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 321 7 4 1 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 6 0 21 - 32 AS_000 5 323 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 324 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 318 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 327 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 335 3 0 33 -1 6 0 21 - 34 VMA 5 334 3 0 34 -1 4 0 21 - 65 E 5 333 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 0 8 0 1 2 3 4 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 4 5 0 1 4 6 7 -1 -1 1 0 21 - 316 cpu_est_1_ 3 -1 0 4 0 1 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 2 4 2 3 5 6 -1 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 5 4 0 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 333 RN_E 3 65 6 3 0 1 6 65 -1 3 1 21 - 315 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_4_ 3 -1 0 3 0 2 3 -1 -1 3 0 21 - 304 SM_AMIGA_5_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 5 3 1 5 6 -1 -1 2 0 21 - 310 inst_CLK_000_D5 3 -1 6 3 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 334 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 2 2 1 2 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 0 2 0 6 -1 -1 3 1 21 - 307 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 3 1 21 - 323 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 1 2 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 1 2 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 6 2 2 4 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 4 2 2 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 4 2 1 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 335 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 327 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 4 1 6 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 5 1 5 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 321 7 4 1 3 5 7 81 -1 3 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 32 AS_000 5 322 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 323 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 320 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 6 0 21 - 34 VMA 5 333 3 0 34 -1 4 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 4 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 4 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 2 4 0 2 3 5 -1 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 5 4 0 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 2 4 1 4 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 4 3 3 4 6 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 0 3 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 5 3 2 5 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 333 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 2 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 312 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 3 0 21 - 307 SM_AMIGA_0_ 3 -1 2 2 2 3 -1 -1 3 1 21 - 304 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 3 0 21 - 322 RN_AS_000 3 32 3 2 2 3 32 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 0 1 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 0 1 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 5 2 0 2 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 4 2 2 5 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 5 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 316 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 320 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 5 6 7 63 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 321 7 3 1 3 7 81 -1 3 0 21 - 32 AS_000 5 322 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 323 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 320 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 6 0 21 - 34 VMA 5 333 3 0 34 -1 4 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 0 4 0 3 6 7 -1 -1 6 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 6 7 -1 -1 7 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 4 0 21 - 307 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 3 1 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 6 3 0 6 7 -1 -1 1 0 21 - 333 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 314 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 7 2 1 7 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 3 2 0 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 0 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 316 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 320 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 321 7 3 1 3 7 81 -1 3 0 21 - 32 AS_000 5 322 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 323 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 320 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 6 0 21 - 34 VMA 5 333 3 0 34 -1 4 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 330 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 329 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 0 4 0 3 6 7 -1 -1 6 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 6 7 -1 -1 7 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 4 0 21 - 307 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 3 1 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 6 3 0 6 7 -1 -1 1 0 21 - 333 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 314 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 7 2 1 7 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 3 2 0 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 0 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 316 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 320 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 320 7 4 0 1 3 7 81 -1 3 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 32 AS_000 5 321 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 322 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 325 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 6 0 21 - 34 VMA 5 333 3 0 34 -1 4 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 6 0 21 - 315 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 296 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 333 RN_VMA 3 34 3 2 0 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 0 6 65 -1 3 1 21 - 312 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 3 2 6 7 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 6 2 3 6 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 316 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 325 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 3 1 3 7 81 -1 3 0 21 - 32 AS_000 5 321 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 322 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 326 6 1 3 69 -1 2 0 21 - 68 A0 5 325 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 6 0 21 - 34 VMA 5 332 3 0 34 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 0 4 0 3 6 7 -1 -1 6 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 314 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 6 7 -1 -1 7 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 inst_CLK_000_D4 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 332 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 1 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 0 2 0 3 -1 -1 3 1 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 6 2 0 6 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 0 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 325 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 3 1 3 7 81 -1 3 0 21 - 32 AS_000 5 321 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 323 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 7 0 21 - 34 VMA 5 333 3 0 34 -1 4 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_1_ 3 -1 1 4 1 3 6 7 -1 -1 4 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 310 inst_CLK_000_D4 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 6 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 312 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 307 SM_AMIGA_0_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 7 0 21 - 333 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 0 1 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 0 1 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 316 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_P_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 3 1 3 7 81 -1 3 0 21 - 32 AS_000 5 321 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 323 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 7 0 21 - 34 VMA 5 333 3 0 34 -1 4 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 0 4 0 3 6 7 -1 -1 6 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 314 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 6 7 -1 -1 7 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 inst_CLK_000_D4 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 333 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 1 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 0 2 0 3 -1 -1 3 1 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 6 2 0 6 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 0 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -104 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 3 1 3 7 81 -1 3 0 21 - 32 AS_000 5 324 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 325 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 320 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 331 6 1 3 69 -1 2 0 21 - 68 A0 5 328 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 336 3 0 33 -1 7 0 21 - 34 VMA 5 334 3 0 34 -1 4 0 21 - 65 E 5 333 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 337 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 335 1 0 6 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 329 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 330 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 0 4 0 3 6 7 -1 -1 6 0 21 - 305 SM_AMIGA_1_ 3 -1 6 4 0 3 6 7 -1 -1 4 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 317 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 21 - 307 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 3 0 21 - 303 inst_CLK_000_D4 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 - 301 inst_BGACK_030_INT_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 6 7 -1 -1 7 0 21 - 318 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 300 inst_CLK_000_D5 3 -1 7 3 0 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 334 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 - 333 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 319 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 1 21 - 314 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 0 2 0 3 -1 -1 3 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 7 2 6 7 -1 -1 1 0 21 - 308 inst_CLK_000_D3 3 -1 6 2 0 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 336 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 309 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 337 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 335 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 302 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 331 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 328 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_N_1_ 3 -1 1 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -105 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 328 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 327 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 324 7 3 1 3 7 81 -1 3 0 21 - 32 AS_000 5 325 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 326 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 321 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 332 6 1 3 69 -1 2 0 21 - 68 A0 5 329 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 337 3 0 33 -1 7 0 21 - 34 VMA 5 335 3 0 34 -1 4 0 21 - 65 E 5 334 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 338 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 336 1 0 6 -1 3 0 21 - 82 BGACK_030 5 331 7 0 82 -1 2 0 21 - 77 FPU_CS 5 333 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 330 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 331 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 324 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 301 inst_BGACK_030_INT_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 6 0 21 - 319 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 307 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 7 0 21 - 335 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 317 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 4 0 21 - 316 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 334 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 318 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 313 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 311 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 0 1 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 0 1 -1 -1 2 0 21 - 309 inst_CLK_000_D5 3 -1 3 2 6 7 -1 -1 1 0 21 - 308 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 7 2 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 337 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 338 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 336 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 320 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 302 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 332 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 330 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 303 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 3 1 3 7 81 -1 3 0 21 - 32 AS_000 5 321 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 323 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 322 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 7 0 21 - 34 VMA 5 333 3 0 34 -1 4 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 0 4 0 3 6 7 -1 -1 6 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 314 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 6 7 -1 -1 7 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 4 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 inst_CLK_000_D4 3 -1 6 3 0 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 333 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 1 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 0 2 0 3 -1 -1 3 1 21 - 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 6 2 0 6 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 0 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 322 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 320 7 4 0 1 3 7 81 -1 3 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 32 AS_000 5 321 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 322 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 328 6 1 3 69 -1 2 0 21 - 68 A0 5 325 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 7 0 21 - 34 VMA 5 331 3 0 34 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 6 0 21 - 315 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 296 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 331 RN_VMA 3 34 3 2 0 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 0 6 65 -1 3 1 21 - 312 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 3 2 6 7 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 6 2 3 6 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 316 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 328 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 325 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 320 7 4 0 1 3 7 81 -1 3 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 32 AS_000 5 321 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 322 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 323 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 7 0 21 - 34 VMA 5 333 3 0 34 -1 4 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 6 0 21 - 315 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 308 SM_AMIGA_6_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 - 333 RN_VMA 3 34 3 2 0 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 0 6 65 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 307 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 3 2 6 7 -1 -1 1 0 21 - 309 inst_CLK_000_D3 3 -1 3 2 3 6 -1 -1 1 0 21 - 303 CLK_CNT_P_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 316 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 323 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 320 7 4 0 1 3 7 81 -1 3 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 32 AS_000 5 321 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 322 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 317 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 323 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 7 0 21 - 34 VMA 5 333 3 0 34 -1 4 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 0 4 0 3 6 7 -1 -1 6 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 6 7 -1 -1 7 0 21 - 315 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 4 0 21 - 306 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 - 307 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 3 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 301 inst_CLK_OUT_PRE 3 -1 7 3 1 6 7 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 7 3 0 3 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 3 0 6 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 333 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 1 21 - 312 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 3 2 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 323 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 303 CLK_CNT_P_0_ 3 -1 7 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 322 7 4 0 1 3 7 81 -1 3 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 7 0 21 - 32 AS_000 5 323 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 324 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 318 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 320 6 1 3 69 -1 2 0 21 - 68 A0 5 327 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 335 3 0 33 -1 7 0 21 - 34 VMA 5 334 3 0 34 -1 4 0 21 - 65 E 5 333 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 332 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 0 4 0 3 6 7 -1 -1 6 0 21 - 306 SM_AMIGA_1_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 3 0 21 - 302 inst_BGACK_030_INT_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 6 7 -1 -1 7 0 21 - 316 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 3 1 21 - 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 310 inst_CLK_000_D5 3 -1 6 3 1 6 7 -1 -1 1 0 21 - 309 inst_CLK_000_D3 3 -1 6 3 0 3 6 -1 -1 1 0 21 - 300 inst_CLK_000_D6 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 3 0 1 3 -1 -1 1 0 21 - 334 RN_VMA 3 34 3 2 0 3 34 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 333 RN_E 3 65 6 2 0 6 65 -1 3 1 21 - 315 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 303 CLK_CNT_P_0_ 3 -1 7 2 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 335 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 317 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 327 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 320 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 312 inst_CLK_000_D4 3 -1 3 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -102 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 321 7 4 0 1 3 7 81 -1 3 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 32 AS_000 5 322 3 3 0 6 7 32 -1 2 0 21 - 97 DS_030 5 323 0 1 3 97 -1 5 0 21 - 78 SIZE_1_ 5 318 7 1 3 78 -1 3 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 69 SIZE_0_ 5 327 6 1 3 69 -1 2 0 21 - 68 A0 5 326 6 1 3 68 -1 2 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 335 3 0 33 -1 7 0 21 - 34 VMA 5 334 3 0 34 -1 4 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 3 0 21 - 304 inst_BGACK_030_INT_D 3 -1 1 4 0 3 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 6 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 308 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 309 SM_AMIGA_0_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 306 inst_CLK_000_D4 3 -1 7 3 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 7 0 21 - 334 RN_VMA 3 34 3 2 1 3 34 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 294 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 301 inst_CLK_000_D5 3 -1 3 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 335 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 5 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 - 317 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 305 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 327 RN_SIZE_0_ 3 69 6 1 6 69 -1 2 0 21 - 326 RN_A0 3 68 6 1 6 68 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 1 1 -1 -1 2 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 320 7 4 0 3 6 7 81 -1 4 0 21 - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 306 SM_AMIGA_0_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 315 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 305 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_D4 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 7 0 21 - 311 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 1 2 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 3 2 3 6 -1 -1 1 0 21 - 301 inst_CLK_000_D5 3 -1 3 2 0 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 330 RN_E 3 65 6 1 6 65 -1 3 1 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 21 - 300 inst_CLK_000_D2 3 -1 3 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 3 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 322 7 4 0 3 6 7 81 -1 4 0 21 - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 6 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 6 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 0 21 - 28 BG_000 5 329 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 330 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 302 SM_AMIGA_1_ 3 -1 6 4 0 3 6 7 -1 -1 3 0 21 - 306 inst_CLK_000_D4 3 -1 1 4 0 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 301 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 303 SM_AMIGA_0_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 300 inst_CLK_000_D5 3 -1 3 3 0 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 7 0 21 - 308 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 315 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 317 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 333 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 312 CLK_CNT_P_0_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 310 CLK_CNT_N_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 313 CLK_CNT_P_1_ 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 3 2 1 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 332 RN_E 3 65 6 1 6 65 -1 3 1 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 311 CLK_CNT_N_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 307 inst_CLK_000_D3 3 -1 7 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 320 7 4 0 3 6 7 81 -1 4 0 21 - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 306 SM_AMIGA_0_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 315 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 305 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_D4 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 7 0 21 - 311 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 1 2 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 3 2 3 6 -1 -1 1 0 21 - 301 inst_CLK_000_D5 3 -1 3 2 0 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 330 RN_E 3 65 6 1 6 65 -1 3 1 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 21 - 300 inst_CLK_000_D2 3 -1 3 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 3 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 320 7 4 0 3 6 7 81 -1 4 0 21 - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 306 SM_AMIGA_0_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 315 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 305 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_D4 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 8 0 21 - 311 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 1 2 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 3 2 3 6 -1 -1 1 0 21 - 301 inst_CLK_000_D5 3 -1 3 2 0 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 330 RN_E 3 65 6 1 6 65 -1 3 1 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 21 - 300 inst_CLK_000_D2 3 -1 3 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 3 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 321 7 4 0 3 6 7 81 -1 4 0 21 - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 6 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 inst_CLK_000_D4 3 -1 1 4 0 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 306 SM_AMIGA_0_ 3 -1 7 3 1 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 1 3 7 32 -1 2 0 21 - 307 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 301 inst_CLK_000_D5 3 -1 3 3 0 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 8 0 21 - 316 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 315 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 2 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 3 2 1 3 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 311 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 330 RN_E 3 65 6 1 6 65 -1 3 1 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 3 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 320 7 3 1 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 305 SM_AMIGA_1_ 3 -1 0 4 0 3 6 7 -1 -1 3 0 21 - 309 inst_CLK_000_D4 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 - 301 inst_CLK_000_D5 3 -1 7 3 0 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 330 RN_E 3 65 6 2 1 6 65 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 1 3 34 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 295 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 294 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 1 2 1 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 8 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 311 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 6 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 320 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 6 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 0 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_1_ 3 -1 7 4 0 1 3 7 -1 -1 3 0 21 - 307 inst_CLK_000_D4 3 -1 0 4 0 1 3 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 302 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D5 3 -1 3 3 0 1 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 8 0 21 - 309 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 314 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 1 2 1 3 -1 -1 4 0 21 - 313 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 1 2 0 1 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 1 6 65 -1 3 1 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 315 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_D2 3 -1 3 1 1 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 321 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 0 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_1_ 3 -1 7 4 0 1 3 7 -1 -1 3 0 21 - 308 inst_CLK_000_D4 3 -1 1 4 0 1 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D5 3 -1 3 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 1 2 1 3 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 3 2 1 3 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 1 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 1 6 65 -1 3 1 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 7 1 1 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 3 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 322 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 0 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 304 SM_AMIGA_1_ 3 -1 6 5 0 1 3 6 7 -1 -1 3 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 inst_CLK_000_D5 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D6 3 -1 0 4 0 1 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 315 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 8 0 21 - 311 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 2 1 3 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 6 7 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 1 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 323 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D2 3 -1 3 2 1 6 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 3 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 308 inst_CLK_000_D4 3 -1 1 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 6 1 6 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 6 0 21 - 65 E 5 327 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 0 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_1_ 3 -1 0 4 0 1 3 7 -1 -1 3 0 21 - 306 SM_AMIGA_6_ 3 -1 7 4 0 3 6 7 -1 -1 2 0 21 - 299 inst_CLK_000_D4 3 -1 7 4 0 1 3 7 -1 -1 1 0 21 - 303 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 313 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 320 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 314 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_E 3 65 6 1 6 65 -1 3 1 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 315 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 6 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_1_ 3 -1 1 4 0 1 3 7 -1 -1 3 0 21 - 299 inst_CLK_000_D4 3 -1 7 4 0 1 3 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 1 3 1 3 7 -1 -1 5 0 21 - 314 cpu_est_1_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 307 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 1 7 -1 -1 8 0 21 - 308 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 312 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 1 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 6 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_7_ 3 -1 7 3 1 3 7 -1 -1 5 0 21 - 317 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 305 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D4 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 1 7 -1 -1 8 0 21 - 313 inst_CLK_OUT_PRE_33 3 -1 6 2 1 6 -1 -1 4 0 21 - 302 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 4 0 21 - 315 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 309 CLK_CNT_P_0_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 307 CLK_CNT_N_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 308 CLK_CNT_N_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 1 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 306 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 314 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 310 CLK_CNT_P_1_ 3 -1 1 1 6 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 6 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 0 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 306 SM_AMIGA_6_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 317 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 305 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 3 1 3 6 34 -1 2 1 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 inst_CLK_000_D3 3 -1 7 3 0 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 3 1 3 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 8 0 21 - 314 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 313 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 1 2 1 6 -1 -1 1 0 21 - 301 inst_DTACK_D0 3 -1 1 2 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 2 0 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 324 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 inst_CLK_000_D5 3 -1 7 1 3 -1 -1 1 0 21 - 309 inst_AS_030_D0 3 -1 7 1 3 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 320 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 6 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_1_ 3 -1 7 4 0 1 3 7 -1 -1 3 0 21 - 300 inst_CLK_000_D4 3 -1 7 4 0 1 3 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 310 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 315 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D3 3 -1 6 3 0 1 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 8 0 21 - 316 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 305 SM_AMIGA_0_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 312 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 1 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 309 inst_AS_030_D0 3 -1 7 1 3 -1 -1 1 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 321 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 316 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 307 inst_CLK_000_D3 3 -1 -1 2 3 7 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 -1 2 3 7 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 305 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 329 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 323 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 309 inst_AS_030_D0 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 313 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 311 SM_AMIGA_5_ 3 -1 -1 0 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 301 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 321 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 316 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 300 inst_CLK_000_D4 3 -1 -1 2 3 7 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 311 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 309 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 305 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 329 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 323 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 310 inst_AS_030_D0 3 -1 -1 1 3 -1 -1 1 0 21 - 308 inst_CLK_000_D3 3 -1 -1 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 313 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 301 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 307 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 316 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 312 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 0 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 309 inst_CLK_000_D5 3 -1 7 1 3 -1 -1 1 0 21 - 301 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 322 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_1_ 3 -1 7 4 0 1 3 7 -1 -1 3 0 21 - 308 inst_CLK_000_D4 3 -1 0 4 0 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 - 311 SM_AMIGA_7_ 3 -1 6 3 0 3 6 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 315 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 6 3 0 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 6 3 0 3 6 -1 -1 2 0 21 - 300 inst_CLK_000_D5 3 -1 3 3 0 1 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 6 -1 -1 8 0 21 - 310 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 309 inst_CLK_000_D3 3 -1 7 2 0 6 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 0 2 6 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 313 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 7 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 314 cpu_est_0_ 3 -1 0 4 0 1 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 21 - 307 inst_CLK_000_D2 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D3 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 311 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 308 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 310 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 0 2 0 6 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 306 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 6 1 1 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 0 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 310 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 1 2 0 1 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 1 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 8 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 307 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 1 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 311 SM_AMIGA_7_ 3 -1 1 3 1 3 7 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_2_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 1 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 304 SM_AMIGA_0_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 1 2 1 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 6 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 - 311 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 308 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 1 2 0 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 6 2 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 1 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 6 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 6 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 317 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 301 SM_AMIGA_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 324 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 300 SM_AMIGA_1_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 307 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 314 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 305 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 313 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 308 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 306 inst_CLK_000_D3 3 -1 0 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 315 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 309 CLK_CNT_N_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 7 1 1 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 1 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 311 SM_AMIGA_7_ 3 -1 1 3 1 3 7 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_2_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 1 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 304 SM_AMIGA_0_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 1 2 1 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 6 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 323 1 0 6 -1 3 0 21 - 91 AVEC 5 329 0 0 91 -1 2 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 308 SM_AMIGA_2_ 3 -1 6 4 0 1 6 7 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 4 0 3 6 7 34 -1 2 1 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 311 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 320 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 7 2 1 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 0 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_AVEC 3 91 0 1 0 91 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 3 1 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 326 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 325 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 331 RN_VMA 3 34 3 4 0 1 3 7 34 -1 2 1 21 - 312 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 315 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 310 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 308 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 313 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 311 inst_AVEC_INT 3 -1 1 2 0 1 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 6 2 0 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 314 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 6 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 4 1 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 6 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 295 inst_VPA_D 3 -1 7 4 0 1 3 7 -1 -1 1 0 21 - 312 SM_AMIGA_7_ 3 -1 6 3 0 3 6 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 315 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_2_ 3 -1 1 3 0 1 7 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 3 0 1 3 34 -1 2 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 6 3 0 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 6 -1 -1 8 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 304 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 1 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 1 21 - 314 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 311 inst_AVEC_INT 3 -1 0 1 0 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 1 1 6 -1 -1 1 0 21 - 307 inst_CLK_000_D4 3 -1 6 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 1 4 1 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 311 SM_AMIGA_7_ 3 -1 1 3 1 3 7 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_2_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 1 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 304 SM_AMIGA_0_ 3 -1 1 2 1 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 1 2 1 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 6 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_1_ 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_2_ 3 -1 1 3 0 1 7 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 9 0 21 - 310 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 312 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 313 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 4 0 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 304 SM_AMIGA_0_ 3 -1 0 3 0 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 9 0 21 - 309 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 1 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 1 1 0 -1 -1 1 0 21 - 307 inst_CLK_000_D4 3 -1 0 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 6 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 4 0 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 304 SM_AMIGA_0_ 3 -1 0 3 0 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 9 0 21 - 309 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 1 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 1 1 0 -1 -1 1 0 21 - 307 inst_CLK_000_D4 3 -1 0 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 6 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 4 0 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 80 DSACK_1_ 5 318 7 0 80 -1 3 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 315 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_2_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 311 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 305 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 308 inst_CLK_000_D4 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 9 0 21 - 313 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 309 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 4 0 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 1 5 0 1 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_7_ 3 -1 0 4 0 1 3 7 -1 -1 5 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 1 7 -1 -1 9 0 21 - 315 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 1 3 0 1 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 1 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 306 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 0 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 1 5 0 1 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_7_ 3 -1 0 4 0 1 3 7 -1 -1 5 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 1 7 -1 -1 9 0 21 - 315 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 1 3 0 1 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 1 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 306 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 0 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 1 5 0 1 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_7_ 3 -1 0 4 0 1 3 7 -1 -1 5 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 1 7 -1 -1 9 0 21 - 315 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 1 3 0 1 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 1 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 306 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 4 0 3 4 7 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 5 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 1 3 7 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 1 3 0 1 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 1 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 321 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 313 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 306 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 5 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 301 SM_AMIGA_6_ 3 -1 7 4 0 3 6 7 -1 -1 2 0 21 - 300 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 316 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 304 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 312 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 305 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 306 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 309 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 307 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 308 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 303 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 1 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 5 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 300 SM_AMIGA_7_ 3 -1 0 4 0 1 3 7 -1 -1 5 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 301 SM_AMIGA_6_ 3 -1 1 4 0 1 3 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 1 7 -1 -1 8 0 21 - 316 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 304 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 324 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 305 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 312 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 SM_AMIGA_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 311 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_N_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 306 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 309 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 308 CLK_CNT_N_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 303 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 5 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_2_ 3 -1 6 4 0 1 6 7 -1 -1 3 0 21 - 300 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 316 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 324 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 301 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 312 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 314 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 306 CLK_CNT_N_0_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 307 CLK_CNT_N_1_ 3 -1 7 2 1 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 305 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 309 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 5 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_2_ 3 -1 6 4 0 1 6 7 -1 -1 3 0 21 - 300 SM_AMIGA_7_ 3 -1 1 3 0 1 3 -1 -1 5 0 21 - 316 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 324 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 301 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_D2 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 1 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 312 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 314 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 306 CLK_CNT_N_0_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 307 CLK_CNT_N_1_ 3 -1 7 2 1 7 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 305 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 309 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 300 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 317 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 302 SM_AMIGA_2_ 3 -1 1 3 0 1 7 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 3 1 3 6 34 -1 2 1 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 301 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 3 1 3 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 304 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 0 1 0 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 309 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 308 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 301 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D4 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 300 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 302 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 308 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 304 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 305 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 306 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 309 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 307 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 301 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 - 300 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 302 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 304 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 309 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 308 CLK_CNT_P_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 5 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 inst_CLK_000_D4 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 300 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 302 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 301 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 308 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 304 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 305 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 306 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 309 CLK_CNT_P_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 307 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 325 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 328 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 327 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 326 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 5 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 1 21 - 28 BG_000 5 329 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 330 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 324 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 300 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 302 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 301 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D5 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 318 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 312 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 319 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 333 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 325 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 315 SM_AMIGA_1_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 306 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 7 2 3 7 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 305 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 314 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 - 309 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 307 CLK_CNT_N_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 6 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 325 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 328 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 327 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 326 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 5 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 1 21 - 28 BG_000 5 329 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 330 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 0 5 0 1 3 6 7 -1 -1 1 0 21 - 324 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 301 SM_AMIGA_6_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 - 300 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 302 SM_AMIGA_2_ 3 -1 0 3 0 1 7 -1 -1 3 0 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 303 inst_CLK_000_D5 3 -1 6 3 0 1 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 3 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 8 0 21 - 305 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 312 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 316 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 333 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 313 SM_AMIGA_5_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 308 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 306 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 304 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 0 3 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 314 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 315 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 inst_CLK_000_D4 3 -1 7 1 6 -1 -1 1 0 21 - 309 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 307 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 301 SM_AMIGA_6_ 3 -1 0 4 0 1 3 7 -1 -1 2 0 21 - 300 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 3 6 7 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 323 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D2 3 -1 7 3 0 3 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 310 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 314 SM_AMIGA_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 311 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 6 2 0 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 304 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 301 inst_CLK_000_D2 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 - 299 SM_AMIGA_7_ 3 -1 1 3 1 3 7 -1 -1 5 0 21 - 315 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 21 - 300 SM_AMIGA_6_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 1 7 -1 -1 8 0 21 - 316 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 310 inst_CLK_OUT_PRE_33 3 -1 0 2 1 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 323 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 295 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 6 1 0 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 6 1 6 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 5 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 301 SM_AMIGA_6_ 3 -1 6 4 1 3 6 7 -1 -1 2 0 21 - 300 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 317 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 324 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 298 inst_CLK_000_D3 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 8 0 21 - 304 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 315 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 308 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 306 CLK_CNT_N_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 305 inst_CLK_000_D2 3 -1 3 2 3 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 0 3 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 309 CLK_CNT_P_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 307 CLK_CNT_N_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 302 inst_CLK_000_D4 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 317 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 320 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 319 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 316 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 318 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 4 0 21 - 65 E 5 324 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 1 21 - 28 BG_000 5 321 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 322 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 21 - 301 SM_AMIGA_7_ 3 -1 0 4 0 3 6 7 -1 -1 5 0 21 - 316 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 0 6 7 -1 -1 8 0 21 - 312 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 311 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 305 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 313 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 309 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 325 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 317 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 3 2 0 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 318 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 310 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 6 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 317 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 320 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 319 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 316 7 3 0 3 7 81 -1 4 0 21 - 97 DS_030 5 318 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 4 0 21 - 65 E 5 324 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 1 21 - 28 BG_000 5 321 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 322 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 316 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 6 4 0 1 3 6 -1 -1 2 0 21 - 301 SM_AMIGA_7_ 3 -1 6 3 0 3 6 -1 -1 5 0 21 - 312 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 6 -1 -1 8 0 21 - 313 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 311 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 325 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 7 2 1 6 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 318 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 305 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 310 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 4 0 21 - 65 E 5 327 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 302 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 314 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 320 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 298 inst_CLK_000_D2 3 -1 7 3 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 8 0 21 - 312 SM_AMIGA_0_ 3 -1 0 2 0 6 -1 -1 4 0 21 - 311 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 309 SM_AMIGA_5_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 305 inst_CLK_000_D4 3 -1 7 2 0 7 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 3 2 6 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 1 2 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_D5 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 306 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 310 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 1 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -101 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 325 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 328 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 327 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 324 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 326 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 4 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 321 1 0 6 -1 3 0 21 - 82 BGACK_030 5 330 7 0 82 -1 2 0 21 - 77 FPU_CS 5 331 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 1 21 - 28 BG_000 5 329 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 330 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 324 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 302 SM_AMIGA_6_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 - 301 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 8 0 21 - 305 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 316 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 312 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 319 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 317 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 315 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 306 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 333 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 309 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 307 CLK_CNT_N_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 308 CLK_CNT_N_1_ 3 -1 0 2 0 1 -1 -1 1 0 21 - 304 inst_CLK_000_D4 3 -1 7 2 1 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 0 3 -1 -1 1 0 21 - 328 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 326 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 327 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 314 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 CLK_CNT_P_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 307 inst_CLK_000_D4 3 -1 0 2 1 7 -1 -1 1 0 21 - 306 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 300 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 8 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 0 1 0 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 - 301 inst_DTACK_D0 3 -1 6 1 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 3 1 7 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -94 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 30 LDS_000 5 319 3 0 30 -1 12 0 21 - 31 UDS_000 5 318 3 0 31 -1 8 0 21 - 65 E 5 323 6 0 65 -1 3 0 21 - 33 AMIGA_BUS_ENABLE 5 325 3 0 33 -1 3 0 21 - 28 BG_000 5 320 3 0 28 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 321 7 0 82 -1 2 0 21 - 77 FPU_CS 5 322 7 0 77 -1 2 0 21 - 34 VMA 5 324 3 0 34 -1 2 0 21 - 32 AS_000 5 317 3 0 32 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 299 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE 3 -1 7 4 0 1 6 7 -1 -1 3 1 21 - 300 inst_CLK_000_D1 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 - 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 322 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 317 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 4 0 21 - 295 inst_AS_030_000_SYNC 3 -1 1 2 1 3 -1 -1 4 0 21 - 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 - 314 SM_AMIGA_0_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 311 SM_AMIGA_3_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 308 SM_AMIGA_1_ 3 -1 7 2 0 7 -1 -1 3 0 21 - 304 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 324 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 - 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 - 312 SM_AMIGA_5_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 298 inst_VPA_SYNC 3 -1 6 2 6 7 -1 -1 2 0 21 - 296 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 21 - 301 inst_CLK_000_D2 3 -1 7 2 1 3 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 6 2 3 6 -1 -1 1 0 21 - 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 - 318 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 325 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 3 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 CLK_CNT_1_ 3 -1 7 1 7 -1 -1 2 0 21 - 309 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 21 - 305 CLK_REF_1_ 3 -1 7 1 7 -1 -1 1 0 20 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 - 63 CLK_030 1 -1 -1 3 1 3 7 63 -1 - 13 nEXP_SPACE 1 -1 -1 3 1 3 7 13 -1 - 96 A_19_ 1 -1 -1 2 1 7 96 -1 - 95 A_16_ 1 -1 -1 2 1 7 95 -1 - 94 A_18_ 1 -1 -1 2 1 7 94 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 1 7 58 -1 - 57 FC_1_ 1 -1 -1 2 1 7 57 -1 - 56 FC_0_ 1 -1 -1 2 1 7 56 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D4 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 305 inst_CLK_000_D3 3 -1 1 3 0 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 310 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 306 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 318 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 321 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 320 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 317 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 319 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 65 E 5 325 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 1 21 - 28 BG_000 5 322 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 323 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 21 - 317 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 297 inst_CLK_000_D4 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 313 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 312 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 300 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 1 3 0 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 308 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 304 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 311 SM_AMIGA_0_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 314 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 310 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 307 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 1 2 1 6 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 319 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 309 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 317 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 300 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D4 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 0 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 1 2 0 7 -1 -1 1 0 21 - 299 CLK_CNT_N_0_ 3 -1 1 2 0 1 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 8 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 304 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 305 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 317 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 300 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 297 inst_CLK_000_D4 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 0 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 1 2 0 7 -1 -1 1 0 21 - 299 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 8 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 304 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 308 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 306 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 21 - 305 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 301 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 304 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 308 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 307 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 302 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 305 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 306 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 300 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 299 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -100 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 324 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 327 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 326 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 323 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 325 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 331 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 333 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 332 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 323 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 312 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 317 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 inst_CLK_OUT_PRE_33 3 -1 1 2 1 6 -1 -1 4 0 21 - 331 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 318 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 332 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 324 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 327 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 326 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 325 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 333 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 4 0 21 - 9 CLK_EXP 0 1 0 9 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 7 3 0 3 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 331 RN_VMA 3 34 3 3 1 3 6 34 -1 2 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 299 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 0 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 0 3 1 3 6 -1 -1 1 0 21 - 311 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 2 1 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 0 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 4 0 21 - 9 CLK_EXP 0 1 0 9 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 7 3 0 3 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 331 RN_VMA 3 34 3 3 1 3 6 34 -1 2 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 299 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 0 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 0 3 1 3 6 -1 -1 1 0 21 - 311 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 2 1 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 0 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 4 0 21 - 9 CLK_EXP 0 1 0 9 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 7 3 0 3 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 331 RN_VMA 3 34 3 3 1 3 6 34 -1 2 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 299 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 0 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 0 3 1 3 6 -1 -1 1 0 21 - 311 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 2 1 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 0 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 4 0 21 - 9 CLK_EXP 0 1 0 9 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 7 3 0 3 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 331 RN_VMA 3 34 3 3 1 3 6 34 -1 2 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 299 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 0 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 0 3 1 3 6 -1 -1 1 0 21 - 311 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 2 1 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 0 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 323 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 326 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 325 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 322 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 324 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 4 0 21 - 9 CLK_EXP 0 1 0 9 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 328 7 0 82 -1 2 0 21 - 77 FPU_CS 5 329 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 327 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 328 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 322 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 7 3 0 3 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 331 RN_VMA 3 34 3 3 1 3 6 34 -1 2 1 21 - 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 299 SM_AMIGA_6_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D3 3 -1 0 3 0 1 7 -1 -1 1 0 21 - 297 inst_CLK_000_D4 3 -1 1 3 0 3 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 0 3 1 3 6 -1 -1 1 0 21 - 311 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 301 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 307 CLK_CNT_P_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 305 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 300 SM_AMIGA_5_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 308 CLK_CNT_P_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 - 306 CLK_CNT_N_1_ 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 1 2 1 6 -1 -1 1 0 21 - 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 303 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 304 inst_CLK_000_D2 3 -1 7 1 0 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D4 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 305 inst_CLK_000_D3 3 -1 1 3 0 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 310 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 306 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 318 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 321 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 320 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 317 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 319 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 65 E 5 325 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 1 21 - 28 BG_000 5 322 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 323 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 21 - 317 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 297 inst_CLK_000_D4 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 313 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 312 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 300 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 1 3 0 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 308 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 304 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 311 SM_AMIGA_0_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 314 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 310 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 307 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 1 2 1 6 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 319 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 309 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 317 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 320 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 319 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 316 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 318 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 65 E 5 324 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 326 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 314 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 328 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 327 1 0 6 -1 3 0 21 - 82 BGACK_030 5 322 7 0 82 -1 2 0 21 - 77 FPU_CS 5 323 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 325 3 0 34 -1 2 1 21 - 28 BG_000 5 321 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 322 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 316 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 300 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 312 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 324 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 311 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 323 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 297 inst_CLK_000_D3 3 -1 3 3 0 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 307 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 304 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 313 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 309 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 325 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 317 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 6 2 1 6 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 0 2 1 3 -1 -1 1 0 21 - 320 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 319 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 318 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 310 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 308 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 321 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 298 inst_DTACK_D0 3 -1 6 1 1 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 318 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 321 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 320 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 317 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 319 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 65 E 5 325 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 327 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 326 3 0 34 -1 2 1 21 - 28 BG_000 5 322 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 323 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 295 inst_CLK_000_D0 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 21 - 317 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 297 inst_CLK_000_D4 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 313 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 312 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 318 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 - 300 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 1 3 0 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 308 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 304 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 311 SM_AMIGA_0_ 3 -1 6 2 0 6 -1 -1 4 0 21 - 314 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 310 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 - 326 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 307 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 SM_AMIGA_5_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 1 2 1 6 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 319 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 309 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 305 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 21 - 298 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D4 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 314 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 305 inst_CLK_000_D3 3 -1 1 3 0 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 310 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 - 294 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 306 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_0_ 3 -1 0 1 0 -1 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 7 1 1 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -76 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 31 UDS_000 5 306 3 0 31 -1 10 0 21 - 30 LDS_000 5 307 3 0 30 -1 7 1 21 - 65 E 5 309 6 0 65 -1 4 0 21 - 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 - 32 AS_000 5 305 3 0 32 -1 3 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 310 3 0 34 -1 2 1 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 82 BGACK_030 5 308 7 0 82 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 28 BG_000 0 3 0 28 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 - 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 - 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 - 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 - 305 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 - 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 - 295 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 - 306 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 - 307 RN_LDS_000 3 30 3 1 3 30 -1 7 1 21 - 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 - 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 - 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 21 - 301 SM_AMIGA_LAST_1_ 3 -1 3 1 7 -1 -1 1 0 21 - 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 - 297 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 - 296 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 21 - 10 CLK_000 9 -1 1 3 10 -1 - 63 CLK_030 9 -1 0 63 -1 - 60 CLK_OSZI 9 -1 0 60 -1 - 35 VPA 1 -1 -1 3 3 6 7 35 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 85 RST 1 -1 -1 2 1 6 85 -1 - 81 AS_030 1 -1 -1 2 3 7 81 -1 - 70 RW 1 -1 -1 2 3 4 70 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 - 97 DS_030 1 -1 -1 1 3 97 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 78 SIZE_1_ 1 -1 -1 1 3 78 -1 - 69 SIZE_0_ 1 -1 -1 1 3 69 -1 - 68 A_0_ 1 -1 -1 1 3 68 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 6 0 21 - 65 E 5 327 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 328 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 313 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 327 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 312 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 299 inst_CLK_000_D4 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 303 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 4 0 21 - 314 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 311 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 328 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 320 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 306 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 1 2 1 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 307 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 310 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 4 0 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 322 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 6 3 0 1 6 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 7 3 1 3 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 320 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 315 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 299 inst_CLK_000_D2 3 -1 -1 2 3 7 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_D4 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 312 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 307 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 320 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 297 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 315 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 306 inst_CLK_000_D2 3 -1 -1 2 3 7 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 307 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 303 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 308 inst_CLK_000_D4 3 -1 -1 1 3 -1 -1 1 0 21 - 298 inst_CLK_000_D3 3 -1 -1 1 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 311 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 312 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 320 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 315 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 300 inst_CLK_000_D4 3 -1 -1 2 3 7 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 309 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 -1 1 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 312 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 301 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK_1_ 5 320 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 79 DSACK_0_ 0 -1 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 -1 3 1 6 7 -1 -1 1 0 21 - 315 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 5 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 330 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 -1 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_D4 3 -1 -1 1 3 -1 -1 1 0 21 - 307 inst_CLK_000_D3 3 -1 -1 1 3 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 -1 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 0 -1 -1 8 0 21 - 312 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 313 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 79 DSACK_0_ 0 7 0 79 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 310 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 322 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 1 2 0 1 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 1 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 8 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 307 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 70 RW 1 -1 -1 3 0 3 4 70 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 294 DSACK1 5 331 7 1 3 -1 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 293 RW_000 5 -1 6 1 0 -1 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 334 3 0 33 -1 5 0 21 - 65 E 5 332 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 327 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 326 1 0 6 -1 3 0 21 - 82 BGACK_030 5 329 7 0 82 -1 2 0 21 - 77 FPU_CS 5 330 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 333 3 0 34 -1 2 1 21 - 28 BG_000 5 328 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 329 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D0 3 -1 0 5 0 1 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 317 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 21 - 301 inst_CLK_000_D2 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 318 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 332 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 319 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 312 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 3 0 21 - 330 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 308 SM_AMIGA_6_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 300 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 309 inst_RW_000_INT 3 -1 0 2 0 6 -1 -1 14 0 21 - 295 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 304 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 333 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 314 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 297 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 334 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 311 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 315 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 331 RN_DSACK1 3 294 7 1 7 -1 -1 2 0 21 - 328 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 313 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 303 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 - 302 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 298 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 296 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 6 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 329 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 5 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 325 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 324 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 307 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 315 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 316 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 7 2 0 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 306 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 8 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 311 inst_CLK_000_D4 3 -1 7 1 3 -1 -1 1 0 21 - 308 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 319 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 318 7 3 0 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 318 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 314 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 310 SM_AMIGA_1_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 3 0 6 7 -1 -1 1 0 21 - 307 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 309 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 5 0 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 311 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 1 0 -1 -1 8 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 0 1 0 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 319 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 318 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 4 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 318 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 1 4 0 1 3 7 -1 -1 2 0 21 - 306 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 310 SM_AMIGA_7_ 3 -1 1 3 1 3 7 -1 -1 5 0 21 - 303 SM_AMIGA_0_ 3 -1 1 3 0 1 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 1 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 309 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 311 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 0 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D3 3 -1 7 1 1 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 319 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 318 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 4 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 322 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 318 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 315 cpu_est_1_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 4 0 1 3 6 65 -1 3 1 21 - 303 SM_AMIGA_6_ 3 -1 7 4 0 1 3 7 -1 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 305 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 316 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 302 SM_AMIGA_0_ 3 -1 7 3 0 3 7 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_5_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 306 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 307 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 1 2 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 311 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 8 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 1 1 1 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 6 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 3 0 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 4 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 7 4 0 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 7 3 0 3 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 302 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 inst_CLK_000_D2 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 311 inst_AS_030_000_SYNC 3 -1 0 2 0 7 -1 -1 8 0 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 7 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 320 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 329 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 4 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 6 5 0 1 3 6 7 -1 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 0 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 297 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 305 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 10 0 21 - 309 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 306 SM_AMIGA_1_ 3 -1 6 3 0 6 7 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 7 3 0 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D3 3 -1 7 3 0 6 7 -1 -1 1 0 21 - 311 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 8 0 21 - 316 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 310 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 0 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 317 state_machine_un8_bgack_030_int_n 3 -1 7 1 0 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 1 1 1 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 10 CLK_000 1 -1 -1 4 0 1 3 6 10 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 3 0 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 4 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 296 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 7 4 0 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 7 3 0 3 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 302 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 inst_CLK_000_D2 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 311 inst_AS_030_000_SYNC 3 -1 0 2 0 7 -1 -1 8 0 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 6 2 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D3 3 -1 7 2 0 7 -1 -1 1 0 21 - 294 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 304 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 295 inst_CLK_OUT_PRE_50_D 3 -1 1 1 1 -1 -1 1 0 21 - 293 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 320 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 4 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 - 307 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 310 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 5 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 3 0 3 6 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 0 7 -1 -1 8 0 21 - 309 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 311 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 4 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 6 1 0 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 320 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 0 3 6 7 -1 -1 8 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_7_ 3 -1 6 4 0 3 6 7 -1 -1 4 0 21 - 309 inst_CLK_000_D3 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 308 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 1 3 0 1 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 311 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 320 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 5 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 0 3 6 7 -1 -1 8 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_7_ 3 -1 6 4 0 3 6 7 -1 -1 4 0 21 - 309 inst_CLK_000_D3 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 4 0 3 6 7 -1 -1 1 0 21 - 308 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 1 3 0 1 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 3 1 6 7 -1 -1 1 0 21 - 315 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 311 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_6_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 1 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 3 0 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 3 0 3 6 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 307 SM_AMIGA_2_ 3 -1 1 3 0 1 7 -1 -1 3 0 21 - 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 0 3 0 3 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 3 0 3 6 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 1 6 7 -1 -1 1 0 21 - 308 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 311 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 318 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 331 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 318 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 314 cpu_est_0_ 3 -1 2 4 1 2 3 6 -1 -1 3 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 1 2 6 7 -1 -1 1 0 21 - 308 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 315 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 - 307 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 3 0 21 - 299 inst_CLK_000_D2 3 -1 7 3 1 2 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 310 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 331 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 2 2 1 2 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 3 0 2 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 318 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 331 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 318 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 314 cpu_est_0_ 3 -1 2 4 1 2 3 6 -1 -1 3 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 1 2 6 7 -1 -1 1 0 21 - 308 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 315 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 - 307 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 3 0 21 - 299 inst_CLK_000_D2 3 -1 7 3 1 2 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 310 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 331 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 2 2 1 2 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 3 0 2 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 319 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 318 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 331 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 321 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 320 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 318 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 314 cpu_est_0_ 3 -1 2 4 1 2 3 6 -1 -1 3 0 21 - 298 inst_CLK_000_D1 3 -1 3 4 1 2 6 7 -1 -1 1 0 21 - 308 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 315 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 316 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 - 305 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 3 0 21 - 299 inst_CLK_000_D2 3 -1 7 3 1 2 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 310 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 331 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 313 SM_AMIGA_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 307 SM_AMIGA_6_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 304 SM_AMIGA_5_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 309 inst_CLK_000_D3 3 -1 2 2 1 2 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 312 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 3 0 2 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 320 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 331 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 2 5 0 1 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 307 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 315 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 304 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 308 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 3 0 21 - 311 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 7 3 0 6 7 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 300 inst_CLK_000_D3 3 -1 7 3 1 2 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 3 3 1 2 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 309 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 331 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 316 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 313 SM_AMIGA_0_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 310 SM_AMIGA_6_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 312 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 2 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 3 0 2 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 5 0 1 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 4 0 1 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 4 0 1 6 7 31 -1 6 0 21 - 81 AS_030 5 321 7 3 0 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 329 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 332 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 2 0 21 - 321 RN_AS_030 3 81 7 5 0 1 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 1 2 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 6 5 1 2 3 6 7 -1 -1 1 0 21 - 316 cpu_est_1_ 3 -1 2 4 1 2 3 6 -1 -1 4 0 21 - 330 RN_E 3 65 6 4 1 2 3 6 65 -1 3 1 21 - 315 cpu_est_0_ 3 -1 7 4 2 3 6 7 -1 -1 3 0 21 - 304 SM_AMIGA_7_ 3 -1 2 3 0 2 3 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 3 1 21 - 309 SM_AMIGA_2_ 3 -1 1 3 0 1 7 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 2 3 1 2 6 -1 -1 3 0 21 - 308 inst_CLK_000_D3 3 -1 0 3 0 1 2 -1 -1 1 0 21 - 307 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 293 inst_AS_030_000_SYNC 3 -1 0 2 0 2 -1 -1 8 0 21 - 310 inst_CLK_030_H 3 -1 1 2 0 1 -1 -1 5 0 21 - 332 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 331 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 328 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 314 SM_AMIGA_0_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 305 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 7 2 2 7 -1 -1 1 0 21 - 300 inst_CLK_000_D4 3 -1 1 2 0 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 3 2 0 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 313 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 301 inst_DTACK_D0 3 -1 7 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 1 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 0 7 96 -1 - 95 A_16_ 1 -1 -1 2 0 7 95 -1 - 94 A_18_ 1 -1 -1 2 0 7 94 -1 - 58 A_17_ 1 -1 -1 2 0 7 58 -1 - 57 FC_1_ 1 -1 -1 2 0 7 57 -1 - 56 FC_0_ 1 -1 -1 2 0 7 56 -1 - 27 BGACK_000 1 -1 -1 2 0 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 320 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 331 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 6 0 1 2 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 2 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 6 4 2 3 6 7 -1 -1 4 0 21 - 304 SM_AMIGA_5_ 3 -1 1 4 0 1 3 6 -1 -1 2 0 21 - 307 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 2 6 7 -1 -1 8 0 21 - 315 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 314 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 306 SM_AMIGA_2_ 3 -1 2 3 0 2 7 -1 -1 3 0 21 - 311 SM_AMIGA_4_ 3 -1 6 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 1 3 0 1 6 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 3 2 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 3 3 2 6 7 -1 -1 1 0 21 - 309 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 331 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 316 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 310 SM_AMIGA_6_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 312 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 7 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 320 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 331 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 0 1 2 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 306 SM_AMIGA_2_ 3 -1 0 4 0 1 2 7 -1 -1 3 0 21 - 315 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 314 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 - 299 inst_CLK_000_D2 3 -1 7 3 1 2 7 -1 -1 1 0 21 - 307 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 309 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 331 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 316 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 2 1 2 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 0 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 312 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 2 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -98 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 323 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 320 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 331 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 319 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 320 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 315 cpu_est_1_ 3 -1 1 4 0 1 3 6 -1 -1 4 0 21 - 329 RN_E 3 65 6 4 0 1 3 6 65 -1 3 1 21 - 298 inst_CLK_000_D1 3 -1 3 4 0 1 6 7 -1 -1 1 0 21 - 316 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 306 SM_AMIGA_2_ 3 -1 0 3 0 2 7 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 1 3 1 2 6 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 - 307 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 309 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 2 2 2 3 -1 -1 4 0 21 - 331 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 313 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 2 2 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 0 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 1 2 -1 -1 8 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 312 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 308 inst_CLK_000_D3 3 -1 7 1 2 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 2 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 6 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 330 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 6 0 1 2 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 1 2 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 1 2 6 7 -1 -1 8 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 307 inst_CLK_000_D2 3 -1 7 4 1 2 6 7 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 314 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 310 SM_AMIGA_4_ 3 -1 0 3 0 2 3 -1 -1 2 0 21 - 303 SM_AMIGA_0_ 3 -1 2 3 0 2 6 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 308 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 315 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 305 SM_AMIGA_2_ 3 -1 2 2 2 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 326 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 311 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 6 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -99 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 325 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 324 3 3 0 6 7 31 -1 6 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 81 AS_030 5 321 7 2 3 7 81 -1 4 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 323 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 329 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 330 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 332 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 327 7 0 82 -1 2 0 21 - 77 FPU_CS 5 328 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 331 3 0 34 -1 2 1 21 - 28 BG_000 5 326 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 327 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 316 cpu_est_1_ 3 -1 3 4 0 2 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 6 4 2 3 6 7 -1 -1 4 0 21 - 330 RN_E 3 65 6 4 0 2 3 6 65 -1 3 1 21 - 305 SM_AMIGA_6_ 3 -1 2 4 1 2 3 6 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 2 6 7 -1 -1 8 0 21 - 308 SM_AMIGA_2_ 3 -1 2 3 1 2 7 -1 -1 3 0 21 - 331 RN_VMA 3 34 3 3 0 2 3 34 -1 2 1 21 - 304 SM_AMIGA_0_ 3 -1 1 3 1 2 6 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 7 3 2 6 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 3 0 2 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 309 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 313 SM_AMIGA_3_ 3 -1 0 2 0 2 -1 -1 4 0 21 - 332 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 317 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 328 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 2 0 2 -1 -1 1 0 21 - 325 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 323 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 324 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 inst_CLK_000_D3 3 -1 7 1 0 -1 -1 1 0 21 - 299 inst_CLK_000_D4 3 -1 0 1 7 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 318 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 321 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 320 3 3 0 6 7 31 -1 6 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 317 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 319 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 325 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 65 E 5 326 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 330 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 329 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 328 1 0 6 -1 3 0 21 - 82 BGACK_030 5 323 7 0 82 -1 2 0 21 - 77 FPU_CS 5 324 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 1 21 - 28 BG_000 5 322 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 323 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 6 0 1 2 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 2 3 6 7 -1 -1 1 0 21 - 317 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 4 1 2 3 6 65 -1 3 1 21 - 302 SM_AMIGA_6_ 3 -1 2 4 0 2 3 6 -1 -1 2 0 21 - 306 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 304 SM_AMIGA_7_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 - 327 RN_VMA 3 34 3 3 1 2 3 34 -1 2 1 21 - 303 SM_AMIGA_0_ 3 -1 0 3 0 2 7 -1 -1 2 0 21 - 295 inst_VPA_D 3 -1 1 3 1 2 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 2 7 -1 -1 8 0 21 - 308 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 311 SM_AMIGA_3_ 3 -1 1 2 1 2 -1 -1 4 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 315 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 305 SM_AMIGA_2_ 3 -1 2 2 2 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 324 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 2 2 0 2 -1 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 3 2 2 7 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 7 2 1 2 -1 -1 1 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 319 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 325 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 3 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 6 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 330 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 7 6 0 1 2 3 6 7 -1 -1 1 0 21 - 304 SM_AMIGA_7_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 1 2 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 1 2 6 7 -1 -1 8 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 307 inst_CLK_000_D2 3 -1 7 4 1 2 6 7 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 314 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 310 SM_AMIGA_4_ 3 -1 0 3 0 2 3 -1 -1 2 0 21 - 303 SM_AMIGA_0_ 3 -1 2 3 0 2 6 -1 -1 2 0 21 - 302 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 308 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 315 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 305 SM_AMIGA_2_ 3 -1 2 2 2 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 326 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 312 SM_AMIGA_1_ 3 -1 7 2 2 7 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 311 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 6 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 319 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 21 AVEC_EXP 5 330 2 0 21 -1 3 0 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 2 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_6_ 3 -1 1 4 0 1 3 6 -1 -1 2 0 21 - 306 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 314 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 305 SM_AMIGA_7_ 3 -1 2 3 1 2 3 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 313 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 308 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 3 0 21 - 315 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 326 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 3 2 1 2 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 311 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 2 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 2 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 319 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 0 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 7 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 21 AVEC_EXP 5 330 2 0 21 -1 4 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 1 2 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 306 inst_RW_000_INT 3 -1 0 3 0 6 7 -1 -1 14 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 313 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 2 3 0 1 2 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 1 3 0 1 3 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 0 3 0 2 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 308 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 4 0 21 - 314 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 312 SM_AMIGA_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 - 326 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 3 2 1 2 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 0 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 4 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 7 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 3 0 2 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 5 0 1 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 4 0 1 6 7 30 -1 10 0 21 - 31 UDS_000 5 322 3 4 0 1 6 7 31 -1 6 0 21 - 81 AS_030 5 319 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 21 AVEC_EXP 5 330 2 0 21 -1 4 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 5 0 1 3 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 313 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 3 1 2 6 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 1 3 1 2 7 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 308 inst_CLK_030_H 3 -1 1 2 0 1 -1 -1 5 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 4 0 21 - 315 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 312 SM_AMIGA_2_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 326 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 7 2 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 0 3 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 311 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 5 0 1 2 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 10 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 6 0 21 - 81 AS_030 5 319 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 21 AVEC_EXP 5 330 2 0 21 -1 4 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 0 1 2 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 313 cpu_est_0_ 3 -1 7 4 0 3 6 7 -1 -1 3 0 21 - 314 cpu_est_1_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 - 302 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 3 1 21 - 306 SM_AMIGA_2_ 3 -1 0 3 0 2 7 -1 -1 3 0 21 - 304 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 307 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 309 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 4 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 326 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 308 inst_CLK_000_D2 3 -1 7 2 1 2 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 0 3 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 312 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 1 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 2 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 319 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 70 RW 5 -1 6 3 3 4 7 70 -1 1 0 21 - 79 RW_000 5 320 7 2 0 6 79 -1 5 0 21 - 81 AS_030 5 318 7 2 3 7 81 -1 4 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 21 AVEC_EXP 5 330 2 0 21 -1 4 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 315 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 0 6 0 1 2 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 7 6 0 1 2 3 6 7 -1 -1 1 0 21 - 318 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 313 cpu_est_1_ 3 -1 3 4 0 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 4 0 2 3 6 65 -1 3 1 21 - 302 SM_AMIGA_7_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 - 305 SM_AMIGA_2_ 3 -1 0 3 0 1 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 3 0 2 3 34 -1 2 1 21 - 303 SM_AMIGA_1_ 3 -1 1 3 1 2 6 -1 -1 2 0 21 - 295 inst_VPA_D 3 -1 1 3 0 2 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 2 7 -1 -1 8 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 4 0 21 - 311 SM_AMIGA_3_ 3 -1 2 2 0 2 -1 -1 4 0 21 - 314 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 312 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 326 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 7 2 2 7 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 6 2 1 6 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 2 0 2 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 320 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 5 0 1 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 4 0 1 6 7 30 -1 10 0 21 - 31 UDS_000 5 322 3 4 0 1 6 7 31 -1 6 0 21 - 81 AS_030 5 319 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 21 AVEC_EXP 5 330 2 0 21 -1 4 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 5 0 1 3 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 313 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 3 1 2 6 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 1 3 1 2 7 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 308 inst_CLK_030_H 3 -1 1 2 0 1 -1 -1 5 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 4 0 21 - 315 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 312 SM_AMIGA_2_ 3 -1 0 2 0 7 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 326 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 7 2 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 0 3 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 - 311 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 6 1 6 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 5 0 1 2 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 21 AVEC_EXP 5 330 2 0 21 -1 4 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 - 82 BGACK_030 5 325 7 0 82 -1 2 0 21 - 77 FPU_CS 5 326 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 324 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 0 1 2 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 313 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 305 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 3 0 3 7 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 1 2 -1 -1 8 0 21 - 330 RN_AVEC_EXP 3 21 2 2 2 3 21 -1 4 0 21 - 315 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 329 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 326 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 7 2 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 2 1 3 -1 -1 1 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 311 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 312 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 310 SM_AMIGA_4_ 3 -1 1 1 1 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 7 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 3 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 63 CLK_030 1 -1 -1 4 0 2 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 5 0 1 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 4 0 1 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 4 0 1 6 7 31 -1 7 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 81 AS_030 5 320 7 2 3 7 81 -1 4 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 320 RN_AS_030 3 81 7 5 0 1 3 6 7 81 -1 4 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 293 inst_avec_expreg 3 -1 6 3 2 3 6 -1 -1 6 0 21 - 315 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 303 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 2 0 21 - 307 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 8 0 21 - 309 inst_CLK_030_H 3 -1 1 2 0 1 -1 -1 5 0 21 - 316 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 308 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 0 2 0 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 312 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 0 1 0 -1 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 1 1 0 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 1 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 1 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 5 0 1 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 4 0 1 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 4 0 1 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 3 3 6 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 320 RN_AS_030 3 81 7 5 0 1 3 6 7 81 -1 4 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 293 inst_avec_expreg 3 -1 6 3 2 3 6 -1 -1 6 0 21 - 315 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 303 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 2 0 21 - 307 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 8 0 21 - 309 inst_CLK_030_H 3 -1 1 2 0 1 -1 -1 5 0 21 - 316 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 308 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 0 2 0 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 312 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 0 1 0 -1 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 1 1 0 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 1 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 1 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -108 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 329 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 332 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 331 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 328 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 330 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 338 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 334 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 328 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 325 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 339 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 326 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 324 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 332 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 331 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 330 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 341 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 306 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 335 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 340 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 338 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 337 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 333 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 320 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 -1 1 2 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 309 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 322 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 323 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 321 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 319 CLK_000_P_SYNC_8_ 3 -1 -1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_7_ 3 -1 -1 0 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_6_ 3 -1 -1 0 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_5_ 3 -1 -1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_4_ 3 -1 -1 0 -1 -1 1 0 21 - 314 CLK_000_P_SYNC_3_ 3 -1 -1 0 -1 -1 1 0 21 - 313 CLK_000_P_SYNC_2_ 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_000_P_SYNC_1_ 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_000_P_SYNC_0_ 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -108 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 329 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 332 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 331 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 328 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 330 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 338 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 334 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 328 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 325 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 339 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 326 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 324 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 332 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 331 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 330 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 341 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 306 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 335 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 340 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 338 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 337 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 333 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 329 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 320 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 -1 1 2 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 309 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 322 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 323 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 321 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 319 CLK_000_P_SYNC_8_ 3 -1 -1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_7_ 3 -1 -1 0 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_6_ 3 -1 -1 0 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_5_ 3 -1 -1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_4_ 3 -1 -1 0 -1 -1 1 0 21 - 314 CLK_000_P_SYNC_3_ 3 -1 -1 0 -1 -1 1 0 21 - 313 CLK_000_P_SYNC_2_ 3 -1 -1 0 -1 -1 1 0 21 - 312 CLK_000_P_SYNC_1_ 3 -1 -1 0 -1 -1 1 0 21 - 311 CLK_000_P_SYNC_0_ 3 -1 -1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 -1 0 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 6 0 1 2 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 21 AVEC_EXP 0 -1 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 321 3 5 0 1 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 324 3 4 0 1 6 7 30 -1 11 0 21 - 31 UDS_000 5 323 3 4 0 1 6 7 31 -1 7 0 21 - 81 AS_030 5 320 7 3 3 6 7 81 -1 4 0 21 - 70 RW 5 -1 6 3 3 4 6 70 -1 1 0 21 - 79 RW_000 5 -1 7 2 0 6 79 -1 1 0 21 - 97 DS_030 5 322 0 1 3 97 -1 7 0 21 - 80 DSACK1 5 328 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 65 E 5 329 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 317 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 77 FPU_CS 5 327 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 330 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 320 RN_AS_030 3 81 7 5 0 1 3 6 7 81 -1 4 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 293 inst_avec_expreg 3 -1 6 3 2 3 6 -1 -1 6 0 21 - 315 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 - 306 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 - 329 RN_E 3 65 6 3 0 3 6 65 -1 3 1 21 - 303 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 2 0 21 - 307 inst_RW_000_INT 3 -1 6 2 6 7 -1 -1 14 0 21 - 294 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 8 0 21 - 309 inst_CLK_030_H 3 -1 1 2 0 1 -1 -1 5 0 21 - 316 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 314 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 313 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 330 RN_VMA 3 34 3 2 0 3 34 -1 2 1 21 - 327 RN_FPU_CS 3 77 7 2 4 7 77 -1 2 0 21 - 310 SM_AMIGA_5_ 3 -1 3 2 0 3 -1 -1 2 0 21 - 305 SM_AMIGA_0_ 3 -1 7 2 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 308 inst_CLK_000_D2 3 -1 7 2 6 7 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 0 2 0 3 -1 -1 1 0 21 - 324 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 323 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 312 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 321 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 0 1 0 -1 -1 2 0 21 - 300 inst_DTACK_D0 3 -1 1 1 0 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 1 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 4 0 1 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 323 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 322 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 319 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 321 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 327 7 0 80 -1 2 0 21 - 77 FPU_CS 0 7 0 77 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 -1 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 325 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 319 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 323 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 322 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 321 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 329 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 326 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 - 324 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 318 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 321 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 320 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 317 7 2 3 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 319 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 324 7 0 80 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 323 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 317 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 8 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 319 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 324 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -95 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 318 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 321 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 320 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 317 7 3 3 4 7 81 -1 4 0 21 - 70 RW 5 -1 -1 2 3 4 70 -1 1 0 21 - 97 DS_030 5 319 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 79 RW_000 5 -1 -1 1 0 79 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 324 7 0 80 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 323 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 317 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 314 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 315 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 313 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 319 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 7 0 21 - 327 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 308 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 324 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 309 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 1 3 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 1 3 -1 -1 2 0 21 - 307 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 306 inst_RW_000_INT 3 -1 -1 0 -1 -1 14 0 21 - 311 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 312 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 310 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -96 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 317 3 4 0 4 6 7 32 -1 2 0 21 - 30 LDS_000 5 321 3 3 0 6 7 30 -1 11 0 21 - 31 UDS_000 5 320 3 3 0 6 7 31 -1 7 0 21 - 81 AS_030 5 316 7 3 3 4 7 81 -1 4 0 21 - 70 RW 5 327 6 3 3 4 6 70 -1 3 0 21 - 79 RW_000 5 318 7 2 0 7 79 -1 4 0 21 - 97 DS_030 5 319 0 1 3 97 -1 7 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 68 A0 5 -1 6 1 3 68 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 6 0 21 - 65 E 0 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 0 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 0 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 0 1 0 6 -1 3 0 21 - 82 BGACK_030 0 7 0 82 -1 2 0 21 - 80 DSACK1 5 324 7 0 80 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 0 3 0 34 -1 2 1 21 - 28 BG_000 0 3 0 28 -1 2 0 21 - 91 AVEC 0 -1 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 29 DTACK 0 -1 0 29 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 -1 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 323 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 -1 4 1 3 6 7 -1 -1 1 0 21 - 316 RN_AS_030 3 81 7 3 0 6 7 81 -1 4 0 21 - 313 cpu_est_1_ 3 -1 -1 2 3 6 -1 -1 4 0 21 - 325 RN_E 3 65 6 2 3 6 65 -1 3 1 21 - 314 cpu_est_2_ 3 -1 -1 2 3 6 -1 -1 3 1 21 - 312 cpu_est_0_ 3 -1 -1 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 -1 2 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 -1 2 3 6 -1 -1 2 0 21 - 303 SM_AMIGA_6_ 3 -1 -1 2 3 6 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 -1 2 3 7 -1 -1 2 0 21 - 321 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 - 320 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 - 319 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 293 inst_AS_030_000_SYNC 3 -1 -1 1 3 -1 -1 7 0 21 - 328 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 307 inst_CLK_030_H 3 -1 -1 1 0 -1 -1 5 0 21 - 318 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 - 305 SM_AMIGA_7_ 3 -1 -1 1 3 -1 -1 4 0 21 - 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_RW 3 70 6 1 6 70 -1 3 0 21 - 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 RN_VMA 3 34 3 1 3 34 -1 2 1 21 - 324 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 322 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 317 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 308 SM_AMIGA_5_ 3 -1 -1 1 3 -1 -1 2 0 21 - 306 inst_CLK_000_D2 3 -1 -1 1 3 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 -1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 -1 1 3 -1 -1 1 0 21 - 310 SM_AMIGA_3_ 3 -1 -1 0 -1 -1 4 0 21 - 311 SM_AMIGA_2_ 3 -1 -1 0 -1 -1 3 0 21 - 309 SM_AMIGA_4_ 3 -1 -1 0 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 -1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 -1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 -1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 - 35 VPA 1 -1 -1 0 35 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 321 7 6 1 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 330 6 3 2 4 6 70 -1 3 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 323 7 2 0 7 79 -1 4 0 21 - 97 DS_030 5 324 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 6 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 316 cpu_est_1_ 3 -1 0 4 0 2 3 6 -1 -1 4 0 21 - 328 RN_E 3 65 6 4 0 2 3 6 65 -1 3 1 21 - 305 SM_AMIGA_6_ 3 -1 5 4 2 3 5 6 -1 -1 2 0 21 - 304 SM_AMIGA_0_ 3 -1 2 4 2 3 5 6 -1 -1 2 0 21 - 317 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 302 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 6 0 21 - 303 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 309 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 308 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 311 SM_AMIGA_5_ 3 -1 6 2 2 6 -1 -1 2 0 21 - 310 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 inst_CLK_000_D2 3 -1 7 2 3 5 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 0 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 2 2 3 -1 -1 1 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 307 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 323 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 330 RN_RW 3 70 6 1 6 70 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 1 2 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 321 7 6 0 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 322 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 330 6 3 0 4 6 70 -1 3 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 323 7 2 0 7 79 -1 4 0 21 - 97 DS_030 5 324 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 327 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 6 0 21 - 65 E 5 328 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 320 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 - 82 BGACK_030 5 326 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 329 3 0 34 -1 2 1 21 - 28 BG_000 5 325 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 326 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 321 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 315 cpu_est_0_ 3 -1 0 4 0 2 3 6 -1 -1 3 0 21 - 305 SM_AMIGA_6_ 3 -1 5 4 0 3 5 6 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 2 3 5 -1 -1 7 0 21 - 316 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 4 0 21 - 328 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 3 1 21 - 304 SM_AMIGA_0_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 - 306 inst_CLK_000_D2 3 -1 7 3 2 3 5 -1 -1 1 0 21 - 314 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 309 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 308 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 329 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 312 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 310 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 2 3 -1 -1 1 0 21 - 324 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 307 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 323 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 330 RN_RW 3 70 6 1 6 70 -1 3 0 21 - 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 327 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 325 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 0 1 0 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 319 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 328 6 3 1 4 6 70 -1 3 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 321 7 2 0 7 79 -1 4 0 21 - 97 DS_030 5 322 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 325 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 6 0 21 - 65 E 5 326 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 1 21 - 28 BG_000 5 323 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 324 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 316 cpu_est_1_ 3 -1 0 4 0 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 4 0 2 3 6 65 -1 3 1 21 - 305 SM_AMIGA_6_ 3 -1 1 4 0 1 3 6 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 1 3 5 -1 -1 7 0 21 - 303 SM_AMIGA_7_ 3 -1 5 3 1 3 5 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 306 inst_CLK_000_D2 3 -1 7 3 1 3 5 -1 -1 1 0 21 - 309 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 312 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 308 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 2 2 3 -1 -1 1 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 307 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 321 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 328 RN_RW 3 70 6 1 6 70 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 314 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 325 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 319 7 5 0 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 328 6 3 0 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 321 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 322 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 325 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 6 0 21 - 65 E 5 326 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 1 21 - 28 BG_000 5 323 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 324 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 5 4 0 3 5 7 -1 -1 2 0 21 - 316 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 315 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 3 1 2 6 -1 -1 3 0 21 - 304 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 302 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 7 0 21 - 303 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 317 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 314 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 309 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 311 SM_AMIGA_5_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 310 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 306 inst_CLK_000_D2 3 -1 5 2 3 5 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 2 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 307 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 328 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 321 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 325 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 1 2 -1 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 2 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -97 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 319 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 320 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 328 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 321 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 322 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 325 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 329 3 0 33 -1 6 0 21 - 65 E 5 326 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 318 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 331 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 330 1 0 6 -1 3 0 21 - 82 BGACK_030 5 324 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 327 3 0 34 -1 2 1 21 - 28 BG_000 5 323 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 324 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 305 SM_AMIGA_6_ 3 -1 5 5 0 1 3 5 7 -1 -1 2 0 21 - 319 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 302 SM_AMIGA_1_ 3 -1 6 4 3 5 6 7 -1 -1 2 0 21 - 316 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 326 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 317 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 3 1 21 - 315 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 3 0 21 - 303 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 7 0 21 - 304 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 314 SM_AMIGA_2_ 3 -1 2 2 2 6 -1 -1 3 0 21 - 309 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 327 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 312 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 311 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 308 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 306 inst_CLK_000_D2 3 -1 5 2 3 5 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 322 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 329 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 321 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 307 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 328 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 313 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 325 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 323 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 299 inst_DTACK_D0 3 -1 0 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 10 CLK_000 1 -1 -1 5 0 1 3 5 7 10 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -109 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 330 7 5 0 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 331 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 341 6 3 0 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 333 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 335 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 338 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 342 3 0 33 -1 6 0 21 - 65 E 5 339 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 329 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 337 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 340 3 0 34 -1 2 1 21 - 28 BG_000 5 336 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 1 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 337 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 0 6 1 2 3 5 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 4 2 3 5 6 -1 -1 5 0 21 - 330 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 327 cpu_est_1_ 3 -1 5 4 2 3 5 6 -1 -1 4 0 21 - 308 SM_AMIGA_7_ 3 -1 2 4 2 3 5 6 -1 -1 4 0 21 - 339 RN_E 3 65 6 4 2 3 5 6 65 -1 3 1 21 - 306 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 2 3 4 6 -1 -1 1 0 21 - 328 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 3 1 21 - 326 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 307 SM_AMIGA_0_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 311 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 340 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 323 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 312 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 310 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 335 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 342 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 341 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 324 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 325 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 338 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 336 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 331 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 0 1 0 -1 -1 2 0 21 - 322 CLK_000_P_SYNC_8_ 3 -1 7 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_7_ 3 -1 2 1 7 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_6_ 3 -1 2 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_4_ 3 -1 5 1 6 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_3_ 3 -1 0 1 5 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_1_ 3 -1 5 1 0 -1 -1 1 0 21 - 314 CLK_000_P_SYNC_0_ 3 -1 6 1 5 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 1 6 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -109 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 330 7 5 0 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 331 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 341 6 3 0 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 333 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 335 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 338 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 342 3 0 33 -1 6 0 21 - 65 E 5 339 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 329 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 - 82 BGACK_030 5 337 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 340 3 0 34 -1 2 1 21 - 28 BG_000 5 336 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 1 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 337 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 0 6 1 2 3 5 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 4 2 3 5 6 -1 -1 5 0 21 - 330 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 327 cpu_est_1_ 3 -1 5 4 2 3 5 6 -1 -1 4 0 21 - 308 SM_AMIGA_7_ 3 -1 2 4 2 3 5 6 -1 -1 4 0 21 - 339 RN_E 3 65 6 4 2 3 5 6 65 -1 3 1 21 - 306 SM_AMIGA_6_ 3 -1 6 4 0 3 6 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 2 3 4 6 -1 -1 1 0 21 - 328 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 3 1 21 - 326 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 307 SM_AMIGA_0_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 311 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 340 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 323 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 312 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 310 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 335 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 342 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 341 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 324 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 329 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 325 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 338 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 336 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 331 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 313 SM_AMIGA_5_ 3 -1 0 1 0 -1 -1 2 0 21 - 322 CLK_000_P_SYNC_8_ 3 -1 7 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_7_ 3 -1 2 1 7 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_6_ 3 -1 2 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_4_ 3 -1 5 1 6 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_3_ 3 -1 0 1 5 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_1_ 3 -1 5 1 0 -1 -1 1 0 21 - 314 CLK_000_P_SYNC_0_ 3 -1 6 1 5 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 1 6 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -121 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 353 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 345 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 350 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 354 3 0 33 -1 6 0 21 - 65 E 5 351 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 347 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 346 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 352 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 0 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 3 5 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 339 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 351 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 3 1 2 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 310 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 340 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 352 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 3 5 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 2 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 2 1 3 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 354 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 353 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 336 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 347 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 346 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 337 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 350 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 335 SM_AMIGA_4_ 3 -1 1 1 1 -1 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 4 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 5 1 4 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 7 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 1 1 7 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 0 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 5 1 0 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 0 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 5 1 5 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 2 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 6 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 4 1 6 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 3 1 5 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 2 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 345 0 2 1 6 97 -1 7 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 1 6 68 -1 1 0 21 - 80 DSACK1 5 348 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 349 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 350 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 356 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 350 RN_AVEC_EXP 3 21 2 6 0 1 2 3 5 7 21 -1 1 0 21 - 309 SM_AMIGA_6_ 3 -1 1 5 0 1 2 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D1 3 -1 3 5 1 4 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 3 5 1 3 5 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 - 356 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 4 0 2 3 5 19 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 1 3 5 -1 -1 5 0 21 - 339 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_7_ 3 -1 5 3 1 3 5 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 338 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 5 3 1 3 5 -1 -1 1 0 21 - 298 inst_CLK_000_D2 3 -1 4 3 1 3 5 -1 -1 1 0 21 - 340 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 312 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 325 SM_AMIGA_5_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 2 3 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 327 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 349 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 348 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 326 SM_AMIGA_4_ 3 -1 2 1 2 -1 -1 2 0 21 - 337 CLK_000_P_SYNC_8_ 3 -1 5 1 0 -1 -1 1 0 21 - 336 CLK_000_P_SYNC_7_ 3 -1 5 1 5 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_6_ 3 -1 2 1 5 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_2_ 3 -1 2 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_0_ 3 -1 1 1 0 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_10_ 3 -1 2 1 0 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_8_ 3 -1 4 1 0 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_7_ 3 -1 7 1 4 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_6_ 3 -1 0 1 7 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_5_ 3 -1 0 1 0 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_4_ 3 -1 7 1 0 -1 -1 1 0 21 - 317 CLK_000_N_SYNC_3_ 3 -1 5 1 7 -1 -1 1 0 21 - 316 CLK_000_N_SYNC_2_ 3 -1 6 1 5 -1 -1 1 0 21 - 315 CLK_000_N_SYNC_1_ 3 -1 4 1 6 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 4 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 345 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 348 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 349 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 350 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 356 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 2 5 0 1 3 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 350 RN_AVEC_EXP 3 21 2 4 2 3 5 7 21 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 0 1 3 7 -1 -1 1 0 21 - 339 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 309 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 356 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 3 2 3 5 19 -1 1 0 21 - 310 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 3 2 3 7 -1 -1 4 0 21 - 340 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 326 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 3 2 0 1 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 3 5 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 327 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 349 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 328 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 348 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 325 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 337 CLK_000_P_SYNC_8_ 3 -1 2 1 1 -1 -1 1 0 21 - 336 CLK_000_P_SYNC_7_ 3 -1 5 1 2 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_6_ 3 -1 4 1 5 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_5_ 3 -1 0 1 4 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_4_ 3 -1 5 1 0 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_3_ 3 -1 1 1 5 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_0_ 3 -1 1 1 0 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_9_ 3 -1 1 1 0 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_8_ 3 -1 4 1 1 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_7_ 3 -1 0 1 4 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_5_ 3 -1 0 1 0 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_4_ 3 -1 2 1 0 -1 -1 1 0 21 - 317 CLK_000_N_SYNC_3_ 3 -1 5 1 2 -1 -1 1 0 21 - 316 CLK_000_N_SYNC_2_ 3 -1 5 1 5 -1 -1 1 0 21 - 315 CLK_000_N_SYNC_1_ 3 -1 5 1 5 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 5 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 345 0 1 6 97 -1 7 0 21 - 80 DSACK1 5 348 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 68 A0 5 -1 6 1 6 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 349 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 350 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 356 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 1 6 0 1 2 3 6 7 -1 -1 1 0 21 - 350 RN_AVEC_EXP 3 21 2 5 0 1 2 3 7 21 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 4 0 2 3 5 -1 -1 5 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 339 cpu_est_1_ 3 -1 2 4 1 2 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_7_ 3 -1 2 4 0 2 3 5 -1 -1 4 0 21 - 352 RN_E 3 65 6 4 1 2 3 6 65 -1 3 1 21 - 309 SM_AMIGA_6_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 - 356 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 4 1 2 3 7 19 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 0 2 3 6 -1 -1 1 0 21 - 340 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 5 3 1 5 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 2 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 312 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 335 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 4 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 2 1 3 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 336 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 349 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 337 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 348 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 0 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 0 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 5 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 2 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 0 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 7 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 1 1 7 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 7 1 1 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 0 1 7 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 5 1 0 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 5 1 5 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 6 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 5 1 6 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 4 1 5 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 4 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 0 1 4 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 6 1 0 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 4 1 6 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 0 1 4 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 2 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 5 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 5 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 352 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 345 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 348 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 353 3 0 33 -1 7 0 21 - 65 E 5 350 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 356 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 354 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 351 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 349 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 355 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 349 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 5 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 4 5 1 3 5 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 306 SM_AMIGA_0_ 3 -1 2 4 2 3 6 7 -1 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 6 4 2 3 6 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 1 3 1 3 6 -1 -1 5 0 21 - 339 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 350 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 338 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_7_ 3 -1 6 3 1 3 6 -1 -1 3 0 21 - 307 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 5 3 1 5 6 -1 -1 3 0 21 - 340 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 337 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 351 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 335 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 355 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 2 2 3 19 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 4 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 2 3 5 -1 -1 1 0 21 - 353 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 336 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 352 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 354 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 348 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 6 1 6 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 0 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 1 1 0 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 2 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 1 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 5 1 1 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 0 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 5 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 4 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 4 1 4 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 0 1 4 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 5 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 7 1 5 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 2 1 7 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 6 1 2 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 5 1 6 -1 -1 1 0 21 - 305 CLK_000_N_SYNC_11_ 3 -1 6 1 2 -1 -1 1 0 21 - 304 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 7 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 5 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 5 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 1 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 1 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 1 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 1 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 1 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 1 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 1 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 1 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 345 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 348 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 7 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 349 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 350 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 356 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 350 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 2 6 1 3 4 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 1 2 3 5 6 7 -1 -1 1 0 21 - 356 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 5 1 2 3 5 6 19 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 1 4 1 3 6 7 -1 -1 3 0 21 - 306 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 21 - 308 SM_AMIGA_6_ 3 -1 1 4 1 3 5 7 -1 -1 2 0 21 - 303 inst_CLK_000_D2 3 -1 4 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D3 3 -1 1 4 1 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 1 2 3 -1 -1 5 0 21 - 339 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 1 3 1 2 3 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 338 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 2 3 1 2 6 -1 -1 3 0 21 - 310 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 340 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 337 SM_AMIGA_2_ 3 -1 5 2 1 5 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 335 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 2 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 3 5 -1 -1 1 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 7 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 336 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 349 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 348 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 0 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 5 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 4 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 2 1 4 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 6 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 2 1 6 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 5 1 5 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 0 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 7 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 5 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 6 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 0 1 6 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 7 1 0 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 0 1 7 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 4 1 0 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 0 1 4 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 6 1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 7 1 6 -1 -1 1 0 21 - 305 CLK_000_N_SYNC_11_ 3 -1 2 1 2 -1 -1 1 0 21 - 304 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 5 1 5 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 345 0 2 2 5 97 -1 7 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 5 0 21 - 68 A0 5 -1 6 2 2 5 68 -1 1 0 21 - 80 DSACK1 5 350 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 5 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 349 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 348 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 351 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 356 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 6 0 1 2 3 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 5 1 2 3 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 2 0 21 - 351 RN_AVEC_EXP 3 21 2 4 0 1 2 7 21 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 5 0 21 - 339 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_7_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 340 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 0 1 7 -1 -1 2 0 21 - 356 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 3 0 1 2 19 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 0 3 0 1 3 -1 -1 1 0 21 - 310 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 337 SM_AMIGA_2_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 336 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 350 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 335 SM_AMIGA_4_ 3 -1 2 1 2 -1 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 5 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 5 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 4 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 0 1 4 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 5 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 7 1 5 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 5 1 7 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 2 1 5 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 1 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 5 1 5 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 6 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 2 1 6 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 7 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 6 1 7 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 1 1 6 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 0 1 1 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 3 1 1 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 7 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -122 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 345 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 350 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 349 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 348 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 351 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 3 4 3 5 6 7 -1 -1 2 0 21 - 339 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 340 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 2 3 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 2 3 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 3 2 2 3 -1 -1 4 0 21 - 337 SM_AMIGA_2_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 6 2 5 6 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 0 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 5 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 336 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 350 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 335 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 2 0 21 - 351 RN_AVEC_EXP 3 21 2 1 5 21 -1 1 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 6 1 5 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 0 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 2 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 2 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 7 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 7 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 4 1 7 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 2 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 0 1 2 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 5 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 1 2 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 5 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -122 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 341 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 342 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 353 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 343 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 344 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 349 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 354 3 0 33 -1 6 0 21 - 65 E 5 351 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 340 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 348 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 347 1 0 6 -1 3 0 21 - 82 BGACK_030 5 346 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 352 3 0 34 -1 2 1 21 - 28 BG_000 5 345 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 350 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 355 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 346 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 0 6 0 1 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 1 3 5 6 7 -1 -1 1 0 21 - 341 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 308 SM_AMIGA_6_ 3 -1 6 4 1 3 6 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 6 -1 -1 5 0 21 - 338 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 306 SM_AMIGA_7_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 351 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 339 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 3 1 21 - 337 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 5 3 1 5 6 -1 -1 3 0 21 - 324 SM_AMIGA_5_ 3 -1 7 3 1 5 7 -1 -1 2 0 21 - 307 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 303 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 301 inst_CLK_000_D2 3 -1 7 3 2 3 6 -1 -1 1 0 21 - 336 SM_AMIGA_2_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 311 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 352 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 312 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 310 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 4 2 4 5 -1 -1 1 0 21 - 344 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 354 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 335 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 309 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 353 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 348 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 347 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 343 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 340 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 349 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 345 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 342 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 334 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 2 0 21 - 355 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 5 19 -1 1 0 21 - 350 RN_AVEC_EXP 3 21 2 1 5 21 -1 1 0 21 - 333 CLK_000_P_SYNC_8_ 3 -1 5 1 2 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_7_ 3 -1 5 1 5 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_6_ 3 -1 5 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_5_ 3 -1 2 1 5 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_3_ 3 -1 0 1 2 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_1_ 3 -1 4 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_0_ 3 -1 6 1 4 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_10_ 3 -1 2 1 2 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_8_ 3 -1 4 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_7_ 3 -1 2 1 4 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_5_ 3 -1 0 1 1 -1 -1 1 0 21 - 317 CLK_000_N_SYNC_4_ 3 -1 7 1 0 -1 -1 1 0 21 - 316 CLK_000_N_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 315 CLK_000_N_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_0_ 3 -1 6 1 0 -1 -1 1 0 21 - 305 CLK_000_N_SYNC_11_ 3 -1 2 1 2 -1 -1 1 0 21 - 304 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 302 inst_CLK_000_D3 3 -1 2 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -122 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 345 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 350 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 349 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 348 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 351 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 3 4 3 5 6 7 -1 -1 2 0 21 - 339 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 340 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 2 3 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 2 3 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 3 2 2 3 -1 -1 4 0 21 - 337 SM_AMIGA_2_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 6 2 5 6 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 0 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 5 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 336 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 350 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 335 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 2 0 21 - 351 RN_AVEC_EXP 3 21 2 1 5 21 -1 1 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 6 1 5 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 0 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 2 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 2 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 7 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 7 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 4 1 7 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 2 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 0 1 2 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 5 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 1 2 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 5 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -122 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 345 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 350 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 349 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 348 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 351 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 1 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 5 5 1 3 5 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 339 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 338 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 340 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 337 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 335 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 351 RN_AVEC_EXP 3 21 2 2 1 2 21 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 2 3 5 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 0 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 336 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 350 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 0 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 6 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 6 1 5 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 0 1 6 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 6 1 0 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 4 1 1 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 7 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 1 1 7 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 0 1 1 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 4 1 0 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 0 1 4 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 6 1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 5 1 6 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 2 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 3 1 5 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 7 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 4 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 345 0 2 0 1 97 -1 7 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 - 80 DSACK1 5 348 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 351 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 352 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 349 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 356 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 2 6 1 2 3 5 6 7 -1 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 3 4 3 5 6 7 -1 -1 2 0 21 - 339 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 351 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 304 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 3 3 5 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 3 2 3 7 -1 -1 4 0 21 - 340 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 337 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 335 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 6 2 5 6 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 3 2 3 7 -1 -1 2 0 21 - 356 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 2 3 5 19 -1 1 0 21 - 349 RN_AVEC_EXP 3 21 2 2 2 5 21 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 336 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 352 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 348 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 1 1 5 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 2 1 1 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 0 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 1 1 0 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 0 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 1 1 0 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 2 1 1 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 5 1 4 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 5 1 5 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 2 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 5 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 2 1 5 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 4 1 0 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 5 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 1 5 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -122 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 345 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 350 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 349 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 348 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 351 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 3 4 3 5 6 7 -1 -1 2 0 21 - 339 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 340 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 2 3 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 2 3 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 3 2 2 3 -1 -1 4 0 21 - 337 SM_AMIGA_2_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 6 2 5 6 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 0 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 5 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 336 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 350 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 335 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 2 0 21 - 351 RN_AVEC_EXP 3 21 2 1 5 21 -1 1 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 6 1 5 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 0 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 2 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 2 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 7 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 7 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 4 1 7 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 2 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 0 1 2 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 5 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 1 2 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 5 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -122 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 345 0 2 1 5 97 -1 7 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 5 0 21 - 68 A0 5 -1 6 2 1 5 68 -1 1 0 21 - 80 DSACK1 5 349 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 350 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 348 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 351 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 6 1 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 351 RN_AVEC_EXP 3 21 2 4 0 2 5 7 21 -1 1 0 21 - 339 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 340 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 3 3 1 3 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 3 2 3 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 337 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 335 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 336 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 350 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 349 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 5 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 5 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 5 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 0 1 5 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 6 1 0 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 6 1 2 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 2 1 6 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 4 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 0 1 4 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 7 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 5 1 7 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 5 1 5 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 7 1 5 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 2 1 7 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 2 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 1 2 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 6 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -122 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 345 0 2 1 5 97 -1 7 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 5 0 21 - 68 A0 5 -1 6 2 1 5 68 -1 1 0 21 - 80 DSACK1 5 349 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 350 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 348 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 351 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 3 6 1 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 351 RN_AVEC_EXP 3 21 2 4 0 2 5 7 21 -1 1 0 21 - 339 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 2 3 6 65 -1 3 1 21 - 340 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 3 3 1 3 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 7 3 2 3 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 337 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 335 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 336 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 350 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 349 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 5 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 5 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 5 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 0 1 5 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 6 1 0 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 6 1 2 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 2 1 6 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 4 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 0 1 4 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 7 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 5 1 7 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 5 1 5 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 7 1 5 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 2 1 7 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 2 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 1 2 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 6 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 343 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 344 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 345 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 346 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 349 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 342 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 348 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 347 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 4 7 0 1 3 4 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 4 6 7 -1 -1 1 0 21 - 348 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 343 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 6 4 2 3 6 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 4 4 0 3 5 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 340 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 307 SM_AMIGA_7_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 353 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 339 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 3 1 2 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 352 RN_AVEC_EXP 3 21 2 3 1 5 7 21 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 3 2 4 7 -1 -1 1 0 21 - 341 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 338 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 295 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 346 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 345 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 337 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 342 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 349 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 347 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 344 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 SM_AMIGA_4_ 3 -1 1 1 1 -1 -1 2 0 21 - 335 CLK_000_N_SYNC_10_ 3 -1 4 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_9_ 3 -1 0 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_6_ 3 -1 0 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_5_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_4_ 3 -1 5 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_3_ 3 -1 7 1 5 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_2_ 3 -1 5 1 7 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_1_ 3 -1 7 1 5 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_0_ 3 -1 0 1 7 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_9_ 3 -1 6 1 6 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 5 1 6 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 5 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 5 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 2 1 5 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 6 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 1 1 6 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 2 1 6 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 0 1 2 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_10_ 3 -1 6 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 3 1 0 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 5 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 347 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 350 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 352 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 351 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 7 0 1 3 4 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 6 4 3 5 6 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 4 4 0 1 3 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 6 -1 -1 5 0 21 - 341 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 307 SM_AMIGA_7_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 354 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 301 inst_CLK_OUT_PRE_25 3 -1 5 3 1 5 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 3 5 6 7 21 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 7 2 5 7 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 303 inst_CLK_000_D3 3 -1 3 2 0 1 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 4 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 2 3 5 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 352 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 351 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 350 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 2 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 5 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 5 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_6_ 3 -1 5 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_5_ 3 -1 0 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_10_ 3 -1 1 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_9_ 3 -1 1 1 1 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 2 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 4 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 6 1 4 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 7 1 6 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 4 1 7 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 2 1 4 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 0 1 5 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 5 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -122 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 345 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 350 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 349 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 348 1 0 6 -1 3 0 21 - 82 BGACK_030 5 347 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 346 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 351 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 347 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 3 4 3 5 6 7 -1 -1 2 0 21 - 339 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 352 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 340 cpu_est_2_ 3 -1 6 3 3 5 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 304 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 3 2 3 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 2 3 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 3 2 2 3 -1 -1 4 0 21 - 337 SM_AMIGA_2_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 6 2 5 6 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 0 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 3 5 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 336 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 350 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 335 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 2 0 21 - 351 RN_AVEC_EXP 3 21 2 1 5 21 -1 1 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 6 1 5 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 0 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 2 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 2 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 7 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 7 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 4 1 7 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 2 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 0 1 2 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 5 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 1 2 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 1 1 5 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -121 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 342 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 343 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 353 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 345 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 344 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 350 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 354 3 0 33 -1 6 0 21 - 65 E 5 351 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 341 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 347 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 346 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 352 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 0 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 7 5 1 3 5 6 7 -1 -1 1 0 21 - 342 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 339 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 351 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 3 1 2 6 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 310 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 307 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 340 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 338 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 352 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 3 5 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 2 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 2 1 3 -1 -1 1 0 21 - 345 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 354 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 353 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 336 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 347 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 346 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 341 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 337 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 350 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 343 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 335 SM_AMIGA_4_ 3 -1 1 1 1 -1 -1 2 0 21 - 334 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_9_ 3 -1 4 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_8_ 3 -1 5 1 4 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_7_ 3 -1 7 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_6_ 3 -1 1 1 7 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_5_ 3 -1 0 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_4_ 3 -1 5 1 0 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_3_ 3 -1 0 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 5 1 5 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 2 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 6 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 4 1 6 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 306 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 3 1 5 -1 -1 1 0 21 - 299 inst_DTACK_D0 3 -1 2 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -110 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 331 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 332 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 342 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 333 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 334 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 339 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 343 3 0 33 -1 6 0 21 - 65 E 5 340 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 330 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 336 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 335 1 0 6 -1 3 0 21 - 82 BGACK_030 5 338 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 341 3 0 34 -1 2 1 21 - 28 BG_000 5 337 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 338 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 1 2 3 5 7 -1 -1 1 0 21 - 328 cpu_est_1_ 3 -1 5 4 1 3 5 6 -1 -1 5 0 21 - 340 RN_E 3 65 6 4 1 3 5 6 65 -1 4 0 21 - 331 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D0 3 -1 5 4 1 3 5 7 -1 -1 1 0 21 - 294 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 329 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 5 3 2 3 5 -1 -1 4 0 21 - 327 cpu_est_0_ 3 -1 6 3 3 5 6 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 3 5 7 -1 -1 2 0 21 - 307 SM_AMIGA_6_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D2 3 -1 2 3 3 5 7 -1 -1 1 0 21 - 312 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 341 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 314 SM_AMIGA_5_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 2 2 2 4 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 0 2 1 3 -1 -1 1 0 21 - 293 inst_avec_expreg 3 -1 4 2 5 6 -1 -1 1 0 21 - 334 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 343 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 342 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 325 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 335 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 333 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 330 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 326 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 339 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 337 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 332 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 324 SM_AMIGA_4_ 3 -1 1 1 1 -1 -1 2 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 0 1 0 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 2 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 2 1 2 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 5 1 5 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 7 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 6 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -110 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 331 7 5 0 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 332 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 342 6 3 0 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 334 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 336 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 339 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 343 3 0 33 -1 6 0 21 - 65 E 5 340 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 330 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 335 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 - 82 BGACK_030 5 338 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 341 3 0 34 -1 2 1 21 - 28 BG_000 5 337 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 338 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 4 6 0 1 2 3 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 1 2 3 5 7 -1 -1 1 0 21 - 293 inst_avec_expreg 3 -1 2 5 0 3 5 6 7 -1 -1 1 0 21 - 331 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 5 4 0 3 5 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 - 328 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 294 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 340 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 307 SM_AMIGA_7_ 3 -1 5 3 2 3 5 -1 -1 4 0 21 - 327 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 7 3 2 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D2 3 -1 2 3 0 3 5 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 2 3 0 1 2 -1 -1 1 0 21 - 329 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 326 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 312 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 341 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 324 SM_AMIGA_4_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 314 SM_AMIGA_5_ 3 -1 7 2 0 7 -1 -1 2 0 21 - 313 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 296 inst_VPA_D 3 -1 2 2 3 5 -1 -1 1 0 21 - 336 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 343 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 334 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 342 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 325 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 4 0 21 - 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 330 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 337 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 332 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 4 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 4 1 5 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 4 1 4 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 0 1 4 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 5 1 0 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 5 1 5 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 0 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 0 1 1 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 347 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 5 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 349 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 348 1 0 6 -1 3 0 21 - 82 BGACK_030 5 351 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 350 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 351 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 1 5 1 2 3 5 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 299 inst_CLK_000_D1 3 -1 7 4 1 3 5 7 -1 -1 1 0 21 - 293 inst_avec_expreg 3 -1 6 4 2 3 6 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 7 3 3 5 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 312 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 315 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 316 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 4 2 2 6 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 2 3 5 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 338 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 4 0 21 - 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 350 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 0 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 2 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 5 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 5 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_6_ 3 -1 0 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_5_ 3 -1 0 1 0 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_2_ 3 -1 2 1 5 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_1_ 3 -1 7 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_0_ 3 -1 5 1 7 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_8_ 3 -1 6 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_7_ 3 -1 2 1 6 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_6_ 3 -1 0 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_5_ 3 -1 5 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_4_ 3 -1 5 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_3_ 3 -1 2 1 5 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_2_ 3 -1 6 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_1_ 3 -1 0 1 6 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 2 1 0 -1 -1 1 0 21 - 307 inst_CLK_000_NE 3 -1 0 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 5 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 1 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 347 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 293 inst_avec_expreg 3 -1 5 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 1 5 1 3 5 6 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 2 4 1 2 3 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 4 1 2 3 6 65 -1 4 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 7 4 1 3 5 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 340 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 5 3 0 4 5 -1 -1 1 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 310 SM_AMIGA_7_ 3 -1 3 2 3 5 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 316 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 2 2 5 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 2 3 5 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 338 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 6 1 7 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 0 1 6 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_6_ 3 -1 5 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_4_ 3 -1 5 1 5 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_3_ 3 -1 6 1 5 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_8_ 3 -1 0 1 1 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_7_ 3 -1 1 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_6_ 3 -1 2 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_1_ 3 -1 6 1 0 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_0_ 3 -1 5 1 6 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 7 1 1 -1 -1 1 0 21 - 307 inst_CLK_000_NE 3 -1 1 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 5 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 4 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -122 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 343 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 344 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 354 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 345 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 346 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 355 3 0 33 -1 6 0 21 - 65 E 5 352 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 342 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 349 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 347 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 353 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 7 6 0 1 2 3 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 6 0 1 3 5 6 7 -1 -1 1 0 21 - 293 inst_avec_expreg 3 -1 2 5 1 2 3 6 7 -1 -1 1 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 4 0 2 3 5 -1 -1 5 0 21 - 343 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 2 4 1 2 3 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 4 0 2 3 5 -1 -1 1 0 21 - 340 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 5 0 21 - 352 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 308 SM_AMIGA_0_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 2 0 21 - 341 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 338 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 314 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 353 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 339 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 315 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 313 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 0 2 0 6 -1 -1 1 0 21 - 346 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 355 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 345 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 337 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 312 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 354 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 347 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 342 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 344 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 335 CLK_000_P_SYNC_8_ 3 -1 2 1 4 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_4_ 3 -1 2 1 6 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_3_ 3 -1 5 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_2_ 3 -1 5 1 5 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_1_ 3 -1 0 1 5 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_10_ 3 -1 5 1 0 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_9_ 3 -1 2 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_8_ 3 -1 5 1 2 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_7_ 3 -1 0 1 5 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_6_ 3 -1 1 1 0 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_5_ 3 -1 0 1 1 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_3_ 3 -1 5 1 4 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_2_ 3 -1 5 1 5 -1 -1 1 0 21 - 317 CLK_000_N_SYNC_1_ 3 -1 7 1 5 -1 -1 1 0 21 - 316 CLK_000_N_SYNC_0_ 3 -1 5 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 0 1 7 -1 -1 1 0 21 - 306 inst_CLK_000_NE 3 -1 7 1 1 -1 -1 1 0 21 - 305 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 3 1 5 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 0 1 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 1 3 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 347 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 5 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 293 inst_avec_expreg 3 -1 5 6 1 2 3 5 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 1 2 3 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 4 5 0 1 3 5 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 5 4 1 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 4 1 3 5 6 65 -1 4 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 2 4 2 3 6 7 -1 -1 2 0 21 - 303 inst_CLK_000_D2 3 -1 2 4 2 3 4 5 -1 -1 1 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 3 2 3 5 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 3 3 2 3 5 -1 -1 4 0 21 - 340 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 - 307 inst_CLK_000_NE 3 -1 1 3 1 2 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 2 3 0 2 6 -1 -1 1 0 21 - 330 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 - 315 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 328 SM_AMIGA_5_ 3 -1 6 2 2 6 -1 -1 2 0 21 - 316 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 2 2 5 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 5 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 329 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 339 CLK_000_P_SYNC_8_ 3 -1 0 1 1 -1 -1 1 0 21 - 338 CLK_000_P_SYNC_7_ 3 -1 4 1 0 -1 -1 1 0 21 - 337 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 - 336 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_4_ 3 -1 5 1 6 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_3_ 3 -1 5 1 5 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_2_ 3 -1 0 1 5 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 0 1 7 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 5 1 0 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 0 1 5 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_4_ 3 -1 1 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_1_ 3 -1 2 1 6 -1 -1 1 0 21 - 317 CLK_000_N_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 7 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 4 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 2 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 0 1 6 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 347 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 293 inst_avec_expreg 3 -1 5 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 1 5 1 3 5 6 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 2 4 1 2 3 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 4 1 2 3 6 65 -1 4 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 7 4 1 3 5 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 0 3 0 1 6 -1 -1 3 0 21 - 340 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 5 3 0 4 5 -1 -1 1 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 310 SM_AMIGA_7_ 3 -1 3 2 3 5 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 315 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 316 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 2 2 5 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 2 3 5 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 338 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 6 1 7 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 0 1 6 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_6_ 3 -1 5 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_4_ 3 -1 5 1 5 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_3_ 3 -1 6 1 5 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_8_ 3 -1 0 1 1 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_7_ 3 -1 1 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_6_ 3 -1 2 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_1_ 3 -1 6 1 0 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_0_ 3 -1 5 1 6 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 7 1 1 -1 -1 1 0 21 - 307 inst_CLK_000_NE 3 -1 1 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 5 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 4 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 347 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 5 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 352 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 0 7 0 1 2 3 4 5 7 -1 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 293 inst_avec_expreg 3 -1 6 6 1 2 3 5 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 4 5 0 1 3 5 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 341 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 309 SM_AMIGA_0_ 3 -1 3 3 3 5 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D2 3 -1 7 3 0 3 5 -1 -1 1 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 315 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 316 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 5 2 5 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 0 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 338 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 352 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 1 1 5 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 1 1 1 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 5 1 1 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 2 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_6_ 3 -1 5 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_5_ 3 -1 1 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_4_ 3 -1 0 1 1 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_2_ 3 -1 5 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_1_ 3 -1 4 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_0_ 3 -1 0 1 4 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_7_ 3 -1 5 1 6 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_6_ 3 -1 1 1 5 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_5_ 3 -1 2 1 1 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_4_ 3 -1 6 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_2_ 3 -1 4 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_1_ 3 -1 7 1 4 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_0_ 3 -1 0 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 5 1 0 -1 -1 1 0 21 - 307 inst_CLK_000_NE 3 -1 0 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 3 1 0 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 7 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 5 1 6 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 347 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 349 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 293 inst_avec_expreg 3 -1 6 6 0 2 3 5 6 7 -1 -1 1 0 21 - 311 SM_AMIGA_6_ 3 -1 6 5 0 2 3 6 7 -1 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 1 2 3 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 3 5 0 1 2 3 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 5 4 2 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 4 2 3 5 6 65 -1 4 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 305 SM_AMIGA_1_ 3 -1 1 4 0 1 3 7 -1 -1 2 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 340 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 306 inst_CLK_000_NE 3 -1 1 3 0 1 3 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 3 3 6 7 -1 -1 1 0 21 - 339 SM_AMIGA_2_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 315 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 316 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 2 2 2 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 338 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 349 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 0 1 0 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 6 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 2 1 6 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 5 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_6_ 3 -1 4 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_5_ 3 -1 5 1 4 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_4_ 3 -1 2 1 5 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_3_ 3 -1 5 1 2 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_2_ 3 -1 5 1 5 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_1_ 3 -1 5 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_0_ 3 -1 7 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_8_ 3 -1 0 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_7_ 3 -1 2 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_6_ 3 -1 6 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_5_ 3 -1 0 1 6 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_4_ 3 -1 5 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_3_ 3 -1 2 1 5 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_2_ 3 -1 2 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_0_ 3 -1 7 1 4 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 0 1 1 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 2 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 0 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 5 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 5 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 - 68 A0 5 -1 6 1 5 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 349 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 inst_avec_expreg 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 5 4 0 3 5 7 -1 -1 2 0 21 - 303 inst_CLK_000_D2 3 -1 3 4 2 3 5 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 4 1 3 6 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 5 0 21 - 294 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 2 3 2 3 5 -1 -1 4 0 21 - 340 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 0 3 0 1 5 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 7 3 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 315 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 316 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 5 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 349 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 0 1 0 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 1 1 4 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 2 1 1 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_4_ 3 -1 6 1 6 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_3_ 3 -1 1 1 6 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_2_ 3 -1 0 1 1 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_0_ 3 -1 6 1 0 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_8_ 3 -1 5 1 5 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_7_ 3 -1 0 1 5 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_6_ 3 -1 5 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_5_ 3 -1 2 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_3_ 3 -1 4 1 0 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_2_ 3 -1 2 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_0_ 3 -1 6 1 1 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 4 1 5 -1 -1 1 0 21 - 307 inst_CLK_000_NE 3 -1 5 1 0 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 3 1 6 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -123 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 347 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 5 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 7 5 1 2 3 6 7 -1 -1 1 0 21 - 293 inst_avec_expreg 3 -1 4 5 1 2 3 6 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 6 4 1 3 6 7 -1 -1 2 0 21 - 303 inst_CLK_000_D2 3 -1 2 4 1 2 3 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 4 1 2 3 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 5 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 5 3 1 5 6 -1 -1 3 0 21 - 307 inst_CLK_000_NE 3 -1 0 3 2 6 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 315 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 6 2 2 6 -1 -1 2 0 21 - 316 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 2 2 2 7 -1 -1 2 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 0 2 2 4 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 2 2 1 2 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 5 2 4 5 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 4 2 2 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 5 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 338 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 1 1 7 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 5 1 1 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 5 1 5 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 1 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_6_ 3 -1 2 1 1 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_3_ 3 -1 7 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_2_ 3 -1 2 1 7 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_0_ 3 -1 2 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_8_ 3 -1 5 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_7_ 3 -1 1 1 5 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_6_ 3 -1 6 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_4_ 3 -1 0 1 6 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_2_ 3 -1 0 1 5 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_1_ 3 -1 5 1 0 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_0_ 3 -1 1 1 5 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 7 1 0 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 5 1 2 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 5 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 346 7 5 0 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 347 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 357 6 3 0 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 348 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 349 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 354 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 355 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 345 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 353 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 352 1 0 6 -1 3 0 21 - 82 BGACK_030 5 351 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 356 3 0 34 -1 2 1 21 - 28 BG_000 5 350 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 293 inst_avec_expreg 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 351 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 6 5 1 2 3 5 7 -1 -1 1 0 21 - 343 cpu_est_1_ 3 -1 3 4 1 3 5 6 -1 -1 5 0 21 - 355 RN_E 3 65 6 4 1 3 5 6 65 -1 4 0 21 - 346 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 342 cpu_est_0_ 3 -1 0 4 0 3 5 6 -1 -1 2 0 21 - 313 SM_AMIGA_6_ 3 -1 5 4 0 3 5 7 -1 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 5 4 1 3 5 7 -1 -1 1 0 21 - 344 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 304 inst_CLK_000_D2 3 -1 7 3 3 5 7 -1 -1 1 0 21 - 315 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 312 SM_AMIGA_7_ 3 -1 3 2 3 5 -1 -1 4 0 21 - 341 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 317 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 2 2 2 5 -1 -1 3 0 21 - 356 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 318 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 316 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 6 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 4 2 2 4 -1 -1 1 0 21 - 300 inst_CLK_000_D3 3 -1 7 2 5 7 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 - 349 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 348 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 340 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 353 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 352 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 345 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 354 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 350 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 347 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 339 SM_AMIGA_5_ 3 -1 0 1 0 -1 -1 2 0 21 - 338 CLK_000_N_SYNC_10_ 3 -1 2 1 2 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_8_ 3 -1 1 1 1 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_7_ 3 -1 6 1 1 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_6_ 3 -1 4 1 6 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_5_ 3 -1 5 1 4 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_4_ 3 -1 6 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_3_ 3 -1 2 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_2_ 3 -1 0 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_0_ 3 -1 7 1 4 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_8_ 3 -1 5 1 4 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_7_ 3 -1 2 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_6_ 3 -1 0 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_5_ 3 -1 5 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_3_ 3 -1 0 1 1 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_2_ 3 -1 4 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_1_ 3 -1 5 1 4 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_0_ 3 -1 5 1 5 -1 -1 1 0 21 - 309 CLK_000_N_SYNC_11_ 3 -1 2 1 0 -1 -1 1 0 21 - 308 inst_CLK_000_NE 3 -1 0 1 0 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 5 1 6 -1 -1 1 0 21 - 301 inst_DTACK_D0 3 -1 0 1 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 2 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 347 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 348 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 358 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 350 0 2 0 6 97 -1 7 0 21 - 79 RW_000 5 349 7 2 0 6 79 -1 5 0 21 - 68 A0 5 -1 6 2 0 6 68 -1 1 0 21 - 80 DSACK1 5 355 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 359 3 0 33 -1 6 0 21 - 65 E 5 356 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 346 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 354 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 353 1 0 6 -1 3 0 21 - 82 BGACK_030 5 352 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 357 3 0 34 -1 2 1 21 - 28 BG_000 5 351 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 352 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 293 inst_avec_expreg 3 -1 1 6 1 2 3 5 6 7 -1 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 7 5 1 2 3 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D0 3 -1 4 5 1 2 3 5 7 -1 -1 1 0 21 - 347 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 314 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 2 0 21 - 304 inst_CLK_000_D2 3 -1 7 4 1 3 5 7 -1 -1 1 0 21 - 344 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 5 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 3 1 3 5 -1 -1 5 0 21 - 356 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 313 SM_AMIGA_7_ 3 -1 1 3 1 3 5 -1 -1 4 0 21 - 312 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 - 302 inst_CLK_OUT_PRE_50 3 -1 5 3 0 4 5 -1 -1 1 0 21 - 316 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 345 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 342 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 318 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 357 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 343 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 340 SM_AMIGA_5_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 319 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 317 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 309 inst_CLK_000_NE 3 -1 0 2 1 2 -1 -1 1 0 21 - 308 CLK_000_P_SYNC_9_ 3 -1 2 2 1 2 -1 -1 1 0 21 - 307 inst_CLK_OUT_PRE_D 3 -1 0 2 1 6 -1 -1 1 0 21 - 298 inst_CLK_OUT_PRE 3 -1 0 2 0 6 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 2 2 2 3 -1 -1 1 0 21 - 350 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 359 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 349 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 341 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 358 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 354 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 353 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 303 inst_CLK_OUT_PRE_25 3 -1 0 1 0 -1 -1 3 0 21 - 355 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 351 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 348 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 315 SM_AMIGA_4_ 3 -1 2 1 2 -1 -1 2 0 21 - 339 CLK_000_N_SYNC_10_ 3 -1 6 1 0 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_9_ 3 -1 2 1 6 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_8_ 3 -1 4 1 2 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_7_ 3 -1 5 1 4 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_6_ 3 -1 4 1 5 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_5_ 3 -1 6 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_4_ 3 -1 2 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_3_ 3 -1 5 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_2_ 3 -1 4 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_1_ 3 -1 5 1 4 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_0_ 3 -1 5 1 5 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_7_ 3 -1 1 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_6_ 3 -1 5 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_4_ 3 -1 0 1 5 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_3_ 3 -1 1 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_2_ 3 -1 1 1 1 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 310 CLK_000_N_SYNC_11_ 3 -1 0 1 0 -1 -1 1 0 21 - 306 inst_CLK_OUT_NE 3 -1 6 1 7 -1 -1 1 0 21 - 305 inst_CLK_000_D3 3 -1 7 1 5 -1 -1 1 0 21 - 301 inst_DTACK_D0 3 -1 0 1 2 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 346 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 347 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 357 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 349 0 2 0 1 97 -1 7 0 21 - 79 RW_000 5 348 7 2 0 6 79 -1 5 0 21 - 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 - 80 DSACK1 5 353 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 355 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 345 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 354 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 352 1 0 6 -1 3 0 21 - 82 BGACK_030 5 351 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 356 3 0 34 -1 2 1 21 - 28 BG_000 5 350 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 351 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 1 2 3 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 4 5 1 2 3 5 7 -1 -1 1 0 21 - 293 inst_avec_expreg 3 -1 2 5 2 3 5 6 7 -1 -1 1 0 21 - 346 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 313 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 343 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 5 0 21 - 355 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 342 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 294 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 344 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 312 SM_AMIGA_7_ 3 -1 3 2 3 5 -1 -1 4 0 21 - 317 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 4 -1 -1 3 0 21 - 356 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 318 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 316 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 306 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 3 2 3 5 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 296 inst_VPA_D 3 -1 7 2 2 3 -1 -1 1 0 21 - 349 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 348 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 340 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 354 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 352 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 345 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 341 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 353 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 350 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 347 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 339 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 314 SM_AMIGA_4_ 3 -1 2 1 2 -1 -1 2 0 21 - 338 CLK_000_N_SYNC_10_ 3 -1 4 1 5 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_9_ 3 -1 0 1 4 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_8_ 3 -1 5 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_7_ 3 -1 1 1 5 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_5_ 3 -1 6 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_4_ 3 -1 6 1 6 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_7_ 3 -1 1 1 6 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_6_ 3 -1 2 1 1 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_4_ 3 -1 7 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_3_ 3 -1 5 1 7 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_2_ 3 -1 0 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 - 310 CLK_000_N_SYNC_11_ 3 -1 5 1 5 -1 -1 1 0 21 - 309 inst_CLK_000_NE 3 -1 5 1 2 -1 -1 1 0 21 - 308 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 307 inst_CLK_OUT_PRE 3 -1 4 1 5 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 5 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 7 1 2 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 295 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 7 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 357 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 348 0 1 5 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 5 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 - 68 A0 5 -1 6 1 5 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 355 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 354 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 352 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 356 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 6 0 1 3 5 6 7 21 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 0 5 1 2 3 5 7 -1 -1 1 0 21 - 342 cpu_est_1_ 3 -1 6 4 0 1 3 6 -1 -1 5 0 21 - 355 RN_E 3 65 6 4 0 1 3 6 65 -1 4 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 inst_CLK_000_D2 3 -1 7 4 0 1 2 3 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 7 4 1 2 3 7 -1 -1 1 0 21 - 343 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 - 341 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 2 0 21 - 312 SM_AMIGA_6_ 3 -1 3 3 3 5 7 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 306 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 314 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 2 3 -1 -1 5 0 21 - 311 SM_AMIGA_7_ 3 -1 2 2 2 3 -1 -1 4 0 21 - 340 SM_AMIGA_2_ 3 -1 1 2 1 5 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 2 6 -1 -1 3 0 21 - 356 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 2 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 1 3 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 339 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 354 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 352 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 338 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 337 CLK_000_N_SYNC_10_ 3 -1 6 1 0 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_9_ 3 -1 2 1 6 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_6_ 3 -1 5 1 4 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 7 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 0 1 7 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 4 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 6 1 4 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 1 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 1 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 6 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 2 1 6 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 4 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 0 1 4 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 309 CLK_000_N_SYNC_11_ 3 -1 0 1 5 -1 -1 1 0 21 - 308 inst_CLK_000_NE 3 -1 5 1 5 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 0 1 1 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 2 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 357 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 348 0 1 5 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 5 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 - 68 A0 5 -1 6 1 5 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 356 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 355 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 359 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 352 RN_AVEC_EXP 3 21 2 6 0 1 3 5 6 7 21 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 7 5 1 2 3 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 5 1 2 3 5 7 -1 -1 1 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_6_ 3 -1 3 4 0 1 3 7 -1 -1 2 0 21 - 342 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 341 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 2 3 1 2 6 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 3 1 2 3 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 2 2 3 -1 -1 5 0 21 - 343 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 311 SM_AMIGA_7_ 3 -1 3 2 2 3 -1 -1 4 0 21 - 316 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 1 2 1 4 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 338 SM_AMIGA_5_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 1 2 1 5 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 7 2 3 7 -1 -1 2 0 21 - 307 inst_CLK_000_NE 3 -1 0 2 0 1 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 3 5 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 339 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 340 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 359 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 337 CLK_000_N_SYNC_10_ 3 -1 0 1 6 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_9_ 3 -1 6 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_8_ 3 -1 2 1 6 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_7_ 3 -1 1 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_6_ 3 -1 4 1 1 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 0 1 4 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 6 1 0 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 2 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 5 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 0 1 5 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 4 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 0 1 4 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 5 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 5 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 5 1 5 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 6 1 5 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 2 1 6 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 6 1 0 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 1 1 2 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 7 1 5 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 4 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 348 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 357 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 355 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 359 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 352 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 4 5 1 2 3 5 7 -1 -1 1 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_6_ 3 -1 6 4 1 3 6 7 -1 -1 2 0 21 - 342 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 311 SM_AMIGA_7_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 341 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 3 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 3 3 5 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 3 4 6 7 -1 -1 1 0 21 - 314 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 343 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 340 SM_AMIGA_2_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 6 7 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 297 inst_CLK_OUT_PRE 3 -1 7 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 339 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 357 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 338 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 359 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 337 CLK_000_N_SYNC_10_ 3 -1 0 1 4 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_9_ 3 -1 5 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 2 1 6 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 5 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 2 1 5 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 5 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 0 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 5 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 4 1 5 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 4 1 2 -1 -1 1 0 21 - 307 inst_CLK_000_NE 3 -1 2 1 1 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 3 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 357 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 348 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 353 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 355 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 352 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 351 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 356 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 354 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 359 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 354 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 4 5 1 2 3 5 7 -1 -1 1 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_6_ 3 -1 6 4 1 3 6 7 -1 -1 2 0 21 - 342 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 355 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 311 SM_AMIGA_7_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 341 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 3 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 3 3 5 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 3 4 6 7 -1 -1 1 0 21 - 314 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 343 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 340 SM_AMIGA_2_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 6 7 -1 -1 3 0 21 - 356 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 297 inst_CLK_OUT_PRE 3 -1 7 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 339 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 352 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 351 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 353 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 338 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 359 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 337 CLK_000_N_SYNC_10_ 3 -1 0 1 4 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_9_ 3 -1 5 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 2 1 6 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 5 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 2 1 5 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 5 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 0 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 5 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 4 1 5 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 4 1 2 -1 -1 1 0 21 - 307 inst_CLK_000_NE 3 -1 2 1 1 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 3 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 5 0 21 - 97 DS_030 5 348 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 358 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 356 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 359 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 352 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 4 5 1 2 3 5 7 -1 -1 1 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_6_ 3 -1 6 4 1 3 6 7 -1 -1 2 0 21 - 342 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 311 SM_AMIGA_7_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 341 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 1 3 1 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 3 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 3 3 5 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 3 4 6 7 -1 -1 1 0 21 - 314 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 343 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 340 SM_AMIGA_2_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 6 7 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 297 inst_CLK_OUT_PRE 3 -1 7 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 5 0 21 - 339 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 358 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 356 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 338 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 359 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 337 CLK_000_N_SYNC_10_ 3 -1 0 1 4 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_9_ 3 -1 5 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 2 1 6 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 5 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 2 1 5 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 5 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 0 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 5 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 4 1 5 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 4 1 2 -1 -1 1 0 21 - 307 inst_CLK_000_NE 3 -1 2 1 1 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 3 1 5 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 0 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 357 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 348 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 356 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 355 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 359 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 352 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 7 5 0 1 3 5 7 -1 -1 1 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 6 4 0 1 3 7 -1 -1 1 0 21 - 342 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 341 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 3 3 3 5 7 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 - 306 inst_CLK_OUT_PRE_D 3 -1 4 3 1 2 6 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 3 3 0 3 5 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 3 0 4 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 343 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 5 2 3 5 -1 -1 4 0 21 - 340 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 0 2 0 4 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 305 inst_CLK_000_NE 3 -1 6 2 2 3 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 4 2 2 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 339 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 338 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 359 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 337 CLK_000_N_SYNC_10_ 3 -1 5 1 0 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_9_ 3 -1 5 1 5 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_8_ 3 -1 6 1 5 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_7_ 3 -1 5 1 6 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_6_ 3 -1 7 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 5 1 7 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 2 1 5 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 1 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 5 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 6 1 5 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 0 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 1 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 1 1 1 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 5 1 1 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 0 1 5 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 0 1 4 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 6 1 0 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 0 1 6 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 0 1 6 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 0 1 0 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 2 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 5 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 348 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 358 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 356 1 0 6 -1 3 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 359 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 352 RN_AVEC_EXP 3 21 2 7 0 1 2 3 5 6 7 21 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 6 5 0 3 5 6 7 -1 -1 4 0 21 - 299 inst_CLK_000_D1 3 -1 0 5 0 1 3 6 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 4 0 3 5 6 -1 -1 5 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_6_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 - 303 inst_CLK_000_D2 3 -1 3 4 0 1 3 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 3 4 0 1 3 7 -1 -1 1 0 21 - 342 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 311 SM_AMIGA_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 306 inst_CLK_OUT_PRE_D 3 -1 6 3 1 2 6 -1 -1 1 0 21 - 305 inst_CLK_000_NE 3 -1 1 3 2 3 5 -1 -1 1 0 21 - 343 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 340 SM_AMIGA_2_ 3 -1 1 2 1 5 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 341 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 7 2 5 7 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 5 2 2 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 339 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 358 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 356 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 5 1 5 -1 -1 3 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 338 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 359 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 337 CLK_000_N_SYNC_10_ 3 -1 5 1 5 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_8_ 3 -1 4 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_7_ 3 -1 4 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 7 1 6 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 0 1 7 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 2 1 0 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 1 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 0 1 4 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 0 1 5 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 5 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 6 1 5 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 4 1 6 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 2 1 4 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 1 1 5 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 5 1 1 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 1 1 1 -1 -1 1 0 21 - 300 inst_DTACK_D0 3 -1 2 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 5 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 4 1 3 4 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 358 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 7 5 1 3 5 6 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 6 4 1 3 6 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 4 3 4 5 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 4 1 3 5 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 308 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 340 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 2 3 1 2 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 0 3 1 3 5 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 3 2 4 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 315 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 2 1 2 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 316 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 1 2 1 5 -1 -1 2 0 21 - 295 inst_VPA_D 3 -1 6 2 3 5 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 3 1 3 -1 -1 2 0 21 - 358 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 5 1 1 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 5 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 6 1 2 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 5 1 0 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_6_ 3 -1 5 1 4 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_5_ 3 -1 0 1 5 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 317 CLK_000_N_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 0 1 0 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 1 5 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 1 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 4 1 3 4 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 358 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 7 5 1 3 5 6 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_6_ 3 -1 6 4 1 3 6 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 4 3 4 5 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 4 1 3 5 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 308 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 - 340 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 2 3 1 2 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 0 3 1 3 5 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 3 2 4 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 315 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 2 1 2 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 316 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 1 2 1 5 -1 -1 2 0 21 - 295 inst_VPA_D 3 -1 6 2 3 5 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 3 1 3 -1 -1 2 0 21 - 358 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 5 1 1 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 5 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 6 1 2 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 5 1 0 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_6_ 3 -1 5 1 4 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_5_ 3 -1 0 1 5 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 317 CLK_000_N_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 0 1 0 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 1 5 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 1 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 5 0 3 4 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 358 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 5 0 2 3 6 7 21 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 4 3 5 6 7 -1 -1 5 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 308 SM_AMIGA_7_ 3 -1 6 4 3 5 6 7 -1 -1 4 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 1 4 1 3 6 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 311 SM_AMIGA_0_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 5 3 0 2 3 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 0 3 1 3 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 3 0 21 - 315 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 0 2 0 6 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 316 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 0 4 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 6 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 2 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 1 2 -1 -1 2 0 21 - 358 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 5 1 5 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 5 1 5 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 0 1 5 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 2 1 0 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 5 1 5 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 4 1 5 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 4 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 5 1 4 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 6 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 6 1 6 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_6_ 3 -1 1 1 6 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_5_ 3 -1 7 1 1 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_4_ 3 -1 2 1 7 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_3_ 3 -1 0 1 2 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_1_ 3 -1 5 1 0 -1 -1 1 0 21 - 317 CLK_000_N_SYNC_0_ 3 -1 1 1 5 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 2 1 5 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 7 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 358 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 inst_CLK_000_NE 3 -1 1 4 1 2 3 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 0 3 5 7 -1 -1 1 0 21 - 299 inst_CLK_000_D1 3 -1 3 4 1 3 5 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 308 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 4 0 21 - 340 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 6 3 3 5 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_6_ 3 -1 3 3 1 3 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 4 3 1 3 7 -1 -1 1 0 21 - 313 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 2 2 2 6 -1 -1 3 0 21 - 315 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 2 1 2 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 337 SM_AMIGA_5_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 316 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 2 4 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 1 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 2 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 358 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 7 19 -1 1 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 4 1 5 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 4 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 5 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 0 1 5 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 6 1 0 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 7 1 6 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 2 1 2 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 1 1 1 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 5 1 1 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_6_ 3 -1 5 1 5 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_5_ 3 -1 2 1 5 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_4_ 3 -1 5 1 2 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_3_ 3 -1 0 1 5 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 317 CLK_000_N_SYNC_0_ 3 -1 7 1 5 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 2 1 1 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 7 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 353 RN_AVEC_EXP 3 21 2 7 0 1 2 3 5 6 7 21 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 0 5 0 1 2 3 7 -1 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 0 1 3 5 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 5 4 0 3 5 7 -1 -1 4 0 21 - 304 inst_CLK_000_NE 3 -1 2 4 1 2 3 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 0 1 3 5 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 3 3 5 6 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 3 1 3 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 0 6 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 5 2 5 6 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 0 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 0 1 7 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 5 1 4 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 1 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 2 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 7 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_6_ 3 -1 0 1 4 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_5_ 3 -1 2 1 0 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_2_ 3 -1 0 1 5 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_1_ 3 -1 7 1 0 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_0_ 3 -1 1 1 7 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_7_ 3 -1 4 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 5 1 2 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 353 RN_AVEC_EXP 3 21 2 7 0 1 2 3 5 6 7 21 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 0 5 0 1 2 3 7 -1 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 0 1 3 5 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 5 4 0 3 5 7 -1 -1 4 0 21 - 304 inst_CLK_000_NE 3 -1 2 4 1 2 3 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 0 1 3 5 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 3 3 5 6 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 3 1 3 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 0 6 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 5 2 5 6 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 0 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 0 1 7 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 5 1 4 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 1 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 2 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 0 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_2_ 3 -1 0 1 5 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_1_ 3 -1 7 1 0 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_0_ 3 -1 1 1 7 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 4 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 5 1 2 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 353 RN_AVEC_EXP 3 21 2 7 0 1 2 3 5 6 7 21 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 0 5 0 1 2 3 7 -1 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 0 1 3 5 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 5 4 0 3 5 7 -1 -1 4 0 21 - 304 inst_CLK_000_NE 3 -1 2 4 1 2 3 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 0 1 3 5 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 3 3 5 6 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 3 1 3 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 0 6 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 5 2 5 6 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 0 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 0 1 7 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 5 1 4 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 1 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 2 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 0 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 2 1 0 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_6_ 3 -1 7 1 2 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_2_ 3 -1 0 1 5 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_1_ 3 -1 7 1 0 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_0_ 3 -1 1 1 7 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_5_ 3 -1 4 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 5 1 2 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 353 RN_AVEC_EXP 3 21 2 7 0 1 2 3 5 6 7 21 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 0 5 0 1 2 3 7 -1 -1 2 0 21 - 299 inst_CLK_000_D1 3 -1 3 5 0 1 3 5 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 5 4 0 3 5 7 -1 -1 4 0 21 - 304 inst_CLK_000_NE 3 -1 2 4 1 2 3 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 4 0 1 3 5 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 1 3 6 65 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 3 3 5 6 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 5 3 1 3 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 6 2 0 6 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 5 2 5 6 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 0 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 1 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 0 1 7 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 5 1 4 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 1 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 2 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 0 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_2_ 3 -1 0 1 5 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_1_ 3 -1 7 1 0 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_0_ 3 -1 1 1 7 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 4 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 5 1 2 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 6 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 4 5 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 347 0 2 1 5 97 -1 7 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 1 5 68 -1 1 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 352 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 6 0 1 3 5 6 7 21 -1 1 0 21 - 341 cpu_est_1_ 3 -1 3 4 1 3 5 6 -1 -1 5 0 21 - 354 RN_E 3 65 6 4 1 3 5 6 65 -1 4 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 0 4 0 2 3 7 -1 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 3 4 0 3 5 7 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 6 4 0 3 6 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 7 4 0 1 3 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 1 4 0 1 3 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 0 2 3 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 - 340 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 3 1 2 6 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 3 0 1 3 -1 -1 1 0 21 - 302 inst_CLK_000_D1 3 -1 0 3 0 1 7 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 1 3 0 1 2 -1 -1 1 0 21 - 314 inst_CLK_030_H 3 -1 7 2 0 7 -1 -1 5 0 21 - 339 SM_AMIGA_2_ 3 -1 5 2 5 6 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 337 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 0 2 0 3 -1 -1 2 0 21 - 297 inst_CLK_OUT_PRE 3 -1 2 2 2 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 2 3 5 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 352 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 2 1 2 -1 -1 3 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 5 1 2 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 0 1 5 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 1 1 0 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 0 1 1 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 2 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 5 1 6 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 5 1 5 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 4 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 7 1 4 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_3_ 3 -1 7 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_2_ 3 -1 4 1 7 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_1_ 3 -1 6 1 4 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_0_ 3 -1 1 1 6 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 6 1 6 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 4 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 0 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 0 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 6 0 1 3 5 6 7 21 -1 1 0 21 - 311 SM_AMIGA_6_ 3 -1 1 5 0 1 3 5 7 -1 -1 2 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 3 4 1 2 3 7 -1 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 5 4 3 5 6 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 6 4 1 3 5 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 1 2 3 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 6 3 1 2 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 2 3 3 5 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 3 1 4 5 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 0 3 0 5 6 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 0 5 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 2 2 4 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 2 1 5 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 5 2 2 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 5 1 5 -1 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 2 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 1 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 5 1 5 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 5 1 2 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 4 1 5 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 0 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 2 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 7 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 5 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 347 0 2 0 1 97 -1 7 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 1 4 1 2 3 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 5 4 1 2 3 5 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 0 3 1 2 6 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 4 3 0 4 5 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 6 3 1 3 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 1 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 0 2 0 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 1 2 0 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 7 1 4 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 7 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 7 1 4 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 5 1 0 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 6 1 5 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 1 1 6 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 5 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 6 1 5 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 5 1 6 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 7 1 5 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_4_ 3 -1 2 1 5 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_3_ 3 -1 6 1 2 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_0_ 3 -1 1 1 5 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 6 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 5 1 5 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 5 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 2 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 347 0 2 1 6 97 -1 7 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 1 6 68 -1 1 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 353 RN_AVEC_EXP 3 21 2 7 0 1 2 3 5 6 7 21 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 6 5 1 2 3 6 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 4 5 0 1 2 3 5 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 2 5 0 1 3 6 7 -1 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 3 4 3 5 6 7 -1 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 - 341 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 340 cpu_est_0_ 3 -1 0 3 0 3 6 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 4 3 1 2 6 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 3 0 1 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 6 2 0 6 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 0 2 2 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 2 2 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 1 0 -1 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 1 1 1 -1 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 1 1 5 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 5 1 1 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 5 1 5 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 1 1 5 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 1 1 1 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 5 1 1 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 7 1 5 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 2 1 7 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 0 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 2 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 4 1 5 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 7 1 4 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_4_ 3 -1 2 1 0 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_3_ 3 -1 5 1 2 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_2_ 3 -1 4 1 5 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_1_ 3 -1 5 1 4 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 5 1 4 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 0 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 3 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 347 0 2 0 1 97 -1 7 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 1 4 1 2 3 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 5 4 1 2 3 5 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 4 0 21 - 308 SM_AMIGA_0_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 0 3 1 2 6 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 4 3 0 4 5 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 6 3 1 3 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 1 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 0 2 0 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 1 2 0 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 7 1 4 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 7 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 7 1 4 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 5 1 0 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 6 1 5 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 1 1 6 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 5 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 6 1 5 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 5 1 6 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 7 1 5 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_4_ 3 -1 2 1 5 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_3_ 3 -1 6 1 2 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_0_ 3 -1 1 1 5 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 6 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 5 1 5 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 5 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 4 1 3 4 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 347 0 2 0 1 97 -1 7 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 353 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 5 5 1 2 3 5 7 -1 -1 2 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 2 4 1 2 3 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 3 3 3 5 7 -1 -1 4 0 21 - 312 SM_AMIGA_4_ 3 -1 5 3 1 2 5 -1 -1 2 0 21 - 314 CLK_000_N_SYNC_10_ 3 -1 5 3 3 5 6 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 0 3 1 2 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 5 3 2 3 5 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 3 1 2 7 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 317 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 4 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 318 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 316 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 0 2 5 7 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 2 1 2 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 6 2 0 6 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 4 2 0 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 5 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 7 1 5 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 5 1 7 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 2 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 1 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_9_ 3 -1 5 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_8_ 3 -1 6 1 5 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_7_ 3 -1 5 1 6 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_5_ 3 -1 6 1 0 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_4_ 3 -1 5 1 6 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_3_ 3 -1 4 1 5 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_2_ 3 -1 1 1 4 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_1_ 3 -1 4 1 1 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_0_ 3 -1 2 1 4 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 6 1 5 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 1 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 347 0 2 0 1 97 -1 7 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 1 4 1 2 3 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 5 4 1 2 3 5 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 - 308 SM_AMIGA_0_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 0 3 1 2 6 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 7 3 0 1 7 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 4 3 0 4 5 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 6 3 1 3 7 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 1 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 0 2 0 1 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 1 2 0 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 336 CLK_000_P_SYNC_8_ 3 -1 7 1 4 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_7_ 3 -1 0 1 7 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_4_ 3 -1 7 1 4 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_2_ 3 -1 5 1 0 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_1_ 3 -1 6 1 5 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_0_ 3 -1 1 1 6 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_10_ 3 -1 5 1 5 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_9_ 3 -1 6 1 5 -1 -1 1 0 21 - 325 CLK_000_N_SYNC_8_ 3 -1 5 1 6 -1 -1 1 0 21 - 324 CLK_000_N_SYNC_7_ 3 -1 7 1 5 -1 -1 1 0 21 - 323 CLK_000_N_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 - 322 CLK_000_N_SYNC_4_ 3 -1 2 1 5 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_3_ 3 -1 6 1 2 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 319 CLK_000_N_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 318 CLK_000_N_SYNC_0_ 3 -1 1 1 5 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 6 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 5 1 5 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 5 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -124 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 344 7 5 0 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 345 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 356 6 3 0 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 346 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 347 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 352 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 357 3 0 33 -1 6 0 21 - 65 E 5 354 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 343 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 351 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 350 1 0 6 -1 3 0 21 - 82 BGACK_030 5 349 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 355 3 0 34 -1 2 1 21 - 28 BG_000 5 348 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 40 BERR 0 4 0 40 -1 1 0 21 - 21 AVEC_EXP 5 353 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 349 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 353 RN_AVEC_EXP 3 21 2 6 0 1 3 5 6 7 21 -1 1 0 21 - 311 SM_AMIGA_6_ 3 -1 1 5 0 1 3 5 7 -1 -1 2 0 21 - 344 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_7_ 3 -1 3 4 1 2 3 7 -1 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 5 4 3 5 6 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 5 7 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 6 4 1 3 5 7 -1 -1 1 0 21 - 341 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 1 2 3 -1 -1 5 0 21 - 354 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 6 3 1 2 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 2 3 3 5 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 7 3 1 4 5 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 0 3 0 5 6 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 316 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 355 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 0 5 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 2 2 4 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 2 1 5 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 5 2 2 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 - 347 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 357 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 356 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 346 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 5 1 5 -1 -1 3 0 21 - 352 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 348 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 345 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 2 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 1 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 5 1 5 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 5 1 2 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 4 1 5 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 0 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 2 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 7 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 5 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 6 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 5 0 2 3 5 7 40 -1 1 0 21 - 81 AS_030 5 345 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 348 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 358 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 357 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 352 RN_AVEC_EXP 3 21 2 7 0 1 2 3 5 6 7 21 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 0 5 0 2 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 0 3 5 7 -1 -1 6 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 298 inst_CLK_000_D0 3 -1 7 4 0 3 4 5 -1 -1 1 0 21 - 310 SM_AMIGA_7_ 3 -1 5 3 0 3 7 -1 -1 13 0 21 - 341 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 340 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 2 3 1 2 6 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 4 3 0 3 5 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 5 3 0 2 5 -1 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 2 0 2 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 1 2 4 7 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 2 2 3 5 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 343 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 358 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 357 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 6 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 7 1 6 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 4 1 7 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 4 1 4 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 6 1 1 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 6 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 6 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 2 1 6 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 0 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 7 1 2 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 2 1 7 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 0 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 1 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 2 1 1 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 4 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 0 1 4 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 2 1 2 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 0 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 1 0 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 0 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 0 1 2 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 7 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 4 1 3 4 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 40 BERR 5 -1 4 4 1 3 5 7 40 -1 1 0 21 - 70 RW 5 355 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 348 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 358 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 357 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 352 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 5 4 1 3 5 7 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 1 4 1 3 5 7 -1 -1 2 0 21 - 310 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 341 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 7 3 1 2 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 4 3 1 3 5 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 3 2 3 5 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 0 3 2 3 5 -1 -1 1 0 21 - 314 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 5 2 1 5 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 2 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 0 2 4 5 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 4 2 0 4 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 2 2 2 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 2 3 5 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 343 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 358 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 357 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 7 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 1 1 7 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 7 1 1 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 1 1 1 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 2 1 1 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 2 1 1 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 2 1 0 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 4 1 6 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 6 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 0 1 6 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 2 1 0 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 1 1 7 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 2 1 2 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 5 1 2 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 40 BERR 5 -1 4 4 2 3 5 7 40 -1 1 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 348 0 2 1 2 97 -1 7 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 1 2 68 -1 1 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 358 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 357 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 352 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 341 cpu_est_1_ 3 -1 1 4 1 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 4 1 3 5 6 65 -1 4 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 340 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 0 4 1 3 5 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 342 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 6 3 1 2 6 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 6 3 1 3 5 -1 -1 1 0 21 - 310 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 2 2 4 7 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 6 2 3 5 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 0 1 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 4 2 2 4 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 2 2 2 6 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 343 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 1 2 -1 -1 3 0 21 - 358 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 357 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 0 1 4 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 0 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 5 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 4 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 0 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 1 1 0 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 2 1 7 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 1 1 7 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 0 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 2 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 1 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 4 1 6 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 35 VPA 1 -1 -1 2 5 6 35 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 347 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 348 3 4 0 4 6 7 32 -1 2 0 21 - 40 BERR 5 -1 4 4 2 3 5 7 40 -1 1 0 21 - 70 RW 5 357 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 349 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 350 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 353 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 355 6 0 65 -1 4 0 21 - 82 BGACK_030 5 352 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 356 3 0 34 -1 2 1 21 - 28 BG_000 5 351 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 346 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 345 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 359 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 354 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 354 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 352 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 6 5 0 2 3 4 5 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 2 5 0 2 3 5 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 2 3 5 7 -1 -1 6 0 21 - 347 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_6_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 - 310 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 13 0 21 - 342 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 355 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 343 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 341 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 309 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 4 3 1 2 6 -1 -1 1 0 21 - 317 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 356 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 318 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 316 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 7 2 3 5 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 4 2 0 1 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 4 2 0 4 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 0 2 2 4 -1 -1 1 0 21 - 350 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 339 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 344 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 349 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 340 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 1 0 -1 -1 3 0 21 - 359 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 353 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 351 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 348 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 346 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 345 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 338 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 337 CLK_000_N_SYNC_10_ 3 -1 4 1 0 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_9_ 3 -1 0 1 4 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_3_ 3 -1 6 1 2 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_2_ 3 -1 1 1 6 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_0_ 3 -1 0 1 1 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_8_ 3 -1 7 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_7_ 3 -1 4 1 7 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_6_ 3 -1 2 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_4_ 3 -1 0 1 6 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_3_ 3 -1 1 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_0_ 3 -1 0 1 2 -1 -1 1 0 21 - 314 inst_CLK_000_NE_D 3 -1 3 1 5 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 0 1 7 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 1 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 0 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 35 VPA 1 -1 -1 2 5 6 35 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 345 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 40 BERR 5 -1 4 4 2 3 5 7 40 -1 1 0 21 - 70 RW 5 355 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 348 0 2 1 2 97 -1 7 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 1 2 68 -1 1 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 358 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 357 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 352 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 341 cpu_est_1_ 3 -1 1 4 1 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 4 1 3 5 6 65 -1 4 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 340 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 - 298 inst_CLK_000_D0 3 -1 0 4 1 3 5 6 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 342 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 6 3 1 2 6 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 6 3 1 3 5 -1 -1 1 0 21 - 310 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 2 2 4 7 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 6 2 3 5 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 0 1 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 4 2 2 4 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 2 2 2 6 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 314 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 343 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 339 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 1 2 -1 -1 3 0 21 - 358 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 357 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 0 1 4 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 0 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 5 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 4 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 0 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 1 1 0 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 2 1 7 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 1 1 7 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 0 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 2 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 1 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 4 1 6 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 7 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 35 VPA 1 -1 -1 2 5 6 35 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -125 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 346 3 4 0 4 6 7 32 -1 2 0 21 - 81 AS_030 5 345 7 3 1 3 7 81 -1 4 0 21 - 70 RW 5 355 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 347 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 348 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 351 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 356 3 0 33 -1 6 0 21 - 65 E 5 353 6 0 65 -1 4 0 21 - 82 BGACK_030 5 350 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 354 3 0 34 -1 2 1 21 - 28 BG_000 5 349 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 358 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 357 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 352 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 352 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 350 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 311 SM_AMIGA_6_ 3 -1 2 5 1 2 3 5 7 -1 -1 2 0 21 - 345 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 - 310 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 2 3 7 -1 -1 6 0 21 - 341 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 353 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 312 SM_AMIGA_4_ 3 -1 5 3 1 2 5 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 4 3 1 2 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 5 3 2 3 5 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 2 3 2 3 6 -1 -1 1 0 21 - 298 inst_CLK_000_D0 3 -1 0 3 2 3 6 -1 -1 1 0 21 - 338 SM_AMIGA_3_ 3 -1 5 2 2 5 -1 -1 6 0 21 - 314 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 342 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 339 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 1 2 1 2 -1 -1 3 0 21 - 354 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 317 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 3 2 5 6 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 4 2 1 4 -1 -1 1 0 21 - 297 inst_CLK_OUT_PRE 3 -1 2 2 2 4 -1 -1 1 0 21 - 348 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 356 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 355 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 343 SM_AMIGA_7__0 3 -1 2 1 5 -1 -1 4 0 21 - 347 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 358 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 357 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 351 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 349 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 346 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 336 CLK_000_N_SYNC_10_ 3 -1 5 1 4 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_8_ 3 -1 4 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_7_ 3 -1 7 1 4 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_5_ 3 -1 6 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_3_ 3 -1 0 1 1 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_1_ 3 -1 2 1 0 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_0_ 3 -1 6 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_8_ 3 -1 0 1 4 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 2 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 7 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 0 1 7 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 1 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 4 1 1 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 1 1 4 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 2 1 1 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 6 1 2 -1 -1 1 0 21 - 313 CLK_000_N_SYNC_6_ 3 -1 0 1 7 -1 -1 1 0 21 - 307 CLK_000_N_SYNC_11_ 3 -1 4 1 5 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 5 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 1 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -131 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 - 81 AS_030 5 350 7 3 1 3 7 81 -1 4 0 21 - 70 RW 5 362 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 355 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 358 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 363 3 0 33 -1 6 0 21 - 65 E 5 360 6 0 65 -1 4 0 21 - 82 BGACK_030 5 357 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 361 3 0 34 -1 2 1 21 - 28 BG_000 5 356 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 354 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 352 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 359 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 364 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 357 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 359 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 - 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_6_ 3 -1 5 4 1 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 4 5 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 345 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 360 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 346 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 344 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 4 3 1 3 5 -1 -1 1 0 21 - 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 2 1 2 -1 -1 3 0 21 - 361 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 2 2 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 0 2 3 5 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 4 2 1 6 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 7 2 2 7 -1 -1 1 0 21 - 355 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 363 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 317 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 362 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 348 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 358 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 356 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 354 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 352 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 364 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 4 1 6 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 6 1 4 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 4 1 4 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 1 1 4 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 4 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 2 1 4 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 1 1 2 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 0 1 6 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 4 1 0 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 6 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 316 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 315 CLK_CNT_P_1_ 3 -1 2 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 4 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 6 1 0 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 1 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 4 1 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 348 3 4 0 4 6 7 32 -1 2 0 21 - 81 AS_030 5 347 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 357 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 349 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 350 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 353 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 355 6 0 65 -1 4 0 21 - 82 BGACK_030 5 352 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 356 3 0 34 -1 2 1 21 - 28 BG_000 5 351 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 346 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 345 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 344 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 5 359 6 0 64 -1 1 0 20 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 354 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 354 RN_AVEC_EXP 3 21 2 7 1 2 3 4 5 6 7 21 -1 1 0 21 - 352 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 340 cpu_est_1_ 3 -1 4 4 3 4 5 6 -1 -1 5 0 21 - 355 RN_E 3 65 6 4 3 4 5 6 65 -1 4 0 21 - 347 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 305 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 304 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 341 cpu_est_2_ 3 -1 4 3 3 4 6 -1 -1 4 0 21 - 339 cpu_est_0_ 3 -1 4 3 3 4 6 -1 -1 2 0 21 - 313 CLK_CNT_P_0_ 3 -1 2 3 0 2 5 -1 -1 2 0 21 - 306 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 359 RN_CLK_DIV_OUT 3 64 6 3 1 2 6 64 -1 1 0 20 - 301 inst_CLK_000_NE 3 -1 7 3 2 3 5 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 3 3 4 5 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 2 3 3 4 5 -1 -1 1 0 21 - 312 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 315 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 356 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 336 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 316 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 314 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 308 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 307 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 310 CLK_CNT_P_1_ 3 -1 5 2 0 2 -1 -1 1 0 21 - 350 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 337 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 343 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 349 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 338 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 353 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 351 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 348 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 346 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 345 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 344 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 342 CLK_DIV_OUT_0 3 -1 0 1 6 -1 -1 2 0 21 - 297 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 335 CLK_000_N_SYNC_10_ 3 -1 1 1 6 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_9_ 3 -1 5 1 1 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_8_ 3 -1 0 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_5_ 3 -1 6 1 0 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_4_ 3 -1 0 1 6 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_3_ 3 -1 6 1 0 -1 -1 1 0 21 - 328 CLK_000_N_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 327 CLK_000_N_SYNC_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 326 CLK_000_N_SYNC_0_ 3 -1 4 1 4 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_7_ 3 -1 2 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_6_ 3 -1 2 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_4_ 3 -1 1 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 - 311 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 309 CLK_000_N_SYNC_6_ 3 -1 0 1 7 -1 -1 1 0 21 - 303 CLK_000_N_SYNC_11_ 3 -1 6 1 7 -1 -1 1 0 21 - 302 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 300 inst_CLK_000_D3 3 -1 4 1 4 -1 -1 1 0 21 - 299 inst_CLK_000_D2 3 -1 3 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 10 CLK_000 1 -1 -1 2 2 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -131 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 - 81 AS_030 5 350 7 3 1 3 7 81 -1 4 0 21 - 70 RW 5 362 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 355 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 358 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 363 3 0 33 -1 6 0 21 - 65 E 5 360 6 0 65 -1 4 0 21 - 82 BGACK_030 5 357 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 361 3 0 34 -1 2 1 21 - 28 BG_000 5 356 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 354 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 352 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 359 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 364 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 357 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 359 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 - 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_6_ 3 -1 5 4 1 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 1 3 4 5 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 345 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 360 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 346 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 344 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 2 0 21 - 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 4 3 1 3 5 -1 -1 1 0 21 - 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 2 1 2 -1 -1 3 0 21 - 361 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 2 2 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 0 2 3 5 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 4 2 1 6 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 7 2 2 7 -1 -1 1 0 21 - 355 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 363 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 317 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 362 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 348 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 358 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 356 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 354 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 352 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 364 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 4 1 6 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 6 1 4 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 4 1 4 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 1 1 4 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 4 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 2 1 4 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 1 1 2 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 0 1 6 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 4 1 0 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 6 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 316 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 315 CLK_CNT_P_1_ 3 -1 2 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 4 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 6 1 0 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 1 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 4 1 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 10 CLK_000 1 -1 -1 2 3 4 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -131 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 - 81 AS_030 5 350 7 3 1 3 7 81 -1 4 0 21 - 70 RW 5 362 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 352 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 353 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 356 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 363 3 0 33 -1 6 0 21 - 65 E 5 360 6 0 65 -1 4 0 21 - 46 CIIN 0 4 0 46 -1 4 0 21 - 82 BGACK_030 5 355 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 361 3 0 34 -1 2 1 21 - 28 BG_000 5 354 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 359 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 357 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 21 AVEC_EXP 5 358 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 364 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 358 RN_AVEC_EXP 3 21 2 6 0 1 3 5 6 7 21 -1 1 0 21 - 355 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 312 SM_AMIGA_6_ 3 -1 0 5 0 1 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 0 3 5 7 -1 -1 6 0 21 - 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_7_ 3 -1 5 3 0 3 7 -1 -1 13 0 21 - 345 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 360 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 3 3 0 3 5 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 1 3 0 3 5 -1 -1 1 0 21 - 346 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 2 2 4 -1 -1 3 0 21 - 361 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 344 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 7 2 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 0 2 3 5 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 7 2 2 7 -1 -1 1 0 21 - 353 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 363 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 317 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 362 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 348 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 352 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 359 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 357 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 356 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 354 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 347 CLK_PRE_66_0 3 -1 4 1 2 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 4 1 4 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 4 1 4 -1 -1 2 0 21 - 364 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 2 1 0 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 2 1 1 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 1 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 2 1 1 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 6 1 2 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 5 1 6 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 1 1 6 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 0 1 1 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 4 1 0 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 1 1 4 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 0 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 6 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 6 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 0 1 6 -1 -1 1 0 21 - 316 CLK_CNT_N_1_ 3 -1 4 1 4 -1 -1 1 0 21 - 315 CLK_CNT_P_1_ 3 -1 4 1 4 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 0 1 0 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 0 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 5 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 0 1 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 35 VPA 1 -1 -1 2 5 6 35 -1 - 10 CLK_000 1 -1 -1 2 1 3 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -119 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 350 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 70 RW 5 360 6 2 4 7 70 -1 4 0 21 - 79 RW_000 5 352 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 353 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 356 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 361 3 0 33 -1 6 0 21 - 65 E 5 358 6 0 65 -1 4 0 21 - 82 BGACK_030 5 355 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 359 3 0 34 -1 2 1 21 - 28 BG_000 5 354 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 364 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 363 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 357 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 362 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 355 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 357 RN_AVEC_EXP 3 21 2 6 1 3 4 5 6 7 21 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 5 1 2 3 4 5 -1 -1 1 0 21 - 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 312 SM_AMIGA_6_ 3 -1 3 4 3 4 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 1 4 2 3 4 5 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 345 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 358 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 1 3 0 1 6 -1 -1 2 0 21 - 346 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 - 359 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 344 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 2 4 5 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 316 CLK_CNT_N_1_ 3 -1 6 2 0 1 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 7 2 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 6 2 3 5 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 2 2 4 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 5 2 2 4 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 2 2 1 2 -1 -1 1 0 21 - 353 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 361 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 317 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 360 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 348 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 352 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 1 1 1 -1 -1 3 0 21 - 364 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 363 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 356 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 354 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 362 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 4 1 0 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 6 1 4 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 2 1 6 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 4 1 6 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 0 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 7 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 2 1 7 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 4 1 4 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 0 1 4 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 6 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 4 1 6 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 4 1 4 -1 -1 1 0 21 - 315 CLK_CNT_P_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 0 1 6 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 1 1 7 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 1 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 0 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 2 3 4 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 35 VPA 1 -1 -1 2 0 5 35 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -119 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 - 81 AS_030 5 350 7 3 2 3 7 81 -1 4 0 21 - 70 RW 5 360 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 353 0 2 0 6 97 -1 7 0 21 - 79 RW_000 5 352 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 6 68 -1 1 0 21 - 80 DSACK1 5 356 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 361 3 0 33 -1 6 0 21 - 65 E 5 358 6 0 65 -1 4 0 21 - 82 BGACK_030 5 355 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 359 3 0 34 -1 2 1 21 - 28 BG_000 5 354 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 364 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 363 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 357 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 362 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 357 RN_AVEC_EXP 3 21 2 7 1 2 3 4 5 6 7 21 -1 1 0 21 - 355 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 312 SM_AMIGA_6_ 3 -1 1 5 1 2 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 1 3 5 7 -1 -1 6 0 21 - 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_1_ 3 -1 5 4 3 4 5 7 -1 -1 2 0 21 - 311 SM_AMIGA_7_ 3 -1 5 3 1 3 7 -1 -1 13 0 21 - 345 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 358 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 309 SM_AMIGA_0_ 3 -1 4 3 3 4 5 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 7 3 3 4 5 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 5 3 1 3 5 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 6 3 1 4 6 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 0 3 1 3 5 -1 -1 1 0 21 - 315 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 346 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 4 2 0 4 -1 -1 3 0 21 - 359 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 344 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 2 2 0 2 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 2 2 2 7 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 1 2 1 6 -1 -1 1 0 21 - 353 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 361 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 360 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 348 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 352 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 364 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 363 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 356 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 354 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 2 1 2 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 362 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 4 1 4 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 2 1 4 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 0 1 2 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 4 1 5 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 2 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 4 1 4 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 4 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 0 1 4 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 0 1 0 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 2 1 0 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 1 1 0 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 7 1 2 -1 -1 1 0 21 - 316 CLK_CNT_P_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 5 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 4 1 7 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 0 1 1 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 1 1 1 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 1 1 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 1 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 6 1 2 3 4 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 35 VPA 1 -1 -1 2 5 6 35 -1 - 10 CLK_000 1 -1 -1 2 0 3 10 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 -131 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 - 81 AS_030 5 350 7 3 1 3 7 81 -1 4 0 21 - 70 RW 5 362 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 352 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 353 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 358 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 363 3 0 33 -1 6 0 21 - 65 E 5 360 6 0 65 -1 4 0 21 - 82 BGACK_030 5 355 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 361 3 0 34 -1 2 1 21 - 28 BG_000 5 354 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 357 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 356 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 21 AVEC_EXP 5 359 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 364 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 359 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 355 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 312 SM_AMIGA_6_ 3 -1 5 5 1 2 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 4 5 0 2 3 5 6 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 0 5 0 2 3 4 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 2 3 5 7 -1 -1 6 0 21 - 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 - 311 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 13 0 21 - 345 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 360 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 318 CLK_CNT_P_0_ 3 -1 0 3 0 5 7 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 1 3 2 3 5 -1 -1 1 0 21 - 346 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 6 2 2 6 -1 -1 3 0 21 - 361 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 344 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 5 2 4 5 -1 -1 2 0 21 - 316 CLK_CNT_P_1_ 3 -1 7 2 0 5 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 0 6 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 - 353 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 363 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 362 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 348 SM_AMIGA_7__0 3 -1 2 1 2 -1 -1 4 0 21 - 352 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 358 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 357 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 356 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 354 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 5 1 2 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 - 364 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 5 1 1 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 0 1 6 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 5 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 1 1 5 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 4 1 0 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 4 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 4 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 4 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 5 1 4 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 4 1 5 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 6 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 1 1 1 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 2 1 4 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 6 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 35 VPA 1 -1 -1 2 2 5 35 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 0 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -131 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 350 7 4 1 2 3 7 81 -1 4 0 21 - 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 362 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 352 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 353 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 358 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 363 3 0 33 -1 6 0 21 - 65 E 5 360 6 0 65 -1 4 0 21 - 82 BGACK_030 5 357 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 46 CIIN 0 4 0 46 -1 2 0 21 - 34 VMA 5 361 3 0 34 -1 2 1 21 - 28 BG_000 5 356 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 355 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 354 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 21 AVEC_EXP 5 359 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 364 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 357 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 359 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 - 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 1 3 5 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 345 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 360 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 2 3 1 2 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 5 3 1 3 5 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 3 0 3 5 -1 -1 1 0 21 - 346 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 6 2 2 6 -1 -1 3 0 21 - 361 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 344 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 1 2 1 5 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 317 CLK_CNT_N_1_ 3 -1 7 2 1 2 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 0 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 0 2 2 5 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 6 2 4 6 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 7 2 3 5 -1 -1 1 0 21 - 353 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 363 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 362 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 348 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 352 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 358 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 356 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 355 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 354 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 1 1 2 -1 -1 2 0 21 - 364 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 0 1 4 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 1 1 0 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 6 1 1 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 6 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 6 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 4 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 4 1 4 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 2 1 4 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 4 1 4 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 - 316 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 4 1 5 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 2 1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 2 1 5 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 7 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 35 VPA 1 -1 -1 2 5 7 35 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 7 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -132 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 351 7 5 1 3 4 5 7 81 -1 4 0 21 - 32 AS_000 5 352 3 4 0 4 6 7 32 -1 2 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 70 RW 5 363 6 2 4 7 70 -1 4 0 21 - 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 354 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 - 65 E 5 361 6 0 65 -1 4 0 21 - 82 BGACK_030 5 358 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 362 3 0 34 -1 2 1 21 - 28 BG_000 5 357 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 350 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 356 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 355 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 360 RN_AVEC_EXP 3 21 2 7 1 2 3 4 5 6 7 21 -1 1 0 21 - 358 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 - 310 SM_AMIGA_6_ 3 -1 3 5 1 2 3 4 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 1 5 1 2 3 5 6 -1 -1 1 0 21 - 351 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 2 4 2 3 6 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 3 4 2 3 4 6 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 5 3 2 3 5 -1 -1 6 0 21 - 345 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 5 0 21 - 361 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 - 346 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 344 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 1 3 1 2 5 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 3 2 4 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 6 3 2 3 6 -1 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 6 3 2 3 4 -1 -1 1 0 21 - 320 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 6 2 2 6 -1 -1 3 0 21 - 362 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 - 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 5 2 0 5 -1 -1 2 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 7 2 6 7 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 6 2 1 4 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 - 354 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 SM_AMIGA_7__0 3 -1 2 1 2 -1 -1 4 0 21 - 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 - 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 352 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 5 1 2 -1 -1 2 0 21 - 347 CIIN_0 3 -1 1 1 4 -1 -1 2 0 21 - 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 4 1 0 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 5 1 4 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 6 1 5 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 4 1 7 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 6 1 4 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 5 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 5 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 4 1 5 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 4 1 4 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 1 1 4 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 4 1 1 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 5 1 4 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 7 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 4 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 4 1 0 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 0 1 5 -1 -1 1 0 21 - 316 CLK_CNT_P_1_ 3 -1 1 1 5 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 0 1 1 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 2 1 5 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 1 1 4 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 40 BERR 1 -1 -1 7 1 2 3 4 5 6 7 40 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 5 7 96 -1 - 95 A_16_ 1 -1 -1 2 5 7 95 -1 - 94 A_18_ 1 -1 -1 2 5 7 94 -1 - 58 A_17_ 1 -1 -1 2 5 7 58 -1 - 57 FC_1_ 1 -1 -1 2 5 7 57 -1 - 56 FC_0_ 1 -1 -1 2 5 7 56 -1 - 27 BGACK_000 1 -1 -1 2 5 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 2 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 1 18 -1 - 17 A_25_ 1 -1 -1 1 1 17 -1 - 16 A_26_ 1 -1 -1 1 1 16 -1 - 15 A_27_ 1 -1 -1 1 1 15 -1 - 14 A_28_ 1 -1 -1 1 1 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 1 5 -1 - 4 A_30_ 1 -1 -1 1 1 4 -1 - 3 A_31_ 1 -1 -1 1 1 3 -1 -132 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 352 7 5 0 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 354 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 355 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 356 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 - 65 E 5 361 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 353 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 351 1 0 6 -1 3 0 21 - 82 BGACK_030 5 358 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 362 3 0 34 -1 2 1 21 - 28 BG_000 5 357 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 1 5 0 1 3 4 5 -1 -1 1 0 21 - 352 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 346 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 345 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 2 3 2 3 5 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 - 347 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 2 2 4 -1 -1 3 0 21 - 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 342 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 316 CLK_CNT_P_1_ 3 -1 0 2 0 1 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 5 2 4 7 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 6 2 2 6 -1 -1 1 0 21 - 356 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 355 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 353 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 351 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 344 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 354 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 341 un8_ciin 3 -1 0 1 4 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 6 1 4 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 4 1 6 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 2 1 4 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 7 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 0 1 7 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 6 1 6 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 4 1 6 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 4 1 1 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 2 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 4 1 2 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 4 1 2 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 5 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 7 1 4 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 0 18 -1 - 17 A_25_ 1 -1 -1 1 0 17 -1 - 16 A_26_ 1 -1 -1 1 0 16 -1 - 15 A_27_ 1 -1 -1 1 0 15 -1 - 14 A_28_ 1 -1 -1 1 0 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 0 5 -1 - 4 A_30_ 1 -1 -1 1 0 4 -1 - 3 A_31_ 1 -1 -1 1 0 3 -1 -132 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 351 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 352 3 4 0 4 6 7 32 -1 2 0 21 - 40 BERR 5 -1 4 4 2 3 5 7 40 -1 1 0 21 - 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 354 0 2 0 6 97 -1 7 0 21 - 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 6 68 -1 1 0 21 - 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 - 65 E 5 361 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 356 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 355 1 0 6 -1 3 0 21 - 82 BGACK_030 5 358 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 362 3 0 34 -1 2 1 21 - 28 BG_000 5 357 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 - 351 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 1 4 1 3 4 5 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 346 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 318 CLK_CNT_P_0_ 3 -1 2 3 0 2 5 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 0 3 2 3 5 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 - 315 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 347 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 344 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 4 -1 -1 3 0 21 - 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 345 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 342 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 316 CLK_CNT_P_1_ 3 -1 5 2 0 2 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 6 2 1 6 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 2 4 5 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 5 2 4 5 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 2 2 0 2 -1 -1 1 0 21 - 354 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 352 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 348 CLK_PRE_66_0 3 -1 0 1 2 -1 -1 2 0 21 - 341 un8_ciin 3 -1 1 1 4 -1 -1 2 0 21 - 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 5 1 1 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 4 1 5 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 4 1 4 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 4 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 4 1 4 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 4 1 0 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 7 1 6 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 1 1 7 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 1 1 1 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 7 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 7 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 1 1 7 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 1 1 0 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 0 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 1 18 -1 - 17 A_25_ 1 -1 -1 1 1 17 -1 - 16 A_26_ 1 -1 -1 1 1 16 -1 - 15 A_27_ 1 -1 -1 1 1 15 -1 - 14 A_28_ 1 -1 -1 1 1 14 -1 - 10 CLK_000 1 -1 -1 1 0 10 -1 - 5 A_29_ 1 -1 -1 1 1 5 -1 - 4 A_30_ 1 -1 -1 1 1 4 -1 - 3 A_31_ 1 -1 -1 1 1 3 -1 -132 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 352 7 5 0 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 354 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 355 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 356 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 - 65 E 5 361 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 353 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 351 1 0 6 -1 3 0 21 - 82 BGACK_030 5 358 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 362 3 0 34 -1 2 1 21 - 28 BG_000 5 357 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 1 5 0 1 3 4 5 -1 -1 1 0 21 - 352 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 346 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 345 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 2 3 2 3 5 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 - 347 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 2 2 4 -1 -1 3 0 21 - 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 342 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 316 CLK_CNT_P_1_ 3 -1 0 2 0 1 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 5 2 4 7 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 6 2 2 6 -1 -1 1 0 21 - 356 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 355 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 353 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 351 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 344 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 354 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 341 un8_ciin 3 -1 0 1 4 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 6 1 4 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 4 1 6 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 2 1 4 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 7 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 0 1 7 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 6 1 6 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 4 1 6 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 4 1 1 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 2 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 4 1 2 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 4 1 2 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 5 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 7 1 4 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 0 18 -1 - 17 A_25_ 1 -1 -1 1 0 17 -1 - 16 A_26_ 1 -1 -1 1 0 16 -1 - 15 A_27_ 1 -1 -1 1 0 15 -1 - 14 A_28_ 1 -1 -1 1 0 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 0 5 -1 - 4 A_30_ 1 -1 -1 1 0 4 -1 - 3 A_31_ 1 -1 -1 1 0 3 -1 -132 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 351 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 352 3 4 0 4 6 7 32 -1 2 0 21 - 40 BERR 5 -1 4 4 2 3 5 7 40 -1 1 0 21 - 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 354 0 2 0 6 97 -1 7 0 21 - 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 6 68 -1 1 0 21 - 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 - 65 E 5 361 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 356 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 355 1 0 6 -1 3 0 21 - 82 BGACK_030 5 358 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 362 3 0 34 -1 2 1 21 - 28 BG_000 5 357 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 - 351 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 1 4 1 3 4 5 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 346 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 318 CLK_CNT_P_0_ 3 -1 2 3 0 2 5 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 0 3 2 3 5 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 - 315 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 347 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 - 344 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 4 -1 -1 3 0 21 - 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 345 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 342 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 316 CLK_CNT_P_1_ 3 -1 5 2 0 2 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 6 2 1 6 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 2 4 5 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 5 2 4 5 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 2 2 0 2 -1 -1 1 0 21 - 354 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 352 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 348 CLK_PRE_66_0 3 -1 0 1 2 -1 -1 2 0 21 - 341 un8_ciin 3 -1 1 1 4 -1 -1 2 0 21 - 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 5 1 1 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 4 1 5 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 4 1 4 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 4 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 4 1 4 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 4 1 0 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 7 1 6 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 1 1 7 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 1 1 1 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 7 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 7 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 1 1 7 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 1 1 0 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 6 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 0 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 1 18 -1 - 17 A_25_ 1 -1 -1 1 1 17 -1 - 16 A_26_ 1 -1 -1 1 1 16 -1 - 15 A_27_ 1 -1 -1 1 1 15 -1 - 14 A_28_ 1 -1 -1 1 1 14 -1 - 10 CLK_000 1 -1 -1 1 0 10 -1 - 5 A_29_ 1 -1 -1 1 1 5 -1 - 4 A_30_ 1 -1 -1 1 1 4 -1 - 3 A_31_ 1 -1 -1 1 1 3 -1 -132 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 352 7 5 0 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 354 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 355 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 356 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 - 65 E 5 361 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 353 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 351 1 0 6 -1 3 0 21 - 82 BGACK_030 5 358 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 362 3 0 34 -1 2 1 21 - 28 BG_000 5 357 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 1 5 0 1 3 4 5 -1 -1 1 0 21 - 352 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 346 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 345 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 2 3 2 3 5 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 - 347 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 2 2 4 -1 -1 3 0 21 - 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 342 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 316 CLK_CNT_P_1_ 3 -1 0 2 0 1 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 5 2 4 7 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 6 2 2 6 -1 -1 1 0 21 - 356 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 355 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 353 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 351 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 344 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 354 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 341 un8_ciin 3 -1 0 1 4 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 6 1 4 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 4 1 6 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 2 1 4 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 7 1 4 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 0 1 7 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 6 1 6 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 4 1 6 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 4 1 1 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 2 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 4 1 2 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 4 1 2 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 5 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 7 1 4 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 0 18 -1 - 17 A_25_ 1 -1 -1 1 0 17 -1 - 16 A_26_ 1 -1 -1 1 0 16 -1 - 15 A_27_ 1 -1 -1 1 0 15 -1 - 14 A_28_ 1 -1 -1 1 0 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 0 5 -1 - 4 A_30_ 1 -1 -1 1 0 4 -1 - 3 A_31_ 1 -1 -1 1 0 3 -1 -126 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 346 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 348 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 357 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 349 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 350 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 353 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 - 65 E 5 355 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 344 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 347 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 345 1 0 6 -1 3 0 21 - 82 BGACK_030 5 352 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 356 3 0 34 -1 2 1 21 - 28 BG_000 5 351 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 354 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 359 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 2 6 1 2 3 4 5 7 -1 -1 1 0 21 - 352 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 346 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 7 4 1 3 4 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 340 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 355 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 308 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 354 RN_AVEC_EXP 3 21 2 3 3 6 7 21 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 2 3 0 2 6 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 11 0 21 - 341 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 318 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 356 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 339 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 319 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 317 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 316 CLK_CNT_P_0_ 3 -1 2 2 2 4 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 2 2 2 4 -1 -1 1 0 21 - 304 inst_CLK_OUT_PRE_D 3 -1 0 2 1 6 -1 -1 1 0 21 - 350 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 337 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 347 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 345 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 343 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 338 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 1 0 -1 -1 3 0 21 - 353 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 351 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 348 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 342 AMIGA_BUS_ENABLE_LOW_0 3 -1 4 1 2 -1 -1 2 0 21 - 336 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 335 un8_ciin 3 -1 1 1 4 -1 -1 2 0 21 - 359 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 334 CLK_000_N_SYNC_5_ 3 -1 0 1 5 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_2_ 3 -1 1 1 2 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_7_ 3 -1 6 1 0 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_6_ 3 -1 1 1 6 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_5_ 3 -1 1 1 1 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_4_ 3 -1 7 1 1 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_2_ 3 -1 5 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_1_ 3 -1 4 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_0_ 3 -1 4 1 4 -1 -1 1 0 21 - 315 CLK_CNT_N_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 312 CLK_000_N_SYNC_6_ 3 -1 5 1 7 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE 3 -1 0 1 0 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 4 1 4 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 4 1 4 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 0 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 1 18 -1 - 17 A_25_ 1 -1 -1 1 1 17 -1 - 16 A_26_ 1 -1 -1 1 1 16 -1 - 15 A_27_ 1 -1 -1 1 1 15 -1 - 14 A_28_ 1 -1 -1 1 1 14 -1 - 10 CLK_000 1 -1 -1 1 2 10 -1 - 5 A_29_ 1 -1 -1 1 1 5 -1 - 4 A_30_ 1 -1 -1 1 1 4 -1 - 3 A_31_ 1 -1 -1 1 1 3 -1 -127 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 348 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 349 3 4 0 4 6 7 32 -1 2 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 70 RW 5 358 6 2 4 7 70 -1 4 0 21 - 79 RW_000 5 350 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 351 0 1 4 97 -1 7 0 21 - 80 DSACK1 5 354 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 4 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 4 69 -1 1 0 21 - 68 A0 5 -1 6 1 4 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 359 3 0 33 -1 6 0 21 - 65 E 5 356 6 0 65 -1 4 0 21 - 8 IPL_030_2_ 5 345 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 347 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 346 1 0 6 -1 3 0 21 - 82 BGACK_030 5 353 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 357 3 0 34 -1 2 1 21 - 28 BG_000 5 352 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 355 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 360 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 353 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 4 6 0 1 3 4 5 7 -1 -1 1 0 21 - 348 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 3 4 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 - 307 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 341 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 356 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 316 CLK_CNT_P_0_ 3 -1 0 3 0 1 6 -1 -1 2 0 21 - 308 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 355 RN_AVEC_EXP 3 21 2 3 3 6 7 21 -1 1 0 21 - 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 318 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 - 357 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 340 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 - 337 SM_AMIGA_5_ 3 -1 4 2 4 5 -1 -1 2 0 21 - 319 inst_UDS_000_INT 3 -1 4 2 3 4 -1 -1 2 0 21 - 317 inst_LDS_000_INT 3 -1 4 2 3 4 -1 -1 2 0 21 - 311 SM_AMIGA_4_ 3 -1 4 2 4 5 -1 -1 2 0 21 - 309 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 315 CLK_CNT_N_1_ 3 -1 6 2 2 6 -1 -1 1 0 21 - 314 CLK_CNT_P_1_ 3 -1 1 2 0 6 -1 -1 1 0 21 - 304 inst_CLK_OUT_PRE_D 3 -1 2 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 5 2 0 2 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 - 351 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 359 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 358 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 350 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 347 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 346 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 345 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 344 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 339 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 354 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 352 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 349 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 343 AMIGA_BUS_ENABLE_LOW_0 3 -1 6 1 2 -1 -1 2 0 21 - 336 un8_ciin 3 -1 1 1 4 -1 -1 2 0 21 - 360 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 335 CLK_000_N_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_5_ 3 -1 5 1 0 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_4_ 3 -1 7 1 5 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 330 CLK_000_N_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 329 CLK_000_N_SYNC_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_6_ 3 -1 4 1 0 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_5_ 3 -1 5 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_2_ 3 -1 5 1 1 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_1_ 3 -1 0 1 5 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 312 CLK_000_N_SYNC_7_ 3 -1 1 1 7 -1 -1 1 0 21 - 306 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE 3 -1 1 1 2 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 2 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 2 3 4 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 35 VPA 1 -1 -1 2 2 5 35 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 1 18 -1 - 17 A_25_ 1 -1 -1 1 1 17 -1 - 16 A_26_ 1 -1 -1 1 1 16 -1 - 15 A_27_ 1 -1 -1 1 1 15 -1 - 14 A_28_ 1 -1 -1 1 1 14 -1 - 10 CLK_000 1 -1 -1 1 4 10 -1 - 5 A_29_ 1 -1 -1 1 1 5 -1 - 4 A_30_ 1 -1 -1 1 1 4 -1 - 3 A_31_ 1 -1 -1 1 1 3 -1 -120 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 341 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 342 3 4 0 4 6 7 32 -1 2 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 70 RW 5 351 6 2 4 7 70 -1 4 0 21 - 79 RW_000 5 343 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 344 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 347 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 352 3 0 33 -1 6 0 21 - 65 E 5 349 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 340 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 339 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 338 1 0 6 -1 3 0 21 - 82 BGACK_030 5 346 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 350 3 0 34 -1 2 1 21 - 28 BG_000 5 345 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 348 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 353 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 6 7 0 1 3 4 5 6 7 -1 -1 1 0 21 - 311 SM_AMIGA_6_ 3 -1 1 6 0 1 3 4 5 7 -1 -1 2 0 21 - 346 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 4 1 3 5 7 -1 -1 6 0 21 - 341 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 309 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 - 302 inst_CLK_000_D1 3 -1 4 4 1 3 5 6 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 5 3 1 3 7 -1 -1 11 0 21 - 334 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 349 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 333 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 - 312 SM_AMIGA_4_ 3 -1 0 3 0 4 5 -1 -1 2 0 21 - 335 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 332 SM_AMIGA_2_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 318 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 4 2 4 5 -1 -1 3 0 21 - 350 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 330 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 - 319 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 317 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 316 CLK_CNT_P_0_ 3 -1 2 2 2 4 -1 -1 2 0 21 - 310 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 348 RN_AVEC_EXP 3 21 2 2 3 7 21 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 6 2 6 7 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 2 2 2 4 -1 -1 1 0 21 - 344 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 352 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 331 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 351 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 343 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 340 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 339 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 338 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 337 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 347 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 345 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 342 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 AMIGA_BUS_ENABLE_LOW_0 3 -1 2 1 2 -1 -1 2 0 21 - 329 un8_ciin 3 -1 2 1 4 -1 -1 2 0 21 - 299 CLK_CNT_N_0_ 3 -1 2 1 2 -1 -1 2 0 21 - 353 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 328 CLK_000_P_SYNC_8_ 3 -1 2 1 1 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_2_ 3 -1 0 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_0_ 3 -1 6 1 4 -1 -1 1 0 21 - 315 CLK_CNT_N_1_ 3 -1 2 1 2 -1 -1 1 0 21 - 314 CLK_CNT_P_1_ 3 -1 4 1 2 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 5 1 5 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 5 1 6 -1 -1 1 0 21 - 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 4 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 6 0 1 3 4 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 2 18 -1 - 17 A_25_ 1 -1 -1 1 2 17 -1 - 16 A_26_ 1 -1 -1 1 2 16 -1 - 15 A_27_ 1 -1 -1 1 2 15 -1 - 14 A_28_ 1 -1 -1 1 2 14 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 2 5 -1 - 4 A_30_ 1 -1 -1 1 2 4 -1 - 3 A_31_ 1 -1 -1 1 2 3 -1 -114 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 334 7 5 0 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 335 3 4 0 4 6 7 32 -1 2 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 70 RW 5 343 6 2 4 7 70 -1 4 0 21 - 79 RW_000 5 336 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 337 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 340 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 344 3 0 33 -1 6 0 21 - 65 E 5 341 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 333 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 346 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 345 1 0 6 -1 3 0 21 - 82 BGACK_030 5 339 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 342 3 0 34 -1 2 1 21 - 28 BG_000 5 338 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 5 347 6 0 64 -1 1 0 20 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 339 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 6 1 3 4 5 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 5 6 1 3 4 5 6 7 -1 -1 1 0 21 - 303 SM_AMIGA_7_ 3 -1 5 4 2 3 5 7 -1 -1 11 0 21 - 334 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 304 SM_AMIGA_6_ 3 -1 5 4 3 4 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 329 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 341 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 328 cpu_est_0_ 3 -1 4 3 3 4 6 -1 -1 3 0 21 - 311 CLK_CNT_P_0_ 3 -1 0 3 0 1 2 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 347 RN_CLK_DIV_OUT 3 64 6 3 1 2 6 64 -1 1 0 20 - 308 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 330 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 313 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 - 342 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 325 SM_AMIGA_5_ 3 -1 4 2 4 5 -1 -1 2 0 21 - 314 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 312 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 307 SM_AMIGA_4_ 3 -1 4 2 4 5 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 299 CLK_CNT_N_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 310 CLK_CNT_N_1_ 3 -1 0 2 0 1 -1 -1 1 0 21 - 309 CLK_CNT_P_1_ 3 -1 2 2 0 1 -1 -1 1 0 21 - 301 inst_CLK_000_D3 3 -1 6 2 4 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 4 2 4 6 -1 -1 1 0 21 - 337 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 344 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 343 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 346 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 345 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 336 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 333 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 332 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 327 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 340 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 338 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 335 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 331 CLK_DIV_OUT_0 3 -1 1 1 6 -1 -1 2 0 21 - 324 un8_ciin 3 -1 0 1 4 -1 -1 2 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 0 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 2 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 2 1 2 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 - 302 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 5 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 2 3 4 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 35 VPA 1 -1 -1 2 5 6 35 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 0 18 -1 - 17 A_25_ 1 -1 -1 1 0 17 -1 - 16 A_26_ 1 -1 -1 1 0 16 -1 - 15 A_27_ 1 -1 -1 1 0 15 -1 - 14 A_28_ 1 -1 -1 1 0 14 -1 - 10 CLK_000 1 -1 -1 1 5 10 -1 - 5 A_29_ 1 -1 -1 1 0 5 -1 - 4 A_30_ 1 -1 -1 1 0 4 -1 - 3 A_31_ 1 -1 -1 1 0 3 -1 -114 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 336 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 337 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 345 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 339 0 2 0 1 97 -1 7 0 21 - 79 RW_000 5 338 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 - 80 DSACK1 5 342 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 346 3 0 33 -1 6 0 21 - 65 E 5 343 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 335 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 - 82 BGACK_030 5 341 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 344 3 0 34 -1 2 1 21 - 28 BG_000 5 340 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 5 347 6 0 64 -1 1 0 20 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 8 0 1 2 3 4 5 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 1 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 341 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 2 5 1 2 3 5 7 -1 -1 2 0 21 - 336 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 329 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 343 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 307 SM_AMIGA_4_ 3 -1 2 3 1 2 5 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 347 RN_CLK_DIV_OUT 3 64 6 3 1 2 6 64 -1 1 0 20 - 330 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 328 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 344 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 325 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 314 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 299 CLK_CNT_N_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 309 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 301 inst_CLK_000_D3 3 -1 2 2 0 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 4 2 0 2 -1 -1 1 0 21 - 339 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 346 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 345 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 338 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 335 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 332 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 327 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 324 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 - 342 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 340 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 337 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 331 CLK_DIV_OUT_0 3 -1 0 1 6 -1 -1 2 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 2 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 5 1 4 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 4 1 6 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 302 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -119 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 339 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 340 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 350 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 343 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 341 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 347 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 351 3 0 33 -1 6 0 21 - 65 E 5 348 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 338 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 344 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 342 1 0 6 -1 3 0 21 - 82 BGACK_030 5 346 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 349 3 0 34 -1 2 1 21 - 28 BG_000 5 345 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 352 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 346 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 2 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 1 3 5 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 5 4 1 3 5 7 -1 -1 11 0 21 - 339 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 333 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 1 3 1 3 5 -1 -1 6 0 21 - 334 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 348 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 335 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 21 - 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 304 inst_CLK_000_D3 3 -1 2 3 4 6 7 -1 -1 1 0 21 - 318 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 4 6 -1 -1 3 0 21 - 349 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 330 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 319 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 317 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 1 2 1 6 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 2 2 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 343 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 351 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 331 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 350 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 344 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 342 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 341 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 338 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 337 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 332 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 329 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 - 347 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 345 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 340 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 316 CLK_CNT_P_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 300 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 352 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 328 CLK_000_P_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_7_ 3 -1 6 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_6_ 3 -1 4 1 6 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_4_ 3 -1 4 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_3_ 3 -1 2 1 4 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_1_ 3 -1 1 1 4 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_0_ 3 -1 6 1 1 -1 -1 1 0 21 - 315 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 314 CLK_CNT_P_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 1 -1 -1 1 0 21 - 299 inst_CLK_000_D4 3 -1 4 1 7 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 1 7 96 -1 - 95 A_16_ 1 -1 -1 2 1 7 95 -1 - 94 A_18_ 1 -1 -1 2 1 7 94 -1 - 58 A_17_ 1 -1 -1 2 1 7 58 -1 - 57 FC_1_ 1 -1 -1 2 1 7 57 -1 - 56 FC_0_ 1 -1 -1 2 1 7 56 -1 - 35 VPA 1 -1 -1 2 1 5 35 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 2 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -114 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 336 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 337 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 345 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 339 0 2 0 1 97 -1 7 0 21 - 79 RW_000 5 338 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 - 80 DSACK1 5 342 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 346 3 0 33 -1 6 0 21 - 65 E 5 343 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 335 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 - 82 BGACK_030 5 341 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 344 3 0 34 -1 2 1 21 - 28 BG_000 5 340 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 5 347 6 0 64 -1 1 0 20 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 8 0 1 2 3 4 5 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 1 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 341 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 304 SM_AMIGA_6_ 3 -1 2 5 1 2 3 5 7 -1 -1 2 0 21 - 336 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 303 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 329 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 343 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 307 SM_AMIGA_4_ 3 -1 2 3 1 2 5 -1 -1 2 0 21 - 305 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 347 RN_CLK_DIV_OUT 3 64 6 3 1 2 6 64 -1 1 0 20 - 330 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 328 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 313 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 344 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 325 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 314 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 311 CLK_CNT_P_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 - 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 299 CLK_CNT_N_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 309 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 - 301 inst_CLK_000_D3 3 -1 2 2 0 7 -1 -1 1 0 21 - 300 inst_CLK_000_D2 3 -1 4 2 0 2 -1 -1 1 0 21 - 339 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 346 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 345 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 338 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 335 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 332 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 327 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 324 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 - 342 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 340 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 337 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 331 CLK_DIV_OUT_0 3 -1 0 1 6 -1 -1 2 0 21 - 323 CLK_000_P_SYNC_8_ 3 -1 2 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_6_ 3 -1 5 1 4 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 - 317 CLK_000_P_SYNC_2_ 3 -1 4 1 6 -1 -1 1 0 21 - 316 CLK_000_P_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 - 315 CLK_000_P_SYNC_0_ 3 -1 0 1 0 -1 -1 1 0 21 - 310 CLK_CNT_N_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 302 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -118 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 340 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 341 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 349 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 342 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 343 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 346 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 350 3 0 33 -1 6 0 21 - 65 E 5 347 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 337 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 339 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 338 1 0 6 -1 3 0 21 - 82 BGACK_030 5 345 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 348 3 0 34 -1 2 1 21 - 28 BG_000 5 344 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 351 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 345 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 4 6 1 2 3 5 6 7 -1 -1 1 0 21 - 306 SM_AMIGA_6_ 3 -1 5 5 2 3 5 6 7 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 5 4 2 3 5 7 -1 -1 11 0 21 - 340 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 331 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 - 347 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 330 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 3 0 21 - 327 SM_AMIGA_5_ 3 -1 6 3 2 5 6 -1 -1 2 0 21 - 307 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 310 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 - 332 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 348 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 314 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 308 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 299 CLK_CNT_N_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 - 313 inst_CLK_OUT_PRE_D 3 -1 0 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D3 3 -1 5 2 4 7 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 3 2 5 7 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 2 4 5 -1 -1 1 0 21 - 343 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 350 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 328 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 349 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 342 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 339 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 338 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 337 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 336 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 329 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 346 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 344 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 341 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 335 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 334 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 333 un14_ciin_0 3 -1 4 1 4 -1 -1 2 0 21 - 351 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 326 CLK_000_P_SYNC_8_ 3 -1 4 1 0 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 2 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 0 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 2 1 2 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 7 1 1 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 4 1 0 -1 -1 1 0 21 - 304 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 303 inst_CLK_OUT_PRE 3 -1 5 1 0 -1 -1 1 0 21 - 298 inst_CLK_000_D4 3 -1 4 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 2 3 5 6 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 4 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -117 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 337 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 338 3 4 0 4 6 7 32 -1 2 0 21 - 40 BERR 5 -1 4 4 2 3 5 7 40 -1 1 0 21 - 70 RW 5 348 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 339 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 340 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 345 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 349 3 0 33 -1 6 0 21 - 65 E 5 346 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 336 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 342 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 341 1 0 6 -1 3 0 21 - 82 BGACK_030 5 344 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 347 3 0 34 -1 2 1 21 - 28 BG_000 5 343 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 350 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 296 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 - 297 inst_CLK_000_D1 3 -1 3 6 0 1 3 5 6 7 -1 -1 1 0 21 - 344 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 337 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 307 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 306 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 305 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 11 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 332 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 346 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 308 SM_AMIGA_0_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 - 333 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 - 331 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 - 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 347 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 314 CLK_CNT_P_0_ 3 -1 0 2 0 2 -1 -1 2 0 21 - 309 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 313 inst_CLK_OUT_PRE_D 3 -1 6 2 1 6 -1 -1 1 0 21 - 302 inst_CLK_000_D3 3 -1 1 2 0 7 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 7 2 0 1 -1 -1 1 0 21 - 340 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 349 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 329 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 348 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 342 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 341 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 339 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 336 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 335 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 330 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 327 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 - 345 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 343 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 338 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 334 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 328 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 299 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 350 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 326 CLK_000_P_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_3_ 3 -1 6 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_0_ 3 -1 0 1 1 -1 -1 1 0 21 - 312 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 311 CLK_CNT_P_1_ 3 -1 2 1 0 -1 -1 1 0 21 - 304 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 303 inst_CLK_OUT_PRE 3 -1 4 1 6 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 5 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -119 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 339 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 340 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 350 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 343 0 2 0 2 97 -1 7 0 21 - 79 RW_000 5 341 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 - 80 DSACK1 5 347 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 351 3 0 33 -1 6 0 21 - 65 E 5 348 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 338 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 344 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 342 1 0 6 -1 3 0 21 - 82 BGACK_030 5 346 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 349 3 0 34 -1 2 1 21 - 28 BG_000 5 345 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 352 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 346 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 2 6 1 2 3 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 3 5 1 3 5 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 5 4 1 3 5 7 -1 -1 11 0 21 - 339 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 333 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 21 - 309 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 1 3 1 3 5 -1 -1 6 0 21 - 334 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 348 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 335 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 21 - 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 304 inst_CLK_000_D3 3 -1 2 3 4 6 7 -1 -1 1 0 21 - 318 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 4 6 -1 -1 3 0 21 - 349 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 330 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 - 319 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 317 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 1 2 1 6 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 2 2 6 -1 -1 1 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 - 343 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 351 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 331 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 350 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 344 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 342 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 341 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 338 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 337 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 332 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 329 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 - 347 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 345 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 340 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 316 CLK_CNT_P_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 300 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 352 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 328 CLK_000_P_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_7_ 3 -1 6 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_6_ 3 -1 4 1 6 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_4_ 3 -1 4 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_3_ 3 -1 2 1 4 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_1_ 3 -1 1 1 4 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_0_ 3 -1 6 1 1 -1 -1 1 0 21 - 315 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 314 CLK_CNT_P_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 1 -1 -1 1 0 21 - 299 inst_CLK_000_D4 3 -1 4 1 7 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 1 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 1 7 96 -1 - 95 A_16_ 1 -1 -1 2 1 7 95 -1 - 94 A_18_ 1 -1 -1 2 1 7 94 -1 - 58 A_17_ 1 -1 -1 2 1 7 58 -1 - 57 FC_1_ 1 -1 -1 2 1 7 57 -1 - 56 FC_0_ 1 -1 -1 2 1 7 56 -1 - 35 VPA 1 -1 -1 2 1 5 35 -1 - 27 BGACK_000 1 -1 -1 2 1 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 2 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -119 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 6 1 2 3 5 6 7 40 -1 1 0 21 - 81 AS_030 5 339 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 340 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 350 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 341 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 342 0 1 0 97 -1 7 0 21 - 80 DSACK1 5 347 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 68 A0 5 -1 6 1 0 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 351 3 0 33 -1 6 0 21 - 65 E 5 348 6 0 65 -1 3 1 21 - 8 IPL_030_2_ 5 338 1 0 8 -1 3 0 21 - 7 IPL_030_0_ 5 344 1 0 7 -1 3 0 21 - 6 IPL_030_1_ 5 343 1 0 6 -1 3 0 21 - 82 BGACK_030 5 346 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 349 3 0 34 -1 2 1 21 - 28 BG_000 5 345 3 0 28 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 0 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 352 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 346 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 297 inst_CLK_000_D0 3 -1 2 6 1 3 4 5 6 7 -1 -1 1 0 21 - 298 inst_CLK_000_D1 3 -1 4 5 1 3 5 6 7 -1 -1 1 0 21 - 308 SM_AMIGA_7_ 3 -1 5 4 2 3 5 7 -1 -1 11 0 21 - 339 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_1_ 3 -1 6 4 3 5 6 7 -1 -1 2 0 21 - 309 SM_AMIGA_6_ 3 -1 5 4 1 3 5 7 -1 -1 2 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 334 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 348 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 - 316 CLK_CNT_P_0_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 - 301 inst_CLK_OUT_PRE_50 3 -1 0 3 0 4 6 -1 -1 1 0 21 - 335 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 - 333 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 - 332 SM_AMIGA_2_ 3 -1 5 2 5 6 -1 -1 3 0 21 - 318 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 302 inst_CLK_OUT_PRE_25 3 -1 6 2 2 6 -1 -1 3 0 21 - 349 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 319 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 317 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 - 312 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 311 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 - 300 CLK_CNT_N_0_ 3 -1 2 2 2 6 -1 -1 2 0 21 - 314 CLK_CNT_P_1_ 3 -1 7 2 2 6 -1 -1 1 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 1 2 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_D3 3 -1 2 2 1 7 -1 -1 1 0 21 - 303 inst_CLK_000_D2 3 -1 7 2 1 2 -1 -1 1 0 21 - 342 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 351 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 331 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 350 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 344 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 - 343 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 - 341 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 338 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 - 337 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 - 329 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 - 347 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 345 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 340 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 336 AMIGA_BUS_ENABLE_LOW_0 3 -1 2 1 2 -1 -1 2 0 21 - 330 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 352 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 328 CLK_000_P_SYNC_8_ 3 -1 1 1 5 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_7_ 3 -1 1 1 1 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_5_ 3 -1 0 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_3_ 3 -1 0 1 0 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 - 321 CLK_000_P_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 - 320 CLK_000_P_SYNC_0_ 3 -1 1 1 5 -1 -1 1 0 21 - 315 CLK_CNT_N_1_ 3 -1 6 1 2 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 2 1 1 -1 -1 1 0 21 - 299 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 - 35 VPA 1 -1 -1 2 4 5 35 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 2 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -132 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 351 7 4 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 352 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 354 0 1 1 97 -1 7 0 21 - 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 68 A0 5 -1 6 1 1 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 - 65 E 5 361 6 0 65 -1 4 0 21 - 82 BGACK_030 5 356 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 362 3 0 34 -1 2 1 21 - 28 BG_000 5 355 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 350 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 358 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 357 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 360 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 - 356 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 351 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 - 302 inst_CLK_000_D2 3 -1 5 4 0 2 3 5 -1 -1 1 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 346 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 298 inst_CLK_000_D1 3 -1 4 3 0 3 5 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 2 3 0 3 4 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 - 347 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 301 inst_CLK_OUT_PRE_25 3 -1 1 2 0 1 -1 -1 3 0 21 - 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 345 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 4 2 4 5 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 1 2 1 6 -1 -1 1 0 21 - 304 inst_CLK_000_NE 3 -1 0 2 3 5 -1 -1 1 0 21 - 300 inst_CLK_OUT_PRE_50 3 -1 7 2 1 7 -1 -1 1 0 21 - 354 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 344 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 358 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 357 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 355 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 352 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 4 1 2 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 299 CLK_CNT_N_0_ 3 -1 4 1 4 -1 -1 2 0 21 - 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 2 1 2 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 6 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 2 1 6 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 0 1 2 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 4 1 0 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 0 1 6 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 4 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 4 1 4 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 2 1 4 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 0 1 2 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 4 1 4 -1 -1 1 0 21 - 316 CLK_CNT_P_1_ 3 -1 5 1 4 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 2 1 0 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 0 1 1 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 2 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 1 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 2 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -133 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 352 7 4 1 3 4 7 81 -1 4 0 21 - 32 AS_000 5 353 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 364 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 79 RW_000 5 354 7 2 0 6 79 -1 3 0 21 - 97 DS_030 5 355 0 1 2 97 -1 7 0 21 - 80 DSACK1 5 360 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 68 A0 5 -1 6 1 2 68 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 365 3 0 33 -1 6 0 21 - 65 E 5 362 6 0 65 -1 4 0 21 - 82 BGACK_030 5 357 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 363 3 0 34 -1 2 1 21 - 28 BG_000 5 356 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 351 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 359 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 358 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 361 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 366 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 357 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 - 361 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 - 352 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 5 4 1 3 5 7 -1 -1 2 0 21 - 310 SM_AMIGA_6_ 3 -1 5 4 1 3 5 7 -1 -1 2 0 21 - 309 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 - 345 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 362 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 344 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 1 3 1 3 5 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 6 3 1 3 5 -1 -1 1 0 21 - 301 inst_CLK_000_D1 3 -1 3 3 0 3 5 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 4 3 0 3 5 -1 -1 1 0 21 - 346 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 2 2 2 6 -1 -1 3 0 21 - 363 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 321 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 7 2 2 7 -1 -1 1 0 21 - 355 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 365 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 364 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 350 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 354 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 360 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 359 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 358 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 356 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 353 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 351 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 349 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 - 348 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 347 un14_ciin_0 3 -1 4 1 4 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 366 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 4 1 2 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 4 1 4 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 4 1 4 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 4 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 6 1 2 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 5 1 6 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 4 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 0 1 4 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 2 1 1 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 6 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 4 1 6 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 1 1 4 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 0 1 1 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 6 1 2 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 1 1 6 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 0 1 1 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 1 1 0 -1 -1 1 0 21 - 316 CLK_CNT_P_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 2 1 6 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 6 1 4 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 0 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 3 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 2 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 4 1 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 1 7 96 -1 - 95 A_16_ 1 -1 -1 1 7 95 -1 - 94 A_18_ 1 -1 -1 1 7 94 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 58 A_17_ 1 -1 -1 1 7 58 -1 - 57 FC_1_ 1 -1 -1 1 7 57 -1 - 56 FC_0_ 1 -1 -1 1 7 56 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 27 BGACK_000 1 -1 -1 1 7 27 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 10 CLK_000 1 -1 -1 1 4 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -132 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 351 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 352 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 363 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 354 0 2 1 6 97 -1 7 0 21 - 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 1 6 68 -1 1 0 21 - 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 - 65 E 5 361 6 0 65 -1 4 0 21 - 82 BGACK_030 5 356 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 362 3 0 34 -1 2 1 21 - 28 BG_000 5 355 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 350 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 358 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 357 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 356 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 360 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 - 351 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 1 3 5 7 -1 -1 2 0 21 - 301 inst_CLK_000_D1 3 -1 6 4 0 3 4 5 -1 -1 1 0 21 - 297 inst_CLK_000_D0 3 -1 5 4 0 3 5 6 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 346 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 2 3 1 3 5 -1 -1 1 0 21 - 347 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 4 -1 -1 3 0 21 - 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 345 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 4 2 2 4 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 1 2 1 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 7 2 1 6 -1 -1 1 0 21 - 299 inst_CLK_OUT_PRE_50 3 -1 7 2 0 7 -1 -1 1 0 21 - 354 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 344 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 358 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 357 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 355 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 352 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 4 1 2 -1 -1 2 0 21 - 298 CLK_CNT_N_0_ 3 -1 4 1 4 -1 -1 2 0 21 - 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 4 1 0 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 5 1 4 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 6 1 2 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 5 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 1 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 0 1 1 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 2 1 5 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 4 1 2 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 0 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 0 1 1 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 4 1 4 -1 -1 1 0 21 - 316 CLK_CNT_P_1_ 3 -1 2 1 4 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 7 -1 -1 1 0 21 - 303 inst_CLK_000_D3 3 -1 0 1 0 -1 -1 1 0 21 - 302 inst_CLK_000_D2 3 -1 4 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 0 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 35 VPA 1 -1 -1 2 2 5 35 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -132 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 81 AS_030 5 351 7 5 1 2 3 4 7 81 -1 4 0 21 - 32 AS_000 5 352 3 4 0 4 6 7 32 -1 2 0 21 - 70 RW 5 363 6 3 1 4 7 70 -1 4 0 21 - 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 - 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 - 97 DS_030 5 354 0 2 1 6 97 -1 7 0 21 - 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 - 68 A0 5 -1 6 2 1 6 68 -1 1 0 21 - 80 DSACK1 5 358 7 1 3 80 -1 2 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 2 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 - 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 - 65 E 5 361 6 0 65 -1 4 0 21 - 82 BGACK_030 5 356 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 34 VMA 5 362 3 0 34 -1 2 1 21 - 28 BG_000 5 355 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 350 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 359 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 357 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 0 1 0 2 -1 1 0 21 - 356 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 360 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 - 351 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 - 310 SM_AMIGA_6_ 3 -1 3 4 1 3 5 7 -1 -1 2 0 21 - 303 inst_CLK_000_D0 3 -1 5 4 0 3 4 5 -1 -1 1 0 21 - 300 inst_CLK_000_D1 3 -1 4 4 0 3 5 6 -1 -1 1 0 21 - 309 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 13 0 21 - 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 - 346 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 - 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 - 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 - 304 inst_CLK_000_NE 3 -1 2 3 1 3 5 -1 -1 1 0 21 - 347 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 - 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 - 299 inst_CLK_OUT_PRE_25 3 -1 0 2 0 4 -1 -1 3 0 21 - 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 - 345 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 - 341 SM_AMIGA_5_ 3 -1 5 2 1 5 -1 -1 2 0 21 - 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 - 319 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 - 318 CLK_CNT_P_0_ 3 -1 4 2 2 4 -1 -1 2 0 21 - 313 SM_AMIGA_4_ 3 -1 1 2 1 5 -1 -1 2 0 21 - 312 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 - 305 inst_CLK_OUT_PRE_D 3 -1 7 2 1 6 -1 -1 1 0 21 - 298 inst_CLK_OUT_PRE_50 3 -1 7 2 0 7 -1 -1 1 0 21 - 354 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 - 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 - 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 - 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 - 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 - 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 - 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 344 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 - 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 - 359 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 358 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 - 357 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 355 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 352 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 - 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 4 1 2 -1 -1 2 0 21 - 297 CLK_CNT_N_0_ 3 -1 4 1 4 -1 -1 2 0 21 - 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 - 340 CLK_000_N_SYNC_10_ 3 -1 4 1 0 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_9_ 3 -1 5 1 4 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 335 CLK_000_N_SYNC_4_ 3 -1 6 1 2 -1 -1 1 0 21 - 334 CLK_000_N_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 - 333 CLK_000_N_SYNC_2_ 3 -1 5 1 0 -1 -1 1 0 21 - 332 CLK_000_N_SYNC_1_ 3 -1 1 1 5 -1 -1 1 0 21 - 331 CLK_000_N_SYNC_0_ 3 -1 0 1 1 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_6_ 3 -1 2 1 5 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 326 CLK_000_P_SYNC_4_ 3 -1 4 1 2 -1 -1 1 0 21 - 325 CLK_000_P_SYNC_3_ 3 -1 0 1 4 -1 -1 1 0 21 - 324 CLK_000_P_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 - 323 CLK_000_P_SYNC_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 322 CLK_000_P_SYNC_0_ 3 -1 0 1 1 -1 -1 1 0 21 - 317 CLK_CNT_N_1_ 3 -1 4 1 4 -1 -1 1 0 21 - 316 CLK_CNT_P_1_ 3 -1 2 1 4 -1 -1 1 0 21 - 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 - 308 CLK_000_N_SYNC_11_ 3 -1 0 1 2 -1 -1 1 0 21 - 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 - 306 inst_CLK_OUT_PRE 3 -1 4 1 7 -1 -1 1 0 21 - 302 inst_CLK_000_D3 3 -1 0 1 0 -1 -1 1 0 21 - 301 inst_CLK_000_D2 3 -1 6 1 0 -1 -1 1 0 21 - 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 0 -1 -1 1 0 21 - 295 inst_VPA_D 3 -1 2 1 3 -1 -1 1 0 21 - 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 - 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 - 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 - 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 2 7 96 -1 - 95 A_16_ 1 -1 -1 2 2 7 95 -1 - 94 A_18_ 1 -1 -1 2 2 7 94 -1 - 58 A_17_ 1 -1 -1 2 2 7 58 -1 - 57 FC_1_ 1 -1 -1 2 2 7 57 -1 - 56 FC_0_ 1 -1 -1 2 2 7 56 -1 - 35 VPA 1 -1 -1 2 2 5 35 -1 - 27 BGACK_000 1 -1 -1 2 2 7 27 -1 - 10 CLK_000 1 -1 -1 2 3 5 10 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_22_ 1 -1 -1 1 4 84 -1 - 83 A_23_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc deleted file mode 100644 index 1243c54..0000000 --- a/Logic/68030_tk.plc +++ /dev/null @@ -1,153 +0,0 @@ -|--------------------------------------------| -|- ispLEVER Fitter Report File -| -|- Version 1.7.00.05.28.13 -| -|- (c)Copyright, Lattice Semiconductor 2002 -| -|--------------------------------------------| - - -; Source file 68030_tk.tt4 -; FITTER-generated Placements. -; DEVICE mach447a -; DATE Sun Jun 22 21:24:26 2014 - - -Pin 4 A_31_ -Pin 68 IPL_2_ -Pin 58 FC_1_ -Pin 5 A_30_ -Pin 32 UDS_000 Comb ; S6=1 S9=1 Pair 185 -Pin 6 A_29_ -Pin 31 LDS_000 Comb ; S6=1 S9=1 Pair 191 -Pin 15 A_28_ -Pin 16 A_27_ -Pin 14 nEXP_SPACE -Pin 17 A_26_ -Pin 41 BERR -Pin 18 A_25_ -Pin 21 BG_030 -Pin 19 A_24_ -Pin 84 A_23_ -Pin 85 A_22_ -Pin 28 BGACK_000 -Pin 94 A_21_ -Pin 64 CLK_030 -Pin 93 A_20_ -Pin 11 CLK_000 -Pin 97 A_19_ -Pin 61 CLK_OSZI -Pin 95 A_18_ -Pin 65 CLK_DIV_OUT Reg ; S6=0 S9=1 Pair 247 -Pin 59 A_17_ -Pin 96 A_16_ -Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 277 -Pin 30 DTACK Comb ; S6=1 S9=1 Pair 173 -Pin 56 IPL_1_ -Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 -Pin 67 IPL_0_ -Pin 57 FC_0_ -Pin 36 VPA -Pin 86 RST -Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 197 -Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 -Pin 79 SIZE_1_ Reg ; S6=1 S9=1 Pair 271 -Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 131 -Pin 82 AS_030 Reg ; S6=1 S9=1 Pair 281 -Pin 33 AS_000 Reg ; S6=1 S9=1 Pair 179 -Pin 80 RW_000 Reg ; S6=1 S9=1 Pair 269 -Pin 70 SIZE_0_ Reg ; S6=1 S9=1 Pair 263 -Pin 98 DS_030 Reg ; S6=1 S9=1 Pair 101 -Pin 69 A0 Reg ; S6=1 S9=1 Pair 257 -Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 193 -Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275 -Pin 10 CLK_EXP Reg ; S6=0 S9=1 Pair 125 -Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 143 -Pin 81 DSACK1 Reg ; S6=1 S9=1 Pair 287 -Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 137 -Pin 22 AVEC_EXP Reg ; S6=1 S9=1 Pair 149 -Pin 66 E Reg ; S6=0 S9=1 Pair 251 -Pin 35 VMA Reg ; S6=1 S9=1 Pair 175 -Pin 3 RESET Reg ; S6=0 S9=1 Pair 127 -Pin 71 RW Reg ; S6=1 S9=1 Pair 245 -Pin 34 AMIGA_BUS_ENABLE Reg ; S6=1 S9=1 Pair 181 -Pin 20 AMIGA_BUS_ENABLE_LOW Reg ; S6=1 S9=0 Pair 167 -Node 185 RN_UDS_000 Comb ; S6=1 S9=1 -Node 191 RN_LDS_000 Comb ; S6=1 S9=1 -Node 173 RN_DTACK Comb ; S6=1 S9=1 -Node 271 RN_SIZE_1_ Reg ; S6=1 S9=1 -Node 131 RN_IPL_030_2_ Reg ; S6=1 S9=1 -Node 281 RN_AS_030 Reg ; S6=1 S9=1 -Node 179 RN_AS_000 Reg ; S6=1 S9=1 -Node 269 RN_RW_000 Reg ; S6=1 S9=1 -Node 263 RN_SIZE_0_ Reg ; S6=1 S9=1 -Node 101 RN_DS_030 Reg ; S6=1 S9=1 -Node 257 RN_A0 Reg ; S6=1 S9=1 -Node 193 RN_BG_000 Reg ; S6=1 S9=1 -Node 275 RN_BGACK_030 Reg ; S6=1 S9=1 -Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1 -Node 287 RN_DSACK1 Reg ; S6=1 S9=1 -Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1 -Node 149 RN_AVEC_EXP Reg ; S6=1 S9=1 -Node 251 RN_E Reg ; S6=0 S9=1 -Node 175 RN_VMA Reg ; S6=1 S9=1 -Node 245 RN_RW Reg ; S6=1 S9=1 -Node 181 RN_AMIGA_BUS_ENABLE Reg ; S6=1 S9=1 -Node 167 RN_AMIGA_BUS_ENABLE_LOW Reg ; S6=1 S9=0 -Node 155 inst_AS_030_000_SYNC Reg ; S6=0 S9=1 -Node 188 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 -Node 164 inst_VPA_D Reg ; S6=0 S9=1 -Node 278 inst_CLK_OUT_PRE_50_D Reg ; S6=0 S9=1 -Node 211 CLK_CNT_N_0_ Reg ; S6=1 S9=1 -Node 289 inst_CLK_OUT_PRE_50 Reg ; S6=0 S9=1 -Node 113 inst_CLK_OUT_PRE_25 Reg ; S6=0 S9=1 -Node 203 inst_CLK_000_D1 Reg ; S6=0 S9=1 -Node 260 inst_CLK_000_D2 Reg ; S6=1 S9=1 -Node 116 inst_CLK_000_D3 Reg ; S6=1 S9=1 -Node 221 inst_CLK_000_D0 Reg ; S6=1 S9=1 -Node 161 inst_CLK_000_NE Reg ; S6=1 S9=1 -Node 283 inst_CLK_OUT_PRE_D Reg ; S6=0 S9=1 -Node 212 inst_CLK_OUT_PRE Reg ; S6=1 S9=1 -Node 254 CLK_000_P_SYNC_9_ Reg ; S6=0 S9=1 -Node 110 CLK_000_N_SYNC_11_ Reg ; S6=0 S9=1 -Node 227 SM_AMIGA_7_ Reg ; S6=1 S9=1 -Node 187 SM_AMIGA_6_ Reg ; S6=0 S9=1 -Node 233 SM_AMIGA_1_ Reg ; S6=0 S9=1 -Node 182 SM_AMIGA_0_ Reg ; S6=0 S9=1 -Node 145 SM_AMIGA_4_ Reg ; S6=0 S9=1 -Node 158 CLK_000_N_SYNC_6_ Reg ; S6=1 S9=1 -Node 119 inst_CLK_030_H Reg ; S6=1 S9=1 -Node 152 CLK_CNT_P_1_ Reg ; S6=1 S9=1 -Node 206 CLK_CNT_N_1_ Reg ; S6=0 S9=1 -Node 209 CLK_CNT_P_0_ Reg ; S6=1 S9=1 -Node 265 inst_LDS_000_INT Reg ; S6=1 S9=1 -Node 133 inst_DS_000_ENABLE Reg ; S6=0 S9=1 -Node 139 inst_UDS_000_INT Reg ; S6=1 S9=1 -Node 104 CLK_000_P_SYNC_0_ Reg ; S6=0 S9=1 -Node 140 CLK_000_P_SYNC_1_ Reg ; S6=0 S9=1 -Node 134 CLK_000_P_SYNC_2_ Reg ; S6=0 S9=1 -Node 121 CLK_000_P_SYNC_3_ Reg ; S6=0 S9=1 -Node 200 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1 -Node 169 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1 -Node 163 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 -Node 230 CLK_000_P_SYNC_7_ Reg ; S6=0 S9=1 -Node 115 CLK_000_P_SYNC_8_ Reg ; S6=0 S9=1 -Node 109 CLK_000_N_SYNC_0_ Reg ; S6=0 S9=1 -Node 128 CLK_000_N_SYNC_1_ Reg ; S6=0 S9=1 -Node 224 CLK_000_N_SYNC_2_ Reg ; S6=0 S9=1 -Node 103 CLK_000_N_SYNC_3_ Reg ; S6=0 S9=1 -Node 248 CLK_000_N_SYNC_4_ Reg ; S6=0 S9=1 -Node 157 CLK_000_N_SYNC_5_ Reg ; S6=1 S9=1 -Node 272 CLK_000_N_SYNC_7_ Reg ; S6=0 S9=1 -Node 151 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1 -Node 241 CLK_000_N_SYNC_9_ Reg ; S6=0 S9=1 -Node 217 CLK_000_N_SYNC_10_ Reg ; S6=1 S9=1 -Node 239 SM_AMIGA_5_ Reg ; S6=0 S9=1 -Node 223 SM_AMIGA_3_ Reg ; S6=0 S9=1 -Node 235 SM_AMIGA_2_ Reg ; S6=0 S9=1 -Node 199 un16_ciin Comb ; S6=1 S9=1 -Node 176 cpu_est_0_ Reg ; S6=0 S9=1 -Node 253 cpu_est_1_ Reg ; S6=0 S9=1 -Node 259 cpu_est_2_ Reg ; S6=0 S9=1 -Node 205 AMIGA_BUS_ENABLE_LOW_0 Comb ; S6=1 S9=1 -Node 229 SM_AMIGA_7__0 Comb ; S6=1 S9=1 -; Unused Pins & Nodes -; -> None Found. diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd deleted file mode 100644 index e58c3f6..0000000 --- a/Logic/68030_tk.prd +++ /dev/null @@ -1,1980 +0,0 @@ -|--------------------------------------------| -|- ispLEVER Fitter Report File -| -|- Version 1.7.00.05.28.13 -| -|- (c)Copyright, Lattice Semiconductor 2002 -| -|--------------------------------------------| - - -Start: Sun Jun 22 21:24:26 2014 -End : Sun Jun 22 21:24:26 2014 $$$ Elapsed time: 00:00:00 -=========================================================================== -Part [C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] - -* Place/Route options (keycode = 540674) - = Spread Placement: ON - = No. Routing Attempts/Placement 2 - -* Placement Completion - - +- Block +------- IO Pins Available - | +- Macrocells Available | +-- IO Pins Used - | | +- Signals to Place | | +----- Logic Array Inputs - | | | +- Placed | | | +- Array Inputs Used -_|____|____|____|_______________|____|_____________|___|________________ - 0 | 16 | 11 | 11 => 100% | 8 | 7 => 87% | 33 | 22 => 66% - 1 | 16 | 11 | 11 => 100% | 8 | 8 => 100% | 33 | 23 => 69% - 2 | 16 | 11 | 11 => 100% | 8 | 8 => 100% | 33 | 25 => 75% - 3 | 16 | 11 | 11 => 100% | 8 | 8 => 100% | 33 | 31 => 93% - 4 | 16 | 11 | 11 => 100% | 8 | 3 => 37% | 33 | 27 => 81% - 5 | 16 | 10 | 10 => 100% | 8 | 4 => 50% | 33 | 25 => 75% - 6 | 16 | 11 | 11 => 100% | 8 | 7 => 87% | 33 | 24 => 72% - 7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 27 => 81% ----|----|----|------------|-------|------------|-----|------------------ - | Avg number of array inputs in used blocks : 25.50 => 77% - -* Input/Clock Signal count: 30 -> placed: 30 = 100% - - Resources Available Used ------------------------------------------------------------------ - Input Pins : 2 2 => 100% - I/O Pins : 64 53 => 82% - Clock Only Pins : 0 0 => 0% - Clock/Input Pins : 4 4 => 100% - Logic Blocks : 8 8 => 100% - Macrocells : 128 86 => 67% - PT Clusters : 128 44 => 34% - - Single PT Clusters : 128 48 => 37% - Input Registers : 0 - -* Routing Completion: 100% -* Attempts: Place [ 132] Route [ 0] -=========================================================================== - Signal Fanout Table -=========================================================================== - +- Signal Number - | +- Block Location ('+' for dedicated inputs) - | | +- Sig Type - | | | +- Signal-to-Pin Assignment - | | | | Fanout to Logic Blocks Signal Name -___|__|__|____|____________________________________________________________ - 1| 6| IO| 69|=> .1..|..6.| A0 - 2| 4|OUT| 48|=> ....|....| AMIGA_BUS_DATA_DIR - 3| 3| IO| 34|=> ....|....| AMIGA_BUS_ENABLE - |=> Paired w/: RN_AMIGA_BUS_ENABLE - 4| 2| IO| 20|=> ....|....| AMIGA_BUS_ENABLE_LOW - |=> Paired w/: RN_AMIGA_BUS_ENABLE_LOW - 5| 4|NOD| . |=> ..2.|....| AMIGA_BUS_ENABLE_LOW_0 - 6| 3| IO| 33|=> 0...|4.67| AS_000 - |=> Paired w/: RN_AS_000 - 7| 7| IO| 82|=> .123|4..7| AS_030 - |=> Paired w/: RN_AS_030 - 8| 0|OUT| 92|=> ....|....| AVEC - 9| 2| IO| 22|=> ....|....| AVEC_EXP - |=> Paired w/: RN_AVEC_EXP - 10| 0|INP| 96|=> ..2.|...7| A_16_ - 11| 5|INP| 59|=> ..2.|...7| A_17_ - 12| 0|INP| 95|=> ..2.|...7| A_18_ - 13| 0|INP| 97|=> ..2.|...7| A_19_ - 14| 0|INP| 93|=> ....|4...| A_20_ - 15| 0|INP| 94|=> ....|4...| A_21_ - 16| 7|INP| 85|=> ....|4...| A_22_ - 17| 7|INP| 84|=> ....|4...| A_23_ - 18| 2|INP| 19|=> ....|4...| A_24_ - 19| 2|INP| 18|=> ....|4...| A_25_ - 20| 2|INP| 17|=> ....|4...| A_26_ - 21| 2|INP| 16|=> ....|4...| A_27_ - 22| 2|INP| 15|=> ....|4...| A_28_ - 23| 1|INP| 6|=> ....|4...| A_29_ - 24| 1|INP| 5|=> ....|4...| A_30_ - 25| 1|INP| 4|=> ....|4...| A_31_ - 26| 4|INP| 41|=> .123|.5.7| BERR - 27| 3|INP| 28|=> ..2.|...7| BGACK_000 - 28| 7| IO| 83|=> ....|....| BGACK_030 - |=> Paired w/: RN_BGACK_030 - 29| 3| IO| 29|=> ....|....| BG_000 - |=> Paired w/: RN_BG_000 - 30| 2|INP| 21|=> ...3|....| BG_030 - 31| 4|OUT| 47|=> ....|....| CIIN - 32| +|INP| 11|=> ...3|.5..| CLK_000 - 33| 0|NOD| . |=> .1..|....| CLK_000_N_SYNC_0_ - 34| 4|NOD| . |=> 0...|....| CLK_000_N_SYNC_10_ - 35| 0|NOD| . |=> ..2.|....| CLK_000_N_SYNC_11_ - 36| 1|NOD| . |=> ....|.5..| CLK_000_N_SYNC_1_ - 37| 5|NOD| . |=> 0...|....| CLK_000_N_SYNC_2_ - 38| 0|NOD| . |=> ....|..6.| CLK_000_N_SYNC_3_ - 39| 6|NOD| . |=> ..2.|....| CLK_000_N_SYNC_4_ - 40| 2|NOD| . |=> ..2.|....| CLK_000_N_SYNC_5_ - 41| 2|NOD| . |=> ....|...7| CLK_000_N_SYNC_6_ - 42| 7|NOD| . |=> ..2.|....| CLK_000_N_SYNC_7_ - 43| 2|NOD| . |=> ....|.5..| CLK_000_N_SYNC_8_ - 44| 5|NOD| . |=> ....|4...| CLK_000_N_SYNC_9_ - 45| 0|NOD| . |=> .1..|....| CLK_000_P_SYNC_0_ - 46| 1|NOD| . |=> .1..|....| CLK_000_P_SYNC_1_ - 47| 1|NOD| . |=> 0...|....| CLK_000_P_SYNC_2_ - 48| 0|NOD| . |=> ....|4...| CLK_000_P_SYNC_3_ - 49| 4|NOD| . |=> ..2.|....| CLK_000_P_SYNC_4_ - 50| 2|NOD| . |=> ..2.|....| CLK_000_P_SYNC_5_ - 51| 2|NOD| . |=> ....|.5..| CLK_000_P_SYNC_6_ - 52| 5|NOD| . |=> 0...|....| CLK_000_P_SYNC_7_ - 53| 0|NOD| . |=> ....|..6.| CLK_000_P_SYNC_8_ - 54| 6|NOD| . |=> ..2.|....| CLK_000_P_SYNC_9_ - 55| +|INP| 64|=> 0...|..67| CLK_030 - 56| 4|NOD| . |=> ....|4...| CLK_CNT_N_0_ - 57| 4|NOD| . |=> ....|4...| CLK_CNT_N_1_ - 58| 4|NOD| . |=> ..2.|4...| CLK_CNT_P_0_ - 59| 2|NOD| . |=> ....|4...| CLK_CNT_P_1_ - 60| 6|OUT| 65|=> ....|....| CLK_DIV_OUT - 61| 1|OUT| 10|=> ....|....| CLK_EXP - 62| +|Cin| 61|=> ....|....| CLK_OSZI - 63| 7| IO| 81|=> ...3|....| DSACK1 - |=> Paired w/: RN_DSACK1 - 64| 0| IO| 98|=> .1..|..6.| DS_030 - |=> Paired w/: RN_DS_030 - 65| 3| IO| 30|=> ....|.5..| DTACK - 66| 6| IO| 66|=> ....|....| E - |=> Paired w/: RN_E - 67| 5|INP| 57|=> ..2.|...7| FC_0_ - 68| 5|INP| 58|=> ..2.|...7| FC_1_ - 69| 7|OUT| 78|=> ....|....| FPU_CS - 70| 1| IO| 8|=> ....|....| IPL_030_0_ - |=> Paired w/: RN_IPL_030_0_ - 71| 1| IO| 7|=> ....|....| IPL_030_1_ - |=> Paired w/: RN_IPL_030_1_ - 72| 1| IO| 9|=> ....|....| IPL_030_2_ - |=> Paired w/: RN_IPL_030_2_ - 73| 6|INP| 67|=> .1..|....| IPL_0_ - 74| 5|INP| 56|=> .1..|....| IPL_1_ - 75| 6|INP| 68|=> .1..|....| IPL_2_ - 76| 3| IO| 31|=> 0...|..67| LDS_000 - 77| 1|OUT| 3|=> ....|....| RESET - 78| 3|NOD| . |=> ...3|....| RN_AMIGA_BUS_ENABLE - |=> Paired w/: AMIGA_BUS_ENABLE - 79| 2|NOD| . |=> ..2.|....| RN_AMIGA_BUS_ENABLE_LOW - |=> Paired w/: AMIGA_BUS_ENABLE_LOW - 80| 3|NOD| . |=> ...3|....| RN_AS_000 - |=> Paired w/: AS_000 - 81| 7|NOD| . |=> 0..3|..67| RN_AS_030 - |=> Paired w/: AS_030 - 82| 2|NOD| . |=> .1.3|.567| RN_AVEC_EXP - |=> Paired w/: AVEC_EXP - 83| 7|NOD| . |=> 0.23|4.67| RN_BGACK_030 - |=> Paired w/: BGACK_030 - 84| 3|NOD| . |=> ...3|....| RN_BG_000 - |=> Paired w/: BG_000 - 85| 7|NOD| . |=> ....|...7| RN_DSACK1 - |=> Paired w/: DSACK1 - 86| 0|NOD| . |=> 0...|....| RN_DS_030 - |=> Paired w/: DS_030 - 87| 6|NOD| . |=> ...3|.56.| RN_E - |=> Paired w/: E - 88| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ - |=> Paired w/: IPL_030_0_ - 89| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ - |=> Paired w/: IPL_030_1_ - 90| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ - |=> Paired w/: IPL_030_2_ - 91| 6|NOD| . |=> ....|..6.| RN_RW - |=> Paired w/: RW - 92| 7|NOD| . |=> ....|...7| RN_RW_000 - |=> Paired w/: RW_000 - 93| 3|NOD| . |=> ...3|.5..| RN_VMA - |=> Paired w/: VMA - 94| +|INP| 86|=> 0123|4567| RST - 95| 6| IO| 71|=> .1..|4..7| RW - |=> Paired w/: RN_RW - 96| 7| IO| 80|=> 0...|..6.| RW_000 - |=> Paired w/: RN_RW_000 - 97| 6| IO| 70|=> ....|..6.| SIZE_0_ - 98| 7| IO| 79|=> ....|..6.| SIZE_1_ - 99| 3|NOD| . |=> ...3|.5..| SM_AMIGA_0_ - 100| 5|NOD| . |=> ...3|.5.7| SM_AMIGA_1_ - 101| 5|NOD| . |=> ....|.5..| SM_AMIGA_2_ - 102| 5|NOD| . |=> ....|.5..| SM_AMIGA_3_ - 103| 1|NOD| . |=> .1..|.5..| SM_AMIGA_4_ - 104| 5|NOD| . |=> .1..|.5..| SM_AMIGA_5_ - 105| 3|NOD| . |=> .1.3|.5.7| SM_AMIGA_6_ - 106| 5|NOD| . |=> ..23|...7| SM_AMIGA_7_ - 107| 5|NOD| . |=> ....|.5..| SM_AMIGA_7__0 - 108| 3| IO| 32|=> 0...|..67| UDS_000 - 109| 3| IO| 35|=> ....|....| VMA - |=> Paired w/: RN_VMA - 110| +|INP| 36|=> ..2.|.5..| VPA - 111| 3|NOD| . |=> ...3|..6.| cpu_est_0_ - 112| 6|NOD| . |=> ...3|.56.| cpu_est_1_ - 113| 6|NOD| . |=> ...3|..6.| cpu_est_2_ - 114| 2|NOD| . |=> ..23|.5..| inst_AS_030_000_SYNC - 115| 3|NOD| . |=> ...3|....| inst_BGACK_030_INT_D - 116| 5|NOD| . |=> 0..3|45..| inst_CLK_000_D0 - 117| 4|NOD| . |=> 0..3|.56.| inst_CLK_000_D1 - 118| 6|NOD| . |=> 0...|....| inst_CLK_000_D2 - 119| 0|NOD| . |=> 0...|....| inst_CLK_000_D3 - 120| 2|NOD| . |=> .1.3|.5..| inst_CLK_000_NE - 121| 0|NOD| . |=> 0...|....| inst_CLK_030_H - 122| 4|NOD| . |=> ....|...7| inst_CLK_OUT_PRE - 123| 0|NOD| . |=> 0...|4...| inst_CLK_OUT_PRE_25 - 124| 7|NOD| . |=> 0...|...7| inst_CLK_OUT_PRE_50 - 125| 7|NOD| . |=> 0...|....| inst_CLK_OUT_PRE_50_D - 126| 7|NOD| . |=> .1..|..6.| inst_CLK_OUT_PRE_D - 127| 1|NOD| . |=> .1.3|....| inst_DS_000_ENABLE - 128| 6|NOD| . |=> ...3|..6.| inst_LDS_000_INT - 129| 1|NOD| . |=> .1.3|....| inst_UDS_000_INT - 130| 2|NOD| . |=> ...3|....| inst_VPA_D - 131| +|INP| 14|=> 0.23|4567| nEXP_SPACE - 132| 4|NOD| . |=> ....|4...| un16_ciin ---------------------------------------------------------------------------- -=========================================================================== - < C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a Device Pin Assignments > -=========================================================================== - +- Device Pin No - | Pin Type +- Signal Fixed (*) - | | | Signal Name -____|_____|_________|______________________________________________________ - 1 | GND | | | (pwr/test) - 2 | JTAG | | | (pwr/test) - 3 | I_O | 1_07|*| RESET - 4 | I_O | 1_06|*| A_31_ - 5 | I_O | 1_05|*| A_30_ - 6 | I_O | 1_04|*| A_29_ - 7 | I_O | 1_03|*| IPL_030_1_ - 8 | I_O | 1_02|*| IPL_030_0_ - 9 | I_O | 1_01|*| IPL_030_2_ - 10 | I_O | 1_00|*| CLK_EXP - 11 | CkIn | |*| CLK_000 - 12 | Vcc | | | (pwr/test) - 13 | GND | | | (pwr/test) - 14 | CkIn | |*| nEXP_SPACE - 15 | I_O | 2_00|*| A_28_ - 16 | I_O | 2_01|*| A_27_ - 17 | I_O | 2_02|*| A_26_ - 18 | I_O | 2_03|*| A_25_ - 19 | I_O | 2_04|*| A_24_ - 20 | I_O | 2_05|*| AMIGA_BUS_ENABLE_LOW - 21 | I_O | 2_06|*| BG_030 - 22 | I_O | 2_07|*| AVEC_EXP - 23 | JTAG | | | (pwr/test) - 24 | JTAG | | | (pwr/test) - 25 | GND | | | (pwr/test) - 26 | GND | | | (pwr/test) - 27 | GND | | | (pwr/test) - 28 | I_O | 3_07|*| BGACK_000 - 29 | I_O | 3_06|*| BG_000 - 30 | I_O | 3_05|*| DTACK - 31 | I_O | 3_04|*| LDS_000 - 32 | I_O | 3_03|*| UDS_000 - 33 | I_O | 3_02|*| AS_000 - 34 | I_O | 3_01|*| AMIGA_BUS_ENABLE - 35 | I_O | 3_00|*| VMA - 36 | Inp | |*| VPA - 37 | Vcc | | | (pwr/test) - 38 | GND | | | (pwr/test) - 39 | GND | | | (pwr/test) - 40 | Vcc | | | (pwr/test) - 41 | I_O | 4_00|*| BERR - 42 | I_O | 4_01| | - - 43 | I_O | 4_02| | - - 44 | I_O | 4_03| | - - 45 | I_O | 4_04| | - - 46 | I_O | 4_05| | - - 47 | I_O | 4_06|*| CIIN - 48 | I_O | 4_07|*| AMIGA_BUS_DATA_DIR - 49 | GND | | | (pwr/test) - 50 | GND | | | (pwr/test) - 51 | GND | | | (pwr/test) - 52 | JTAG | | | (pwr/test) - 53 | I_O | 5_07| | - - 54 | I_O | 5_06| | - - 55 | I_O | 5_05| | - - 56 | I_O | 5_04|*| IPL_1_ - 57 | I_O | 5_03|*| FC_0_ - 58 | I_O | 5_02|*| FC_1_ - 59 | I_O | 5_01|*| A_17_ - 60 | I_O | 5_00| | - - 61 | CkIn | |*| CLK_OSZI - 62 | Vcc | | | (pwr/test) - 63 | GND | | | (pwr/test) - 64 | CkIn | |*| CLK_030 - 65 | I_O | 6_00|*| CLK_DIV_OUT - 66 | I_O | 6_01|*| E - 67 | I_O | 6_02|*| IPL_0_ - 68 | I_O | 6_03|*| IPL_2_ - 69 | I_O | 6_04|*| A0 - 70 | I_O | 6_05|*| SIZE_0_ - 71 | I_O | 6_06|*| RW - 72 | I_O | 6_07| | - - 73 | JTAG | | | (pwr/test) - 74 | JTAG | | | (pwr/test) - 75 | GND | | | (pwr/test) - 76 | GND | | | (pwr/test) - 77 | GND | | | (pwr/test) - 78 | I_O | 7_07|*| FPU_CS - 79 | I_O | 7_06|*| SIZE_1_ - 80 | I_O | 7_05|*| RW_000 - 81 | I_O | 7_04|*| DSACK1 - 82 | I_O | 7_03|*| AS_030 - 83 | I_O | 7_02|*| BGACK_030 - 84 | I_O | 7_01|*| A_23_ - 85 | I_O | 7_00|*| A_22_ - 86 | Inp | |*| RST - 87 | Vcc | | | (pwr/test) - 88 | GND | | | (pwr/test) - 89 | GND | | | (pwr/test) - 90 | Vcc | | | (pwr/test) - 91 | I_O | 0_00| | - - 92 | I_O | 0_01|*| AVEC - 93 | I_O | 0_02|*| A_20_ - 94 | I_O | 0_03|*| A_21_ - 95 | I_O | 0_04|*| A_18_ - 96 | I_O | 0_05|*| A_16_ - 97 | I_O | 0_06|*| A_19_ - 98 | I_O | 0_07|*| DS_030 - 99 | GND | | | (pwr/test) - 100 | GND | | | (pwr/test) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| DS_030| IO| | S | 7 | 4 to [ 0]| 1 XOR to [ 0] as logic PT - 1|CLK_000_N_SYNC_3_|NOD| | S | 1 | 4 to [ 0]| 1 XOR to [ 1] for 1 PT sig - 2|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free - 4| AVEC|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5|CLK_000_N_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|CLK_000_N_SYNC_11_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_CLK_OUT_PRE_25|NOD| | S | 3 | 4 to [ 8]| 1 XOR free - 9|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10|inst_CLK_000_D3|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 free | 1 XOR free -12|inst_CLK_030_H|NOD| | S | 5 | 4 to [12]| 1 XOR to [12] as logic PT -13|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| DS_030| IO| | S | 7 |=> can support up to [ 13] logic PT(s) - 1|CLK_000_N_SYNC_3_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 2|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 17] logic PT(s) - 4| AVEC|OUT| | S | 1 |=> can support up to [ 18] logic PT(s) - 5|CLK_000_N_SYNC_0_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) - 6|CLK_000_N_SYNC_11_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 13] logic PT(s) - 8|inst_CLK_OUT_PRE_25|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) - 9|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -10|inst_CLK_000_D3|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 13] logic PT(s) -12|inst_CLK_030_H|NOD| | S | 5 |=> can support up to [ 19] logic PT(s) -13|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 0] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| DS_030| IO| | => | 5 6 ( 7) 0 | 96 97 ( 98) 91 - 1|CLK_000_N_SYNC_3_|NOD| | => | 5 6 7 0 | 96 97 98 91 - 2|CLK_000_P_SYNC_0_|NOD| | => | 6 7 0 1 | 97 98 91 92 - 3| | | | => | 6 7 0 1 | 97 98 91 92 - 4| AVEC|OUT| | => | 7 0 ( 1) 2 | 98 91 ( 92) 93 - 5|CLK_000_N_SYNC_0_|NOD| | => | 7 0 1 2 | 98 91 92 93 - 6|CLK_000_N_SYNC_11_|NOD| | => | 0 1 2 3 | 91 92 93 94 - 7| | | | => | 0 1 2 3 | 91 92 93 94 - 8|inst_CLK_OUT_PRE_25|NOD| | => | 1 2 3 4 | 92 93 94 95 - 9|CLK_000_P_SYNC_8_|NOD| | => | 1 2 3 4 | 92 93 94 95 -10|inst_CLK_000_D3|NOD| | => | 2 3 4 5 | 93 94 95 96 -11| | | | => | 2 3 4 5 | 93 94 95 96 -12|inst_CLK_030_H|NOD| | => | 3 4 5 6 | 94 95 96 97 -13|CLK_000_P_SYNC_3_|NOD| | => | 3 4 5 6 | 94 95 96 97 -14| | | | => | 4 5 6 7 | 95 96 97 98 -15| | | | => | 4 5 6 7 | 95 96 97 98 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| | | | 91| => | 0 1 2 3 4 5 6 7 - 1| AVEC|OUT|*| 92| => | 2 3 ( 4) 5 6 7 8 9 - 2| A_20_|INP|*| 93| => | 4 5 6 7 8 9 10 11 - 3| A_21_|INP|*| 94| => | 6 7 8 9 10 11 12 13 - 4| A_18_|INP|*| 95| => | 8 9 10 11 12 13 14 15 - 5| A_16_|INP|*| 96| => | 10 11 12 13 14 15 0 1 - 6| A_19_|INP|*| 97| => | 12 13 14 15 0 1 2 3 - 7| DS_030| IO|*| 98| => | 14 15 ( 0) 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| | | | 91| => | Input macrocell [ -] - 1| AVEC|OUT|*| 92| => | Input macrocell [ -] - 2| A_20_|INP|*| 93| => | Input macrocell [ -] - 3| A_21_|INP|*| 94| => | Input macrocell [ -] - 4| A_18_|INP|*| 95| => | Input macrocell [ -] - 5| A_16_|INP|*| 96| => | Input macrocell [ -] - 6| A_19_|INP|*| 97| => | Input macrocell [ -] - 7| DS_030| IO|*| 98| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_DS_030] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 91| -| | ] - [RegIn 0 |102| -| | ] - [MCell 0 |101|NOD RN_DS_030| |*] paired w/[ DS_030] - [MCell 1 |103|NOD CLK_000_N_SYNC_3_| |*] - - 1 [IOpin 1 | 92|OUT AVEC|*| ] - [RegIn 1 |105| -| | ] - [MCell 2 |104|NOD CLK_000_P_SYNC_0_| |*] - [MCell 3 |106| -| | ] - - 2 [IOpin 2 | 93|INP A_20_|*|*] - [RegIn 2 |108| -| | ] - [MCell 4 |107|OUT AVEC| | ] - [MCell 5 |109|NOD CLK_000_N_SYNC_0_| |*] - - 3 [IOpin 3 | 94|INP A_21_|*|*] - [RegIn 3 |111| -| | ] - [MCell 6 |110|NOD CLK_000_N_SYNC_11_| |*] - [MCell 7 |112| -| | ] - - 4 [IOpin 4 | 95|INP A_18_|*|*] - [RegIn 4 |114| -| | ] - [MCell 8 |113|NOD inst_CLK_OUT_PRE_25| |*] - [MCell 9 |115|NOD CLK_000_P_SYNC_8_| |*] - - 5 [IOpin 5 | 96|INP A_16_|*|*] - [RegIn 5 |117| -| | ] - [MCell 10 |116|NOD inst_CLK_000_D3| |*] - [MCell 11 |118| -| | ] - - 6 [IOpin 6 | 97|INP A_19_|*|*] - [RegIn 6 |120| -| | ] - [MCell 12 |119|NOD inst_CLK_030_H| |*] - [MCell 13 |121|NOD CLK_000_P_SYNC_3_| |*] - - 7 [IOpin 7 | 98| IO DS_030|*|*] paired w/[ RN_DS_030] - [RegIn 7 |123| -| | ] - [MCell 14 |122| -| | ] - [MCell 15 |124| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| Mcel 5 6 ( 230)| CLK_000_P_SYNC_7_ -Mux02| Mcel 1 6 ( 134)| CLK_000_P_SYNC_2_ -Mux03| Mcel 7 8 ( 281)| RN_AS_030 -Mux04| Input Pin ( 64)| CLK_030 -Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| Mcel 4 4 ( 203)| inst_CLK_000_D1 -Mux07| Mcel 7 6 ( 278)| inst_CLK_OUT_PRE_50_D -Mux08| Mcel 0 10 ( 116)| inst_CLK_000_D3 -Mux09| Mcel 5 2 ( 224)| CLK_000_N_SYNC_2_ -Mux10| ... | ... -Mux11| ... | ... -Mux12| IOPin 3 3 ( 32)| UDS_000 -Mux13| Mcel 4 13 ( 217)| CLK_000_N_SYNC_10_ -Mux14| ... | ... -Mux15| Mcel 0 12 ( 119)| inst_CLK_030_H -Mux16| ... | ... -Mux17| ... | ... -Mux18| Mcel 0 8 ( 113)| inst_CLK_OUT_PRE_25 -Mux19| Mcel 7 13 ( 289)| inst_CLK_OUT_PRE_50 -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| IOPin 7 5 ( 80)| RW_000 -Mux22| Mcel 6 10 ( 260)| inst_CLK_000_D2 -Mux23| IOPin 3 2 ( 33)| AS_000 -Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| Mcel 5 0 ( 221)| inst_CLK_000_D0 -Mux26| ... | ... -Mux27| ... | ... -Mux28| ... | ... -Mux29| Mcel 0 0 ( 101)| RN_DS_030 -Mux30| ... | ... -Mux31| ... | ... -Mux32| ... | ... ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| CLK_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| RESET|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2|CLK_000_N_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free - 4| IPL_030_2_| IO| | S | 2 | 4 to [ 4]| 1 XOR free - 5|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [ 5]| 1 XOR free - 6|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7| | ? | | S | | 4 free | 1 XOR free - 8| IPL_030_0_| IO| | S | 2 | 4 to [ 8]| 1 XOR free - 9|inst_UDS_000_INT|NOD| | S | 2 | 4 to [ 9]| 1 XOR free -10|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 free | 1 XOR free -12| IPL_030_1_| IO| | S | 2 | 4 to [12]| 1 XOR free -13| SM_AMIGA_4_|NOD| | S | 2 | 4 to [13]| 1 XOR free -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| CLK_EXP|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) - 1| RESET|OUT| | S | 1 |=> can support up to [ 18] logic PT(s) - 2|CLK_000_N_SYNC_1_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 9] logic PT(s) - 4| IPL_030_2_| IO| | S | 2 |=> can support up to [ 14] logic PT(s) - 5|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) - 6|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 7| | ? | | S | |=> can support up to [ 9] logic PT(s) - 8| IPL_030_0_| IO| | S | 2 |=> can support up to [ 14] logic PT(s) - 9|inst_UDS_000_INT|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) -10|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 9] logic PT(s) -12| IPL_030_1_| IO| | S | 2 |=> can support up to [ 15] logic PT(s) -13| SM_AMIGA_4_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 1] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| CLK_EXP|OUT| | => | 5 6 7 ( 0)| 5 4 3 ( 10) - 1| RESET|OUT| | => | 5 6 ( 7) 0 | 5 4 ( 3) 10 - 2|CLK_000_N_SYNC_1_|NOD| | => | 6 7 0 1 | 4 3 10 9 - 3| | | | => | 6 7 0 1 | 4 3 10 9 - 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 - 5|inst_DS_000_ENABLE|NOD| | => | 7 0 1 2 | 3 10 9 8 - 6|CLK_000_P_SYNC_2_|NOD| | => | 0 1 2 3 | 10 9 8 7 - 7| | | | => | 0 1 2 3 | 10 9 8 7 - 8| IPL_030_0_| IO| | => | 1 ( 2) 3 4 | 9 ( 8) 7 6 - 9|inst_UDS_000_INT|NOD| | => | 1 2 3 4 | 9 8 7 6 -10|CLK_000_P_SYNC_1_|NOD| | => | 2 3 4 5 | 8 7 6 5 -11| | | | => | 2 3 4 5 | 8 7 6 5 -12| IPL_030_1_| IO| | => |( 3) 4 5 6 |( 7) 6 5 4 -13| SM_AMIGA_4_|NOD| | => | 3 4 5 6 | 7 6 5 4 -14| | | | => | 4 5 6 7 | 6 5 4 3 -15| | | | => | 4 5 6 7 | 6 5 4 3 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| CLK_EXP|OUT|*| 10| => | ( 0) 1 2 3 4 5 6 7 - 1| IPL_030_2_| IO|*| 9| => | 2 3 ( 4) 5 6 7 8 9 - 2| IPL_030_0_| IO|*| 8| => | 4 5 6 7 ( 8) 9 10 11 - 3| IPL_030_1_| IO|*| 7| => | 6 7 8 9 10 11 (12) 13 - 4| A_29_|INP|*| 6| => | 8 9 10 11 12 13 14 15 - 5| A_30_|INP|*| 5| => | 10 11 12 13 14 15 0 1 - 6| A_31_|INP|*| 4| => | 12 13 14 15 0 1 2 3 - 7| RESET|OUT|*| 3| => | 14 15 0 ( 1) 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| CLK_EXP|OUT|*| 10| => | Input macrocell [ -] - 1| IPL_030_2_| IO|*| 9| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_IPL_030_2_] - 2| IPL_030_0_| IO|*| 8| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_IPL_030_0_] - 3| IPL_030_1_| IO|*| 7| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_IPL_030_1_] - 4| A_29_|INP|*| 6| => | Input macrocell [ -] - 5| A_30_|INP|*| 5| => | Input macrocell [ -] - 6| A_31_|INP|*| 4| => | Input macrocell [ -] - 7| RESET|OUT|*| 3| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 10|OUT CLK_EXP|*| ] - [RegIn 0 |126| -| | ] - [MCell 0 |125|OUT CLK_EXP| | ] - [MCell 1 |127|OUT RESET| | ] - - 1 [IOpin 1 | 9| IO IPL_030_2_|*| ] paired w/[ RN_IPL_030_2_] - [RegIn 1 |129| -| | ] - [MCell 2 |128|NOD CLK_000_N_SYNC_1_| |*] - [MCell 3 |130| -| | ] - - 2 [IOpin 2 | 8| IO IPL_030_0_|*| ] paired w/[ RN_IPL_030_0_] - [RegIn 2 |132| -| | ] - [MCell 4 |131|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] - [MCell 5 |133|NOD inst_DS_000_ENABLE| |*] - - 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] - [RegIn 3 |135| -| | ] - [MCell 6 |134|NOD CLK_000_P_SYNC_2_| |*] - [MCell 7 |136| -| | ] - - 4 [IOpin 4 | 6|INP A_29_|*|*] - [RegIn 4 |138| -| | ] - [MCell 8 |137|NOD RN_IPL_030_0_| |*] paired w/[ IPL_030_0_] - [MCell 9 |139|NOD inst_UDS_000_INT| |*] - - 5 [IOpin 5 | 5|INP A_30_|*|*] - [RegIn 5 |141| -| | ] - [MCell 10 |140|NOD CLK_000_P_SYNC_1_| |*] - [MCell 11 |142| -| | ] - - 6 [IOpin 6 | 4|INP A_31_|*|*] - [RegIn 6 |144| -| | ] - [MCell 12 |143|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] - [MCell 13 |145|NOD SM_AMIGA_4_| |*] - - 7 [IOpin 7 | 3|OUT RESET|*| ] - [RegIn 7 |147| -| | ] - [MCell 14 |146| -| | ] - [MCell 15 |148| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| IOPin 6 2 ( 67)| IPL_0_ -Mux01| Mcel 5 12 ( 239)| SM_AMIGA_5_ -Mux02| Mcel 1 10 ( 140)| CLK_000_P_SYNC_1_ -Mux03| IOPin 5 4 ( 56)| IPL_1_ -Mux04| IOPin 6 3 ( 68)| IPL_2_ -Mux05| IOPin 0 7 ( 98)| DS_030 -Mux06| Mcel 1 9 ( 139)| inst_UDS_000_INT -Mux07| Mcel 3 9 ( 187)| SM_AMIGA_6_ -Mux08| IOPin 6 6 ( 71)| RW -Mux09| Mcel 2 0 ( 149)| RN_AVEC_EXP -Mux10| Mcel 1 13 ( 145)| SM_AMIGA_4_ -Mux11| Mcel 7 9 ( 283)| inst_CLK_OUT_PRE_D -Mux12| Mcel 1 12 ( 143)| RN_IPL_030_1_ -Mux13| ... | ... -Mux14| ... | ... -Mux15| IOPin 6 4 ( 69)| A0 -Mux16| Mcel 2 8 ( 161)| inst_CLK_000_NE -Mux17| Mcel 1 8 ( 137)| RN_IPL_030_0_ -Mux18| Mcel 0 5 ( 109)| CLK_000_N_SYNC_0_ -Mux19| IOPin 7 3 ( 82)| AS_030 -Mux20| ... | ... -Mux21| Input Pin ( 86)| RST -Mux22| Mcel 0 2 ( 104)| CLK_000_P_SYNC_0_ -Mux23| ... | ... -Mux24| ... | ... -Mux25| IOPin 4 0 ( 41)| BERR -Mux26| ... | ... -Mux27| Mcel 1 4 ( 131)| RN_IPL_030_2_ -Mux28| Mcel 1 5 ( 133)| inst_DS_000_ENABLE -Mux29| ... | ... -Mux30| ... | ... -Mux31| ... | ... -Mux32| ... | ... ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| AVEC_EXP| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1|CLK_000_N_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2| CLK_CNT_P_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free - 4|inst_AS_030_000_SYNC|NOD| | S | 6 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 5|CLK_000_N_SYNC_5_|NOD| | S | 1 | 4 to [ 4]| 1 XOR to [ 5] for 1 PT sig - 6|CLK_000_N_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_CLK_000_NE|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 free | 1 XOR free -12|AMIGA_BUS_ENABLE_LOW| IO| | A | 1 | 2 free | 1 XOR to [12] for 1 PT sig -13|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| AVEC_EXP| IO| | S | 1 |=> can support up to [ 13] logic PT(s) - 1|CLK_000_N_SYNC_8_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) - 2| CLK_CNT_P_1_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 9] logic PT(s) - 4|inst_AS_030_000_SYNC|NOD| | S | 6 |=> can support up to [ 18] logic PT(s) - 5|CLK_000_N_SYNC_5_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 6|CLK_000_N_SYNC_6_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 17] logic PT(s) - 8|inst_CLK_000_NE|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) - 9|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -10| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 16] logic PT(s) -11| | ? | | S | |=> can support up to [ 15] logic PT(s) -12|AMIGA_BUS_ENABLE_LOW| IO| | A | 1 |=> can support up to [ 17] logic PT(s) -13|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 2] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| AVEC_EXP| IO| | => | 5 6 ( 7) 0 | 20 21 ( 22) 15 - 1|CLK_000_N_SYNC_8_|NOD| | => | 5 6 7 0 | 20 21 22 15 - 2| CLK_CNT_P_1_|NOD| | => | 6 7 0 1 | 21 22 15 16 - 3| | | | => | 6 7 0 1 | 21 22 15 16 - 4|inst_AS_030_000_SYNC|NOD| | => | 7 0 1 2 | 22 15 16 17 - 5|CLK_000_N_SYNC_5_|NOD| | => | 7 0 1 2 | 22 15 16 17 - 6|CLK_000_N_SYNC_6_|NOD| | => | 0 1 2 3 | 15 16 17 18 - 7| | | | => | 0 1 2 3 | 15 16 17 18 - 8|inst_CLK_000_NE|NOD| | => | 1 2 3 4 | 16 17 18 19 - 9|CLK_000_P_SYNC_6_|NOD| | => | 1 2 3 4 | 16 17 18 19 -10| inst_VPA_D|NOD| | => | 2 3 4 5 | 17 18 19 20 -11| | | | => | 2 3 4 5 | 17 18 19 20 -12|AMIGA_BUS_ENABLE_LOW| IO| | => | 3 4 ( 5) 6 | 18 19 ( 20) 21 -13|CLK_000_P_SYNC_5_|NOD| | => | 3 4 5 6 | 18 19 20 21 -14| | | | => | 4 5 6 7 | 19 20 21 22 -15| | | | => | 4 5 6 7 | 19 20 21 22 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| A_28_|INP|*| 15| => | 0 1 2 3 4 5 6 7 - 1| A_27_|INP|*| 16| => | 2 3 4 5 6 7 8 9 - 2| A_26_|INP|*| 17| => | 4 5 6 7 8 9 10 11 - 3| A_25_|INP|*| 18| => | 6 7 8 9 10 11 12 13 - 4| A_24_|INP|*| 19| => | 8 9 10 11 12 13 14 15 - 5|AMIGA_BUS_ENABLE_LOW| IO|*| 20| => | 10 11 (12) 13 14 15 0 1 - 6| BG_030|INP|*| 21| => | 12 13 14 15 0 1 2 3 - 7| AVEC_EXP| IO|*| 22| => | 14 15 ( 0) 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| A_28_|INP|*| 15| => | Input macrocell [ -] - 1| A_27_|INP|*| 16| => | Input macrocell [ -] - 2| A_26_|INP|*| 17| => | Input macrocell [ -] - 3| A_25_|INP|*| 18| => | Input macrocell [ -] - 4| A_24_|INP|*| 19| => | Input macrocell [ -] - 5|AMIGA_BUS_ENABLE_LOW| IO|*| 20| => | Input macrocell [ -] - | | | | | | IO paired w/ node [RN_AMIGA_BUS_ENABLE_LOW] - 6| BG_030|INP|*| 21| => | Input macrocell [ -] - 7| AVEC_EXP| IO|*| 22| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_AVEC_EXP] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 15|INP A_28_|*|*] - [RegIn 0 |150| -| | ] - [MCell 0 |149|NOD RN_AVEC_EXP| |*] paired w/[ AVEC_EXP] - [MCell 1 |151|NOD CLK_000_N_SYNC_8_| |*] - - 1 [IOpin 1 | 16|INP A_27_|*|*] - [RegIn 1 |153| -| | ] - [MCell 2 |152|NOD CLK_CNT_P_1_| |*] - [MCell 3 |154| -| | ] - - 2 [IOpin 2 | 17|INP A_26_|*|*] - [RegIn 2 |156| -| | ] - [MCell 4 |155|NOD inst_AS_030_000_SYNC| |*] - [MCell 5 |157|NOD CLK_000_N_SYNC_5_| |*] - - 3 [IOpin 3 | 18|INP A_25_|*|*] - [RegIn 3 |159| -| | ] - [MCell 6 |158|NOD CLK_000_N_SYNC_6_| |*] - [MCell 7 |160| -| | ] - - 4 [IOpin 4 | 19|INP A_24_|*|*] - [RegIn 4 |162| -| | ] - [MCell 8 |161|NOD inst_CLK_000_NE| |*] - [MCell 9 |163|NOD CLK_000_P_SYNC_6_| |*] - - 5 [IOpin 5 | 20| IO AMIGA_BUS_ENABLE_LOW|*| ] paired w/[RN_AMIGA_BUS_ENABLE_LOW] - [RegIn 5 |165| -| | ] - [MCell 10 |164|NOD inst_VPA_D| |*] - [MCell 11 |166| -| | ] - - 6 [IOpin 6 | 21|INP BG_030|*|*] - [RegIn 6 |168| -| | ] - [MCell 12 |167|NOD RN_AMIGA_BUS_ENABLE_LOW| |*] paired w/[AMIGA_BUS_ENABLE_LOW] - [MCell 13 |169|NOD CLK_000_P_SYNC_5_| |*] - - 7 [IOpin 7 | 22| IO AVEC_EXP|*| ] paired w/[ RN_AVEC_EXP] - [RegIn 7 |171| -| | ] - [MCell 14 |170| -| | ] - [MCell 15 |172| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| IOPin 5 2 ( 58)| FC_1_ -Mux02| ... | ... -Mux03| Mcel 4 2 ( 200)| CLK_000_P_SYNC_4_ -Mux04| Mcel 2 12 ( 167)| RN_AMIGA_BUS_ENABLE_LOW -Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| IOPin 5 3 ( 57)| FC_0_ -Mux07| Mcel 2 5 ( 157)| CLK_000_N_SYNC_5_ -Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| IOPin 7 3 ( 82)| AS_030 -Mux10| Mcel 5 4 ( 227)| SM_AMIGA_7_ -Mux11| IOPin 0 5 ( 96)| A_16_ -Mux12| IOPin 0 6 ( 97)| A_19_ -Mux13| Input Pin ( 36)| VPA -Mux14| Mcel 7 2 ( 272)| CLK_000_N_SYNC_7_ -Mux15| Mcel 0 6 ( 110)| CLK_000_N_SYNC_11_ -Mux16| Mcel 4 8 ( 209)| CLK_CNT_P_0_ -Mux17| IOPin 0 4 ( 95)| A_18_ -Mux18| IOPin 3 7 ( 28)| BGACK_000 -Mux19| ... | ... -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| ... | ... -Mux22| ... | ... -Mux23| Mcel 6 6 ( 254)| CLK_000_P_SYNC_9_ -Mux24| ... | ... -Mux25| IOPin 4 0 ( 41)| BERR -Mux26| Mcel 4 5 ( 205)| AMIGA_BUS_ENABLE_LOW_0 -Mux27| ... | ... -Mux28| ... | ... -Mux29| Mcel 2 4 ( 155)| inst_AS_030_000_SYNC -Mux30| Mcel 2 13 ( 169)| CLK_000_P_SYNC_5_ -Mux31| Mcel 6 2 ( 248)| CLK_000_N_SYNC_4_ -Mux32| ... | ... ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| DTACK| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| VMA| IO| | S | 2 :+: 1| 4 to [ 1]| 1 XOR to [ 1] - 2| cpu_est_0_|NOD| | S | 2 | 4 to [ 2]| 1 XOR free - 3| | ? | | S | | 4 free | 1 XOR free - 4| AS_000| IO| | S | 2 | 4 to [ 4]| 1 XOR free - 5|AMIGA_BUS_ENABLE| IO| | S | 6 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6| SM_AMIGA_0_|NOD| | S | 2 | 4 to [ 6]| 1 XOR free - 7| | ? | | S | | 4 to [ 5]| 1 XOR free - 8| UDS_000| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| SM_AMIGA_6_|NOD| | S | 2 | 4 to [ 9]| 1 XOR free -10|inst_BGACK_030_INT_D|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 free | 1 XOR free -12| LDS_000| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| BG_000| IO| | S | 2 | 4 to [13]| 1 XOR free -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| DTACK| IO| | S | 1 |=> can support up to [ 5] logic PT(s) - 1| VMA| IO| | S | 2 :+: 1|=> can support up to [ 13] logic PT(s) - 2| cpu_est_0_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) - 3| | ? | | S | |=> can support up to [ 5] logic PT(s) - 4| AS_000| IO| | S | 2 |=> can support up to [ 10] logic PT(s) - 5|AMIGA_BUS_ENABLE| IO| | S | 6 |=> can support up to [ 10] logic PT(s) - 6| SM_AMIGA_0_|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) - 7| | ? | | S | |=> can support up to [ 5] logic PT(s) - 8| UDS_000| IO| | S | 1 |=> can support up to [ 9] logic PT(s) - 9| SM_AMIGA_6_|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) -10|inst_BGACK_030_INT_D|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 13] logic PT(s) -12| LDS_000| IO| | S | 1 |=> can support up to [ 15] logic PT(s) -13| BG_000| IO| | S | 2 |=> can support up to [ 19] logic PT(s) -14| | ? | | S | |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 3] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| DTACK| IO| | => |( 5) 6 7 0 |( 30) 29 28 35 - 1| VMA| IO| | => | 5 6 7 ( 0)| 30 29 28 ( 35) - 2| cpu_est_0_|NOD| | => | 6 7 0 1 | 29 28 35 34 - 3| | | | => | 6 7 0 1 | 29 28 35 34 - 4| AS_000| IO| | => | 7 0 1 ( 2)| 28 35 34 ( 33) - 5|AMIGA_BUS_ENABLE| IO| | => | 7 0 ( 1) 2 | 28 35 ( 34) 33 - 6| SM_AMIGA_0_|NOD| | => | 0 1 2 3 | 35 34 33 32 - 7| | | | => | 0 1 2 3 | 35 34 33 32 - 8| UDS_000| IO| | => | 1 2 ( 3) 4 | 34 33 ( 32) 31 - 9| SM_AMIGA_6_|NOD| | => | 1 2 3 4 | 34 33 32 31 -10|inst_BGACK_030_INT_D|NOD| | => | 2 3 4 5 | 33 32 31 30 -11| | | | => | 2 3 4 5 | 33 32 31 30 -12| LDS_000| IO| | => | 3 ( 4) 5 6 | 32 ( 31) 30 29 -13| BG_000| IO| | => | 3 4 5 ( 6)| 32 31 30 ( 29) -14| | | | => | 4 5 6 7 | 31 30 29 28 -15| | | | => | 4 5 6 7 | 31 30 29 28 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| VMA| IO|*| 35| => | 0 ( 1) 2 3 4 5 6 7 - 1|AMIGA_BUS_ENABLE| IO|*| 34| => | 2 3 4 ( 5) 6 7 8 9 - 2| AS_000| IO|*| 33| => | ( 4) 5 6 7 8 9 10 11 - 3| UDS_000| IO|*| 32| => | 6 7 ( 8) 9 10 11 12 13 - 4| LDS_000| IO|*| 31| => | 8 9 10 11 (12) 13 14 15 - 5| DTACK| IO|*| 30| => | 10 11 12 13 14 15 ( 0) 1 - 6| BG_000| IO|*| 29| => | 12 (13) 14 15 0 1 2 3 - 7| BGACK_000|INP|*| 28| => | 14 15 0 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| VMA| IO|*| 35| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_VMA] - 1|AMIGA_BUS_ENABLE| IO|*| 34| => | Input macrocell [ -] - | | | | | | IO paired w/ node [RN_AMIGA_BUS_ENABLE] - 2| AS_000| IO|*| 33| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_AS_000] - 3| UDS_000| IO|*| 32| => | Input macrocell [ -] - 4| LDS_000| IO|*| 31| => | Input macrocell [ -] - 5| DTACK| IO|*| 30| => | Input macrocell [ -] - 6| BG_000| IO|*| 29| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_BG_000] - 7| BGACK_000|INP|*| 28| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 35| IO VMA|*| ] paired w/[ RN_VMA] - [RegIn 0 |174| -| | ] - [MCell 0 |173| IO DTACK| | ] - [MCell 1 |175|NOD RN_VMA| |*] paired w/[ VMA] - - 1 [IOpin 1 | 34| IO AMIGA_BUS_ENABLE|*| ] paired w/[RN_AMIGA_BUS_ENABLE] - [RegIn 1 |177| -| | ] - [MCell 2 |176|NOD cpu_est_0_| |*] - [MCell 3 |178| -| | ] - - 2 [IOpin 2 | 33| IO AS_000|*|*] paired w/[ RN_AS_000] - [RegIn 2 |180| -| | ] - [MCell 4 |179|NOD RN_AS_000| |*] paired w/[ AS_000] - [MCell 5 |181|NOD RN_AMIGA_BUS_ENABLE| |*] paired w/[AMIGA_BUS_ENABLE] - - 3 [IOpin 3 | 32| IO UDS_000|*|*] - [RegIn 3 |183| -| | ] - [MCell 6 |182|NOD SM_AMIGA_0_| |*] - [MCell 7 |184| -| | ] - - 4 [IOpin 4 | 31| IO LDS_000|*|*] - [RegIn 4 |186| -| | ] - [MCell 8 |185| IO UDS_000| | ] - [MCell 9 |187|NOD SM_AMIGA_6_| |*] - - 5 [IOpin 5 | 30| IO DTACK|*|*] - [RegIn 5 |189| -| | ] - [MCell 10 |188|NOD inst_BGACK_030_INT_D| |*] - [MCell 11 |190| -| | ] - - 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] - [RegIn 6 |192| -| | ] - [MCell 12 |191| IO LDS_000| | ] - [MCell 13 |193|NOD RN_BG_000| |*] paired w/[ BG_000] - - 7 [IOpin 7 | 28|INP BGACK_000|*|*] - [RegIn 7 |195| -| | ] - [MCell 14 |194| -| | ] - [MCell 15 |196| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 6 4 ( 251)| RN_E -Mux03| Mcel 6 5 ( 253)| cpu_est_1_ -Mux04| IOPin 2 6 ( 21)| BG_030 -Mux05| Mcel 5 0 ( 221)| inst_CLK_000_D0 -Mux06| Mcel 2 4 ( 155)| inst_AS_030_000_SYNC -Mux07| Mcel 2 8 ( 161)| inst_CLK_000_NE -Mux08| Mcel 2 10 ( 164)| inst_VPA_D -Mux09| Mcel 2 0 ( 149)| RN_AVEC_EXP -Mux10| Mcel 6 9 ( 259)| cpu_est_2_ -Mux11| Mcel 3 5 ( 181)| RN_AMIGA_BUS_ENABLE -Mux12| Mcel 6 13 ( 265)| inst_LDS_000_INT -Mux13| Mcel 7 8 ( 281)| RN_AS_030 -Mux14| Mcel 3 4 ( 179)| RN_AS_000 -Mux15| Input Pin ( 14)| nEXP_SPACE -Mux16| Mcel 1 9 ( 139)| inst_UDS_000_INT -Mux17| IOPin 7 4 ( 81)| DSACK1 -Mux18| ... | ... -Mux19| IOPin 7 3 ( 82)| AS_030 -Mux20| Mcel 3 10 ( 188)| inst_BGACK_030_INT_D -Mux21| Mcel 3 13 ( 193)| RN_BG_000 -Mux22| Mcel 4 4 ( 203)| inst_CLK_000_D1 -Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux24| Input Pin ( 11)| CLK_000 -Mux25| Mcel 3 9 ( 187)| SM_AMIGA_6_ -Mux26| ... | ... -Mux27| Mcel 3 1 ( 175)| RN_VMA -Mux28| Mcel 3 2 ( 176)| cpu_est_0_ -Mux29| Mcel 5 4 ( 227)| SM_AMIGA_7_ -Mux30| Mcel 3 6 ( 182)| SM_AMIGA_0_ -Mux31| Mcel 1 5 ( 133)| inst_DS_000_ENABLE -Mux32| Mcel 5 8 ( 233)| SM_AMIGA_1_ ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0|AMIGA_BUS_DATA_DIR|OUT| | S | 2 | 4 to [ 0]| 1 XOR free - 1| un16_ciin|NOD| | S | 3 | 4 to [ 1]| 1 XOR free - 2|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free - 4|inst_CLK_000_D1|NOD| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5|AMIGA_BUS_ENABLE_LOW_0|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6| CLK_CNT_N_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7| | ? | | S | | 4 free | 1 XOR free - 8| CLK_CNT_P_0_|NOD| | S | 2 | 4 to [ 8]| 1 XOR free - 9| CLK_CNT_N_0_|NOD| | S | 2 | 4 to [ 9]| 1 XOR free -10|inst_CLK_OUT_PRE|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 free | 1 XOR free -12| CIIN|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|CLK_000_N_SYNC_10_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0|AMIGA_BUS_DATA_DIR|OUT| | S | 2 |=> can support up to [ 9] logic PT(s) - 1| un16_ciin|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) - 2|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 5|AMIGA_BUS_ENABLE_LOW_0|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) - 6| CLK_CNT_N_1_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 7| | ? | | S | |=> can support up to [ 9] logic PT(s) - 8| CLK_CNT_P_0_|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) - 9| CLK_CNT_N_0_|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) -10|inst_CLK_OUT_PRE|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 17] logic PT(s) -12| CIIN|OUT| | S | 1 |=> can support up to [ 19] logic PT(s) -13|CLK_000_N_SYNC_10_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 4] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0|AMIGA_BUS_DATA_DIR|OUT| | => | 5 6 ( 7) 0 | 46 47 ( 48) 41 - 1| un16_ciin|NOD| | => | 5 6 7 0 | 46 47 48 41 - 2|CLK_000_P_SYNC_4_|NOD| | => | 6 7 0 1 | 47 48 41 42 - 3| | | | => | 6 7 0 1 | 47 48 41 42 - 4|inst_CLK_000_D1|NOD| | => | 7 0 1 2 | 48 41 42 43 - 5|AMIGA_BUS_ENABLE_LOW_0|NOD| | => | 7 0 1 2 | 48 41 42 43 - 6| CLK_CNT_N_1_|NOD| | => | 0 1 2 3 | 41 42 43 44 - 7| | | | => | 0 1 2 3 | 41 42 43 44 - 8| CLK_CNT_P_0_|NOD| | => | 1 2 3 4 | 42 43 44 45 - 9| CLK_CNT_N_0_|NOD| | => | 1 2 3 4 | 42 43 44 45 -10|inst_CLK_OUT_PRE|NOD| | => | 2 3 4 5 | 43 44 45 46 -11| | | | => | 2 3 4 5 | 43 44 45 46 -12| CIIN|OUT| | => | 3 4 5 ( 6)| 44 45 46 ( 47) -13|CLK_000_N_SYNC_10_|NOD| | => | 3 4 5 6 | 44 45 46 47 -14| | | | => | 4 5 6 7 | 45 46 47 48 -15| | | | => | 4 5 6 7 | 45 46 47 48 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| BERR|INP|*| 41| => | 0 1 2 3 4 5 6 7 - 1| | | | 42| => | 2 3 4 5 6 7 8 9 - 2| | | | 43| => | 4 5 6 7 8 9 10 11 - 3| | | | 44| => | 6 7 8 9 10 11 12 13 - 4| | | | 45| => | 8 9 10 11 12 13 14 15 - 5| | | | 46| => | 10 11 12 13 14 15 0 1 - 6| CIIN|OUT|*| 47| => | (12) 13 14 15 0 1 2 3 - 7|AMIGA_BUS_DATA_DIR|OUT|*| 48| => | 14 15 ( 0) 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| BERR|INP|*| 41| => | Input macrocell [ -] - 1| | | | 42| => | Input macrocell [ -] - 2| | | | 43| => | Input macrocell [ -] - 3| | | | 44| => | Input macrocell [ -] - 4| | | | 45| => | Input macrocell [ -] - 5| | | | 46| => | Input macrocell [ -] - 6| CIIN|OUT|*| 47| => | Input macrocell [ -] - 7|AMIGA_BUS_DATA_DIR|OUT|*| 48| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 41|INP BERR|*|*] - [RegIn 0 |198| -| | ] - [MCell 0 |197|OUT AMIGA_BUS_DATA_DIR| | ] - [MCell 1 |199|NOD un16_ciin| |*] - - 1 [IOpin 1 | 42| -| | ] - [RegIn 1 |201| -| | ] - [MCell 2 |200|NOD CLK_000_P_SYNC_4_| |*] - [MCell 3 |202| -| | ] - - 2 [IOpin 2 | 43| -| | ] - [RegIn 2 |204| -| | ] - [MCell 4 |203|NOD inst_CLK_000_D1| |*] - [MCell 5 |205|NOD AMIGA_BUS_ENABLE_LOW_0| |*] - - 3 [IOpin 3 | 44| -| | ] - [RegIn 3 |207| -| | ] - [MCell 6 |206|NOD CLK_CNT_N_1_| |*] - [MCell 7 |208| -| | ] - - 4 [IOpin 4 | 45| -| | ] - [RegIn 4 |210| -| | ] - [MCell 8 |209|NOD CLK_CNT_P_0_| |*] - [MCell 9 |211|NOD CLK_CNT_N_0_| |*] - - 5 [IOpin 5 | 46| -| | ] - [RegIn 5 |213| -| | ] - [MCell 10 |212|NOD inst_CLK_OUT_PRE| |*] - [MCell 11 |214| -| | ] - - 6 [IOpin 6 | 47|OUT CIIN|*| ] - [RegIn 6 |216| -| | ] - [MCell 12 |215|OUT CIIN| | ] - [MCell 13 |217|NOD CLK_000_N_SYNC_10_| |*] - - 7 [IOpin 7 | 48|OUT AMIGA_BUS_DATA_DIR|*| ] - [RegIn 7 |219| -| | ] - [MCell 14 |218| -| | ] - [MCell 15 |220| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 4 6 ( 206)| CLK_CNT_N_1_ -Mux01| IOPin 1 6 ( 4)| A_31_ -Mux02| Mcel 4 9 ( 211)| CLK_CNT_N_0_ -Mux03| Mcel 0 8 ( 113)| inst_CLK_OUT_PRE_25 -Mux04| IOPin 1 4 ( 6)| A_29_ -Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| Mcel 5 13 ( 241)| CLK_000_N_SYNC_9_ -Mux07| IOPin 2 0 ( 15)| A_28_ -Mux08| IOPin 6 6 ( 71)| RW -Mux09| IOPin 7 1 ( 84)| A_23_ -Mux10| ... | ... -Mux11| IOPin 2 1 ( 16)| A_27_ -Mux12| IOPin 2 3 ( 18)| A_25_ -Mux13| Mcel 4 1 ( 199)| un16_ciin -Mux14| IOPin 2 4 ( 19)| A_24_ -Mux15| IOPin 0 3 ( 94)| A_21_ -Mux16| Mcel 4 8 ( 209)| CLK_CNT_P_0_ -Mux17| IOPin 2 2 ( 17)| A_26_ -Mux18| IOPin 7 0 ( 85)| A_22_ -Mux19| IOPin 1 5 ( 5)| A_30_ -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Input Pin ( 86)| RST -Mux22| Mcel 2 2 ( 152)| CLK_CNT_P_1_ -Mux23| IOPin 3 2 ( 33)| AS_000 -Mux24| ... | ... -Mux25| Mcel 0 13 ( 121)| CLK_000_P_SYNC_3_ -Mux26| ... | ... -Mux27| ... | ... -Mux28| ... | ... -Mux29| IOPin 0 2 ( 93)| A_20_ -Mux30| ... | ... -Mux31| Mcel 5 0 ( 221)| inst_CLK_000_D0 -Mux32| IOPin 7 3 ( 82)| AS_030 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| SM_AMIGA_3_|NOD| | S | 6 | 4 to [ 1]| 1 XOR to [ 1] as logic PT - 2|CLK_000_N_SYNC_2_|NOD| | S | 1 | 4 to [ 1]| 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 to [ 4]| 1 XOR free - 4| SM_AMIGA_7_|NOD| | S |13 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 5| SM_AMIGA_7__0|NOD| | S | 4 | 4 to [ 5]| 1 XOR free - 6|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 to [ 4]| 1 XOR to [ 6] for 1 PT sig - 7| | ? | | S | | 4 free | 1 XOR free - 8| SM_AMIGA_1_|NOD| | S | 2 | 4 to [ 8]| 1 XOR free - 9| SM_AMIGA_2_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free -10| | ? | | S | | 4 free | 1 XOR free -11| | ? | | S | | 4 free | 1 XOR free -12| SM_AMIGA_5_|NOD| | S | 2 | 4 to [12]| 1 XOR free -13|CLK_000_N_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 1| SM_AMIGA_3_|NOD| | S | 6 |=> can support up to [ 13] logic PT(s) - 2|CLK_000_N_SYNC_2_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) - 3| | ? | | S | |=> can support up to [ 1] logic PT(s) - 4| SM_AMIGA_7_|NOD| | S |13 |=> can support up to [ 14] logic PT(s) - 5| SM_AMIGA_7__0|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) - 6|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) - 7| | ? | | S | |=> can support up to [ 5] logic PT(s) - 8| SM_AMIGA_1_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) - 9| SM_AMIGA_2_|NOD| | S | 3 |=> can support up to [ 15] logic PT(s) -10| | ? | | S | |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 14] logic PT(s) -12| SM_AMIGA_5_|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) -13|CLK_000_N_SYNC_9_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 5] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0|inst_CLK_000_D0|NOD| | => | 5 6 7 0 | 55 54 53 60 - 1| SM_AMIGA_3_|NOD| | => | 5 6 7 0 | 55 54 53 60 - 2|CLK_000_N_SYNC_2_|NOD| | => | 6 7 0 1 | 54 53 60 59 - 3| | | | => | 6 7 0 1 | 54 53 60 59 - 4| SM_AMIGA_7_|NOD| | => | 7 0 1 2 | 53 60 59 58 - 5| SM_AMIGA_7__0|NOD| | => | 7 0 1 2 | 53 60 59 58 - 6|CLK_000_P_SYNC_7_|NOD| | => | 0 1 2 3 | 60 59 58 57 - 7| | | | => | 0 1 2 3 | 60 59 58 57 - 8| SM_AMIGA_1_|NOD| | => | 1 2 3 4 | 59 58 57 56 - 9| SM_AMIGA_2_|NOD| | => | 1 2 3 4 | 59 58 57 56 -10| | | | => | 2 3 4 5 | 58 57 56 55 -11| | | | => | 2 3 4 5 | 58 57 56 55 -12| SM_AMIGA_5_|NOD| | => | 3 4 5 6 | 57 56 55 54 -13|CLK_000_N_SYNC_9_|NOD| | => | 3 4 5 6 | 57 56 55 54 -14| | | | => | 4 5 6 7 | 56 55 54 53 -15| | | | => | 4 5 6 7 | 56 55 54 53 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| | | | 60| => | 0 1 2 3 4 5 6 7 - 1| A_17_|INP|*| 59| => | 2 3 4 5 6 7 8 9 - 2| FC_1_|INP|*| 58| => | 4 5 6 7 8 9 10 11 - 3| FC_0_|INP|*| 57| => | 6 7 8 9 10 11 12 13 - 4| IPL_1_|INP|*| 56| => | 8 9 10 11 12 13 14 15 - 5| | | | 55| => | 10 11 12 13 14 15 0 1 - 6| | | | 54| => | 12 13 14 15 0 1 2 3 - 7| | | | 53| => | 14 15 0 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| | | | 60| => | Input macrocell [ -] - 1| A_17_|INP|*| 59| => | Input macrocell [ -] - 2| FC_1_|INP|*| 58| => | Input macrocell [ -] - 3| FC_0_|INP|*| 57| => | Input macrocell [ -] - 4| IPL_1_|INP|*| 56| => | Input macrocell [ -] - 5| | | | 55| => | Input macrocell [ -] - 6| | | | 54| => | Input macrocell [ -] - 7| | | | 53| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 60| -| | ] - [RegIn 0 |222| -| | ] - [MCell 0 |221|NOD inst_CLK_000_D0| |*] - [MCell 1 |223|NOD SM_AMIGA_3_| |*] - - 1 [IOpin 1 | 59|INP A_17_|*|*] - [RegIn 1 |225| -| | ] - [MCell 2 |224|NOD CLK_000_N_SYNC_2_| |*] - [MCell 3 |226| -| | ] - - 2 [IOpin 2 | 58|INP FC_1_|*|*] - [RegIn 2 |228| -| | ] - [MCell 4 |227|NOD SM_AMIGA_7_| |*] - [MCell 5 |229|NOD SM_AMIGA_7__0| |*] - - 3 [IOpin 3 | 57|INP FC_0_|*|*] - [RegIn 3 |231| -| | ] - [MCell 6 |230|NOD CLK_000_P_SYNC_7_| |*] - [MCell 7 |232| -| | ] - - 4 [IOpin 4 | 56|INP IPL_1_|*|*] - [RegIn 4 |234| -| | ] - [MCell 8 |233|NOD SM_AMIGA_1_| |*] - [MCell 9 |235|NOD SM_AMIGA_2_| |*] - - 5 [IOpin 5 | 55| -| | ] - [RegIn 5 |237| -| | ] - [MCell 10 |236| -| | ] - [MCell 11 |238| -| | ] - - 6 [IOpin 6 | 54| -| | ] - [RegIn 6 |240| -| | ] - [MCell 12 |239|NOD SM_AMIGA_5_| |*] - [MCell 13 |241|NOD CLK_000_N_SYNC_9_| |*] - - 7 [IOpin 7 | 53| -| | ] - [RegIn 7 |243| -| | ] - [MCell 14 |242| -| | ] - [MCell 15 |244| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 3 1 ( 175)| RN_VMA -Mux03| Mcel 6 5 ( 253)| cpu_est_1_ -Mux04| Mcel 3 6 ( 182)| SM_AMIGA_0_ -Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| Mcel 2 4 ( 155)| inst_AS_030_000_SYNC -Mux07| Mcel 2 8 ( 161)| inst_CLK_000_NE -Mux08| ... | ... -Mux09| IOPin 3 5 ( 30)| DTACK -Mux10| Input Pin ( 36)| VPA -Mux11| Mcel 6 4 ( 251)| RN_E -Mux12| Mcel 3 9 ( 187)| SM_AMIGA_6_ -Mux13| Mcel 2 9 ( 163)| CLK_000_P_SYNC_6_ -Mux14| Input Pin ( 11)| CLK_000 -Mux15| Mcel 5 1 ( 223)| SM_AMIGA_3_ -Mux16| Mcel 2 0 ( 149)| RN_AVEC_EXP -Mux17| Mcel 5 12 ( 239)| SM_AMIGA_5_ -Mux18| Mcel 1 2 ( 128)| CLK_000_N_SYNC_1_ -Mux19| ... | ... -Mux20| Mcel 5 8 ( 233)| SM_AMIGA_1_ -Mux21| Mcel 1 13 ( 145)| SM_AMIGA_4_ -Mux22| Mcel 2 1 ( 151)| CLK_000_N_SYNC_8_ -Mux23| ... | ... -Mux24| ... | ... -Mux25| Mcel 5 0 ( 221)| inst_CLK_000_D0 -Mux26| ... | ... -Mux27| Mcel 5 5 ( 229)| SM_AMIGA_7__0 -Mux28| ... | ... -Mux29| Mcel 4 4 ( 203)| inst_CLK_000_D1 -Mux30| ... | ... -Mux31| ... | ... -Mux32| Mcel 5 9 ( 235)| SM_AMIGA_2_ ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| RW| IO| | S | 4 | 4 to [ 0]| 1 XOR free - 1| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2|CLK_000_N_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free - 4| E| IO| | S | 4 | 4 to [ 4]| 1 XOR free - 5| cpu_est_1_|NOD| | S | 5 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7| | ? | | S | | 4 free | 1 XOR free - 8| A0| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| cpu_est_2_|NOD| | S | 4 | 4 to [ 9]| 1 XOR free -10|inst_CLK_000_D2|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 free | 1 XOR free -12| SIZE_0_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|inst_LDS_000_INT|NOD| | S | 2 | 4 to [13]| 1 XOR free -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| RW| IO| | S | 4 |=> can support up to [ 13] logic PT(s) - 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) - 2|CLK_000_N_SYNC_4_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 9] logic PT(s) - 4| E| IO| | S | 4 |=> can support up to [ 14] logic PT(s) - 5| cpu_est_1_|NOD| | S | 5 |=> can support up to [ 14] logic PT(s) - 6|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 13] logic PT(s) - 8| A0| IO| | S | 1 |=> can support up to [ 14] logic PT(s) - 9| cpu_est_2_|NOD| | S | 4 |=> can support up to [ 18] logic PT(s) -10|inst_CLK_000_D2|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 13] logic PT(s) -12| SIZE_0_| IO| | S | 1 |=> can support up to [ 15] logic PT(s) -13|inst_LDS_000_INT|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) -14| | ? | | S | |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 6] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| RW| IO| | => | 5 ( 6) 7 0 | 70 ( 71) 72 65 - 1| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) - 2|CLK_000_N_SYNC_4_|NOD| | => | 6 7 0 1 | 71 72 65 66 - 3| | | | => | 6 7 0 1 | 71 72 65 66 - 4| E| IO| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 - 5| cpu_est_1_|NOD| | => | 7 0 1 2 | 72 65 66 67 - 6|CLK_000_P_SYNC_9_|NOD| | => | 0 1 2 3 | 65 66 67 68 - 7| | | | => | 0 1 2 3 | 65 66 67 68 - 8| A0| IO| | => | 1 2 3 ( 4)| 66 67 68 ( 69) - 9| cpu_est_2_|NOD| | => | 1 2 3 4 | 66 67 68 69 -10|inst_CLK_000_D2|NOD| | => | 2 3 4 5 | 67 68 69 70 -11| | | | => | 2 3 4 5 | 67 68 69 70 -12| SIZE_0_| IO| | => | 3 4 ( 5) 6 | 68 69 ( 70) 71 -13|inst_LDS_000_INT|NOD| | => | 3 4 5 6 | 68 69 70 71 -14| | | | => | 4 5 6 7 | 69 70 71 72 -15| | | | => | 4 5 6 7 | 69 70 71 72 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| CLK_DIV_OUT|OUT|*| 65| => | 0 ( 1) 2 3 4 5 6 7 - 1| E| IO|*| 66| => | 2 3 ( 4) 5 6 7 8 9 - 2| IPL_0_|INP|*| 67| => | 4 5 6 7 8 9 10 11 - 3| IPL_2_|INP|*| 68| => | 6 7 8 9 10 11 12 13 - 4| A0| IO|*| 69| => | ( 8) 9 10 11 12 13 14 15 - 5| SIZE_0_| IO|*| 70| => | 10 11 (12) 13 14 15 0 1 - 6| RW| IO|*| 71| => | 12 13 14 15 ( 0) 1 2 3 - 7| | | | 72| => | 14 15 0 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| CLK_DIV_OUT|OUT|*| 65| => | Input macrocell [ -] - 1| E| IO|*| 66| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_E] - 2| IPL_0_|INP|*| 67| => | Input macrocell [ -] - 3| IPL_2_|INP|*| 68| => | Input macrocell [ -] - 4| A0| IO|*| 69| => | Input macrocell [ -] - 5| SIZE_0_| IO|*| 70| => | Input macrocell [ -] - 6| RW| IO|*| 71| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_RW] - 7| | | | 72| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 65|OUT CLK_DIV_OUT|*| ] - [RegIn 0 |246| -| | ] - [MCell 0 |245|NOD RN_RW| |*] paired w/[ RW] - [MCell 1 |247|OUT CLK_DIV_OUT| | ] - - 1 [IOpin 1 | 66| IO E|*| ] paired w/[ RN_E] - [RegIn 1 |249| -| | ] - [MCell 2 |248|NOD CLK_000_N_SYNC_4_| |*] - [MCell 3 |250| -| | ] - - 2 [IOpin 2 | 67|INP IPL_0_|*|*] - [RegIn 2 |252| -| | ] - [MCell 4 |251|NOD RN_E| |*] paired w/[ E] - [MCell 5 |253|NOD cpu_est_1_| |*] - - 3 [IOpin 3 | 68|INP IPL_2_|*|*] - [RegIn 3 |255| -| | ] - [MCell 6 |254|NOD CLK_000_P_SYNC_9_| |*] - [MCell 7 |256| -| | ] - - 4 [IOpin 4 | 69| IO A0|*|*] - [RegIn 4 |258| -| | ] - [MCell 8 |257| IO A0| | ] - [MCell 9 |259|NOD cpu_est_2_| |*] - - 5 [IOpin 5 | 70| IO SIZE_0_|*|*] - [RegIn 5 |261| -| | ] - [MCell 10 |260|NOD inst_CLK_000_D2| |*] - [MCell 11 |262| -| | ] - - 6 [IOpin 6 | 71| IO RW|*|*] paired w/[ RN_RW] - [RegIn 6 |264| -| | ] - [MCell 12 |263| IO SIZE_0_| | ] - [MCell 13 |265|NOD inst_LDS_000_INT| |*] - - 7 [IOpin 7 | 72| -| | ] - [RegIn 7 |267| -| | ] - [MCell 14 |266| -| | ] - [MCell 15 |268| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| ... | ... -Mux02| Mcel 0 9 ( 115)| CLK_000_P_SYNC_8_ -Mux03| Mcel 3 2 ( 176)| cpu_est_0_ -Mux04| Input Pin ( 64)| CLK_030 -Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| Mcel 4 4 ( 203)| inst_CLK_000_D1 -Mux07| ... | ... -Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 0 1 ( 103)| CLK_000_N_SYNC_3_ -Mux10| Mcel 6 9 ( 259)| cpu_est_2_ -Mux11| Mcel 7 9 ( 283)| inst_CLK_OUT_PRE_D -Mux12| Mcel 6 13 ( 265)| inst_LDS_000_INT -Mux13| Mcel 7 8 ( 281)| RN_AS_030 -Mux14| IOPin 6 5 ( 70)| SIZE_0_ -Mux15| IOPin 6 4 ( 69)| A0 -Mux16| Mcel 2 0 ( 149)| RN_AVEC_EXP -Mux17| Mcel 6 0 ( 245)| RN_RW -Mux18| IOPin 0 7 ( 98)| DS_030 -Mux19| ... | ... -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Mcel 6 4 ( 251)| RN_E -Mux22| Mcel 6 5 ( 253)| cpu_est_1_ -Mux23| IOPin 3 2 ( 33)| AS_000 -Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| ... | ... -Mux26| ... | ... -Mux27| IOPin 7 6 ( 79)| SIZE_1_ -Mux28| IOPin 7 5 ( 80)| RW_000 -Mux29| ... | ... -Mux30| ... | ... -Mux31| ... | ... -Mux32| ... | ... ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| RW_000| IO| | S | 3 | 4 to [ 0]| 1 XOR free - 1| SIZE_1_| IO| | S | 2 | 4 to [ 1]| 1 XOR free - 2|CLK_000_N_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free - 4| BGACK_030| IO| | S | 2 | 4 to [ 4]| 1 XOR free - 5| FPU_CS|OUT| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|inst_CLK_OUT_PRE_50_D|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7| | ? | | S | | 4 free | 1 XOR free - 8| AS_030| IO| | S | 4 | 4 to [ 8]| 1 XOR free - 9|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10| | ? | | S | | 4 free | 1 XOR free -11| | ? | | S | | 4 free | 1 XOR free -12| DSACK1| IO| | S | 2 | 4 to [12]| 1 XOR free -13|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| RW_000| IO| | S | 3 |=> can support up to [ 9] logic PT(s) - 1| SIZE_1_| IO| | S | 2 |=> can support up to [ 14] logic PT(s) - 2|CLK_000_N_SYNC_7_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4| BGACK_030| IO| | S | 2 |=> can support up to [ 18] logic PT(s) - 5| FPU_CS|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) - 6|inst_CLK_OUT_PRE_50_D|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 13] logic PT(s) - 8| AS_030| IO| | S | 4 |=> can support up to [ 19] logic PT(s) - 9|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -10| | ? | | S | |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 14] logic PT(s) -12| DSACK1| IO| | S | 2 |=> can support up to [ 19] logic PT(s) -13|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 7] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| RW_000| IO| | => |( 5) 6 7 0 |( 80) 79 78 85 - 1| SIZE_1_| IO| | => | 5 ( 6) 7 0 | 80 ( 79) 78 85 - 2|CLK_000_N_SYNC_7_|NOD| | => | 6 7 0 1 | 79 78 85 84 - 3| | | | => | 6 7 0 1 | 79 78 85 84 - 4| BGACK_030| IO| | => | 7 0 1 ( 2)| 78 85 84 ( 83) - 5| FPU_CS|OUT| | => |( 7) 0 1 2 |( 78) 85 84 83 - 6|inst_CLK_OUT_PRE_50_D|NOD| | => | 0 1 2 3 | 85 84 83 82 - 7| | | | => | 0 1 2 3 | 85 84 83 82 - 8| AS_030| IO| | => | 1 2 ( 3) 4 | 84 83 ( 82) 81 - 9|inst_CLK_OUT_PRE_D|NOD| | => | 1 2 3 4 | 84 83 82 81 -10| | | | => | 2 3 4 5 | 83 82 81 80 -11| | | | => | 2 3 4 5 | 83 82 81 80 -12| DSACK1| IO| | => | 3 ( 4) 5 6 | 82 ( 81) 80 79 -13|inst_CLK_OUT_PRE_50|NOD| | => | 3 4 5 6 | 82 81 80 79 -14| | | | => | 4 5 6 7 | 81 80 79 78 -15| | | | => | 4 5 6 7 | 81 80 79 78 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| A_22_|INP|*| 85| => | 0 1 2 3 4 5 6 7 - 1| A_23_|INP|*| 84| => | 2 3 4 5 6 7 8 9 - 2| BGACK_030| IO|*| 83| => | ( 4) 5 6 7 8 9 10 11 - 3| AS_030| IO|*| 82| => | 6 7 ( 8) 9 10 11 12 13 - 4| DSACK1| IO|*| 81| => | 8 9 10 11 (12) 13 14 15 - 5| RW_000| IO|*| 80| => | 10 11 12 13 14 15 ( 0) 1 - 6| SIZE_1_| IO|*| 79| => | 12 13 14 15 0 ( 1) 2 3 - 7| FPU_CS|OUT|*| 78| => | 14 15 0 1 2 3 4 ( 5) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| A_22_|INP|*| 85| => | Input macrocell [ -] - 1| A_23_|INP|*| 84| => | Input macrocell [ -] - 2| BGACK_030| IO|*| 83| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_BGACK_030] - 3| AS_030| IO|*| 82| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_AS_030] - 4| DSACK1| IO|*| 81| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_DSACK1] - 5| RW_000| IO|*| 80| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_RW_000] - 6| SIZE_1_| IO|*| 79| => | Input macrocell [ -] - 7| FPU_CS|OUT|*| 78| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 85|INP A_22_|*|*] - [RegIn 0 |270| -| | ] - [MCell 0 |269|NOD RN_RW_000| |*] paired w/[ RW_000] - [MCell 1 |271| IO SIZE_1_| | ] - - 1 [IOpin 1 | 84|INP A_23_|*|*] - [RegIn 1 |273| -| | ] - [MCell 2 |272|NOD CLK_000_N_SYNC_7_| |*] - [MCell 3 |274| -| | ] - - 2 [IOpin 2 | 83| IO BGACK_030|*| ] paired w/[ RN_BGACK_030] - [RegIn 2 |276| -| | ] - [MCell 4 |275|NOD RN_BGACK_030| |*] paired w/[ BGACK_030] - [MCell 5 |277|OUT FPU_CS| | ] - - 3 [IOpin 3 | 82| IO AS_030|*|*] paired w/[ RN_AS_030] - [RegIn 3 |279| -| | ] - [MCell 6 |278|NOD inst_CLK_OUT_PRE_50_D| |*] - [MCell 7 |280| -| | ] - - 4 [IOpin 4 | 81| IO DSACK1|*|*] paired w/[ RN_DSACK1] - [RegIn 4 |282| -| | ] - [MCell 8 |281|NOD RN_AS_030| |*] paired w/[ AS_030] - [MCell 9 |283|NOD inst_CLK_OUT_PRE_D| |*] - - 5 [IOpin 5 | 80| IO RW_000|*|*] paired w/[ RN_RW_000] - [RegIn 5 |285| -| | ] - [MCell 10 |284| -| | ] - [MCell 11 |286| -| | ] - - 6 [IOpin 6 | 79| IO SIZE_1_|*|*] - [RegIn 6 |288| -| | ] - [MCell 12 |287|NOD RN_DSACK1| |*] paired w/[ DSACK1] - [MCell 13 |289|NOD inst_CLK_OUT_PRE_50| |*] - - 7 [IOpin 7 | 78|OUT FPU_CS|*| ] - [RegIn 7 |291| -| | ] - [MCell 14 |290| -| | ] - [MCell 15 |292| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 5 8 ( 233)| SM_AMIGA_1_ -Mux03| Mcel 4 10 ( 212)| inst_CLK_OUT_PRE -Mux04| IOPin 0 4 ( 95)| A_18_ -Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| IOPin 0 6 ( 97)| A_19_ -Mux07| Mcel 7 13 ( 289)| inst_CLK_OUT_PRE_50 -Mux08| IOPin 6 6 ( 71)| RW -Mux09| Mcel 2 6 ( 158)| CLK_000_N_SYNC_6_ -Mux10| Mcel 5 4 ( 227)| SM_AMIGA_7_ -Mux11| IOPin 0 5 ( 96)| A_16_ -Mux12| IOPin 3 3 ( 32)| UDS_000 -Mux13| Mcel 7 8 ( 281)| RN_AS_030 -Mux14| ... | ... -Mux15| Mcel 7 12 ( 287)| RN_DSACK1 -Mux16| Mcel 2 0 ( 149)| RN_AVEC_EXP -Mux17| IOPin 5 3 ( 57)| FC_0_ -Mux18| IOPin 3 7 ( 28)| BGACK_000 -Mux19| IOPin 7 3 ( 82)| AS_030 -Mux20| IOPin 5 2 ( 58)| FC_1_ -Mux21| Input Pin ( 86)| RST -Mux22| ... | ... -Mux23| Mcel 7 0 ( 269)| RN_RW_000 -Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| Mcel 3 9 ( 187)| SM_AMIGA_6_ -Mux26| IOPin 3 2 ( 33)| AS_000 -Mux27| IOPin 5 1 ( 59)| A_17_ -Mux28| Input Pin ( 64)| CLK_030 -Mux29| ... | ... -Mux30| ... | ... -Mux31| ... | ... -Mux32| ... | ... ---------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt deleted file mode 100644 index 7f4ca49..0000000 --- a/Logic/68030_tk.rpt +++ /dev/null @@ -1,1941 +0,0 @@ -|--------------------------------------------| -|- ispLEVER Fitter Report File -| -|- Version 1.7.00.05.28.13 -| -|- (c)Copyright, Lattice Semiconductor 2002 -| -|--------------------------------------------| - - - - -Project_Summary -~~~~~~~~~~~~~~~ - -Project Name : 68030_tk -Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic -Project Fitted on : Sun Jun 22 21:24:26 2014 - -Device : M4A5-128/64 -Package : 100TQFP -Speed : -10 -Partnumber : M4A5-128/64-10VC -Source Format : Pure_VHDL - - -// Project '68030_tk' was Fitted Successfully! // - - -Compilation_Times -~~~~~~~~~~~~~~~~~ -Reading/DRC 0 sec -Partition 0 sec -Place 0 sec -Route 0 sec -Jedec/Report generation 0 sec - -------- -Fitter 00:00:00 - - -Design_Summary -~~~~~~~~~~~~~~ - Total Input Pins : 30 - Total Output Pins : 17 - Total Bidir I/O Pins : 12 - Total Flip-Flops : 76 - Total Product Terms : 173 - Total Reserved Pins : 0 - Total Reserved Blocks : 0 - - -Device_Resource_Summary -~~~~~~~~~~~~~~~~~~~~~~~ - Total - Available Used Available Utilization -Dedicated Pins - Input-Only Pins 2 2 0 --> 100% - Clock/Input Pins 4 4 0 --> 100% -I/O Pins 64 53 11 --> 82% -Logic Macrocells 128 86 42 --> 67% - Input Registers 64 0 64 --> 0% - Unusable Macrocells .. 0 .. - -CSM Outputs/Total Block Inputs 264 204 60 --> 77% -Logical Product Terms 640 174 466 --> 27% -Product Term Clusters 128 45 83 --> 35% - - -Blocks_Resource_Summary -~~~~~~~~~~~~~~~~~~~~~~~ - # of PT - I/O Inp Macrocells Macrocells logic clusters - Fanin Pins Reg Used Unusable available PTs available Pwr ---------------------------------------------------------------------------------- -Maximum 33 8 8 -- -- 16 80 16 - ---------------------------------------------------------------------------------- -Block A 22 7 0 11 0 5 23 12 Hi -Block B 23 8 0 11 0 5 18 10 Hi -Block C 25 8 0 11 0 5 16 14 Hi -Block D 31 8 0 11 0 5 23 8 Hi -Block E 27 3 0 11 0 5 17 11 Hi -Block F 25 4 0 10 0 6 34 7 Hi -Block G 24 7 0 11 0 5 25 11 Hi -Block H 27 8 0 10 0 6 18 11 Hi ---------------------------------------------------------------------------------- - - Four rightmost columns above reflect last status of the placement process. - Pwr (Power) : Hi = High - Lo = Low. - - -Optimizer_and_Fitter_Options -~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -Pin Assignment : Yes -Group Assignment : No -Pin Reservation : No (1) -Block Reservation : No - -@Ignore_Project_Constraints : - Pin Assignments : No - Keep Block Assignment -- - Keep Segment Assignment -- - Group Assignments : No - Macrocell Assignment : No - Keep Block Assignment -- - Keep Segment Assignment -- - -@Backannotate_Project_Constraints - Pin Assignments : No - Pin And Block Assignments : No - Pin, Macrocell and Block : No - -@Timing_Constraints : No - -@Global_Project_Optimization : - Balanced Partitioning : Yes - Spread Placement : Yes - - Note : - Pack Design : - Balanced Partitioning = No - Spread Placement = No - Spread Design : - Balanced Partitioning = Yes - Spread Placement = Yes - -@Logic_Synthesis : - Logic Reduction : Yes - Node Collapsing : Yes - D/T Synthesis : Yes - Clock Optimization : No - Input Register Optimization : Yes - XOR Synthesis : Yes - Max. P-Term for Collapsing : 16 - Max. P-Term for Splitting : 16 - Max. Equation Fanin : 32 - Keep Xor : Yes - -@Utilization_options - Max. % of macrocells used : 100 - Max. % of block inputs used : 100 - Max. % of segment lines used : --- - Max. % of macrocells used : --- - - -@Import_Source_Constraint_Option No - -@Zero_Hold_Time Yes - -@Pull_up Yes - -@User_Signature #H0 - -@Output_Slew_Rate Default = Slow(2) - -@Power Default = High(2) - - -Device Options: - 1 : Reserved unused I/Os can be independently driven to Low or High, and does not - follow the drive level set for the Global Configure Unused I/O Option. - 2 : For user-specified constraints on individual signals, refer to the Output, - Bidir and Burried Signal Lists. - - - - -Pinout_Listing -~~~~~~~~~~~~~~ - | Pin |Blk |Assigned| -Pin No| Type |Pad |Pin | Signal name ---------------------------------------------------------------- - 1 | GND | | | - 2 | JTAG | | | - 3 | I_O | B7 | * |RESET -4 | I_O | B6 | * |A_31_ -5 | I_O | B5 | * |A_30_ -6 | I_O | B4 | * |A_29_ -7 | I_O | B3 | * |IPL_030_1_ -8 | I_O | B2 | * |IPL_030_0_ -9 | I_O | B1 | * |IPL_030_2_ -10 | I_O | B0 | * |CLK_EXP -11 | CkIn | | * |CLK_000 -12 | Vcc | | | -13 | GND | | | -14 | CkIn | | * |nEXP_SPACE -15 | I_O | C0 | * |A_28_ -16 | I_O | C1 | * |A_27_ -17 | I_O | C2 | * |A_26_ -18 | I_O | C3 | * |A_25_ -19 | I_O | C4 | * |A_24_ -20 | I_O | C5 | * |AMIGA_BUS_ENABLE_LOW -21 | I_O | C6 | * |BG_030 -22 | I_O | C7 | * |AVEC_EXP -23 | JTAG | | | -24 | JTAG | | | -25 | GND | | | -26 | GND | | | -27 | GND | | | -28 | I_O | D7 | * |BGACK_000 -29 | I_O | D6 | * |BG_000 -30 | I_O | D5 | * |DTACK -31 | I_O | D4 | * |LDS_000 -32 | I_O | D3 | * |UDS_000 -33 | I_O | D2 | * |AS_000 -34 | I_O | D1 | * |AMIGA_BUS_ENABLE -35 | I_O | D0 | * |VMA -36 | Inp | | * |VPA -37 | Vcc | | | -38 | GND | | | -39 | GND | | | -40 | Vcc | | | -41 | I_O | E0 | * |BERR -42 | I_O | E1 | | -43 | I_O | E2 | | -44 | I_O | E3 | | -45 | I_O | E4 | | -46 | I_O | E5 | | -47 | I_O | E6 | * |CIIN -48 | I_O | E7 | * |AMIGA_BUS_DATA_DIR -49 | GND | | | -50 | GND | | | -51 | GND | | | -52 | JTAG | | | -53 | I_O | F7 | | -54 | I_O | F6 | | -55 | I_O | F5 | | -56 | I_O | F4 | * |IPL_1_ -57 | I_O | F3 | * |FC_0_ -58 | I_O | F2 | * |FC_1_ -59 | I_O | F1 | * |A_17_ -60 | I_O | F0 | | -61 | CkIn | | * |CLK_OSZI -62 | Vcc | | | -63 | GND | | | -64 | CkIn | | * |CLK_030 -65 | I_O | G0 | * |CLK_DIV_OUT -66 | I_O | G1 | * |E -67 | I_O | G2 | * |IPL_0_ -68 | I_O | G3 | * |IPL_2_ -69 | I_O | G4 | * |A0 -70 | I_O | G5 | * |SIZE_0_ -71 | I_O | G6 | * |RW -72 | I_O | G7 | | -73 | JTAG | | | -74 | JTAG | | | -75 | GND | | | -76 | GND | | | -77 | GND | | | -78 | I_O | H7 | * |FPU_CS -79 | I_O | H6 | * |SIZE_1_ -80 | I_O | H5 | * |RW_000 -81 | I_O | H4 | * |DSACK1 -82 | I_O | H3 | * |AS_030 -83 | I_O | H2 | * |BGACK_030 -84 | I_O | H1 | * |A_23_ -85 | I_O | H0 | * |A_22_ -86 | Inp | | * |RST -87 | Vcc | | | -88 | GND | | | -89 | GND | | | -90 | Vcc | | | -91 | I_O | A0 | | -92 | I_O | A1 | * |AVEC -93 | I_O | A2 | * |A_20_ -94 | I_O | A3 | * |A_21_ -95 | I_O | A4 | * |A_18_ -96 | I_O | A5 | * |A_16_ -97 | I_O | A6 | * |A_19_ -98 | I_O | A7 | * |DS_030 -99 | GND | | | -100 | GND | | | - ---------------------------------------------------------------------------- - - Blk Pad : This notation refers to the Block I/O pad number in the device. - Assigned Pin : user or dedicated input assignment (E.g. Clock pins). - Pin Type : - CkIn : Dedicated input or clock pin - CLK : Dedicated clock pin - INP : Dedicated input pin - JTAG : JTAG Control and test pin - NC : No connected - - - -Input_Signal_List -~~~~~~~~~~~~~~~~~ - P R - Pin r e O Input -Pin Blk PTs Type e s E Fanout Pwr Slew Signal ----------------------------------------------------------------------- - 96 A . I/O --C----H Hi Slow A_16_ - 59 F . I/O --C----H Hi Slow A_17_ - 95 A . I/O --C----H Hi Slow A_18_ - 97 A . I/O --C----H Hi Slow A_19_ - 93 A . I/O ----E--- Hi Slow A_20_ - 94 A . I/O ----E--- Hi Slow A_21_ - 85 H . I/O ----E--- Hi Slow A_22_ - 84 H . I/O ----E--- Hi Slow A_23_ - 19 C . I/O ----E--- Hi Slow A_24_ - 18 C . I/O ----E--- Hi Slow A_25_ - 17 C . I/O ----E--- Hi Slow A_26_ - 16 C . I/O ----E--- Hi Slow A_27_ - 15 C . I/O ----E--- Hi Slow A_28_ - 6 B . I/O ----E--- Hi Slow A_29_ - 5 B . I/O ----E--- Hi Slow A_30_ - 4 B . I/O ----E--- Hi Slow A_31_ - 41 E . I/O -BCD-F-H Hi Slow BERR - 28 D . I/O --C----H Hi Slow BGACK_000 - 21 C . I/O ---D---- Hi Slow BG_030 - 57 F . I/O --C----H Hi Slow FC_0_ - 58 F . I/O --C----H Hi Slow FC_1_ - 67 G . I/O -B------ Hi Slow IPL_0_ - 56 F . I/O -B------ Hi Slow IPL_1_ - 68 G . I/O -B------ Hi Slow IPL_2_ - 11 . . Ck/I ---D-F-- - Slow CLK_000 - 14 . . Ck/I A-CDEFGH - Slow nEXP_SPACE - 36 . . Ded --C--F-- - Slow VPA - 61 . . Ck/I ABCDEFGH - Slow CLK_OSZI - 64 . . Ck/I A-----GH - Slow CLK_030 - 86 . . Ded ABCDEFGH - Slow RST ----------------------------------------------------------------------- - - Power : Hi = High - MH = Medium High - ML = Medium Low - Lo = Low - - - -Output_Signal_List -~~~~~~~~~~~~~~~~~~ - P R - Pin r e O Output -Pin Blk PTs Type e s E Fanout Pwr Slew Signal ----------------------------------------------------------------------- - 48 E 2 COM -------- Hi Slow AMIGA_BUS_DATA_DIR - 34 D 6 DFF * -------- Hi Slow AMIGA_BUS_ENABLE - 20 C 1 DFF * -------- Hi Slow AMIGA_BUS_ENABLE_LOW - 92 A 1 COM -------- Hi Slow AVEC - 22 C 1 DFF * -------- Hi Slow AVEC_EXP - 83 H 2 DFF * -------- Hi Slow BGACK_030 - 29 D 2 DFF * -------- Hi Slow BG_000 - 47 E 1 COM -------- Hi Slow CIIN - 65 G 1 DFF * -------- Hi Slow CLK_DIV_OUT - 10 B 1 DFF * -------- Hi Slow CLK_EXP - 66 G 4 DFF * -------- Hi Slow E - 78 H 1 COM -------- Hi Slow FPU_CS - 8 B 2 DFF * -------- Hi Slow IPL_030_0_ - 7 B 2 DFF * -------- Hi Slow IPL_030_1_ - 9 B 2 DFF * -------- Hi Slow IPL_030_2_ - 3 B 1 DFF * -------- Hi Slow RESET - 35 D 2 DFF * -------- Hi Slow VMA ----------------------------------------------------------------------- - - Power : Hi = High - MH = Medium High - ML = Medium Low - Lo = Low - - - -Bidir_Signal_List -~~~~~~~~~~~~~~~~~ - P R - Pin r e O Bidir -Pin Blk PTs Type e s E Fanout Pwr Slew Signal ----------------------------------------------------------------------- - 69 G 1 DFF * -B----G- Hi Slow A0 - 33 D 2 DFF * A---E-GH Hi Slow AS_000 - 82 H 4 DFF * -BCDE--H Hi Slow AS_030 - 81 H 2 DFF * ---D---- Hi Slow DSACK1 - 98 A 7 DFF * -B----G- Hi Slow DS_030 - 30 D 1 COM -----F-- Hi Slow DTACK - 31 D 1 COM A-----GH Hi Slow LDS_000 - 71 G 4 DFF * -B--E--H Hi Slow RW - 80 H 3 DFF * A-----G- Hi Slow RW_000 - 70 G 1 DFF * ------G- Hi Slow SIZE_0_ - 79 H 2 DFF * ------G- Hi Slow SIZE_1_ - 32 D 1 COM A-----GH Hi Slow UDS_000 ----------------------------------------------------------------------- - - Power : Hi = High - MH = Medium High - ML = Medium Low - Lo = Low - - - -Buried_Signal_List -~~~~~~~~~~~~~~~~~~ - P R - Pin r e O Node -#Mc Blk PTs Type e s E Fanout Pwr Slew Signal ----------------------------------------------------------------------- - E5 E 2 COM --C----- Hi Slow AMIGA_BUS_ENABLE_LOW_0 - A5 A 1 DFF * -B------ Hi Slow CLK_000_N_SYNC_0_ - E13 E 1 DFF * A------- Hi Slow CLK_000_N_SYNC_10_ - A6 A 1 DFF * --C----- Hi Slow CLK_000_N_SYNC_11_ - B2 B 1 DFF * -----F-- Hi Slow CLK_000_N_SYNC_1_ - F2 F 1 DFF * A------- Hi Slow CLK_000_N_SYNC_2_ - A1 A 1 DFF * ------G- Hi Slow CLK_000_N_SYNC_3_ - G2 G 1 DFF * --C----- Hi Slow CLK_000_N_SYNC_4_ - C5 C 1 DFF * --C----- Hi Slow CLK_000_N_SYNC_5_ - C6 C 1 DFF * -------H Hi Slow CLK_000_N_SYNC_6_ - H2 H 1 DFF * --C----- Hi Slow CLK_000_N_SYNC_7_ - C1 C 1 DFF * -----F-- Hi Slow CLK_000_N_SYNC_8_ - F13 F 1 DFF * ----E--- Hi Slow CLK_000_N_SYNC_9_ - A2 A 1 DFF * -B------ Hi Slow CLK_000_P_SYNC_0_ - B10 B 1 DFF * -B------ Hi Slow CLK_000_P_SYNC_1_ - B6 B 1 DFF * A------- Hi Slow CLK_000_P_SYNC_2_ - A13 A 1 DFF * ----E--- Hi Slow CLK_000_P_SYNC_3_ - E2 E 1 DFF * --C----- Hi Slow CLK_000_P_SYNC_4_ - C13 C 1 DFF * --C----- Hi Slow CLK_000_P_SYNC_5_ - C9 C 1 DFF * -----F-- Hi Slow CLK_000_P_SYNC_6_ - F6 F 1 DFF * A------- Hi Slow CLK_000_P_SYNC_7_ - A9 A 1 DFF * ------G- Hi Slow CLK_000_P_SYNC_8_ - G6 G 1 DFF * --C----- Hi Slow CLK_000_P_SYNC_9_ - E9 E 2 DFF * ----E--- Hi Slow CLK_CNT_N_0_ - E6 E 1 DFF * ----E--- Hi Slow CLK_CNT_N_1_ - E8 E 2 DFF * --C-E--- Hi Slow CLK_CNT_P_0_ - C2 C 1 DFF * ----E--- Hi Slow CLK_CNT_P_1_ - D5 D 6 DFF * ---D---- Hi - RN_AMIGA_BUS_ENABLE --> AMIGA_BUS_ENABLE - C12 C 1 DFF * --C----- Hi - RN_AMIGA_BUS_ENABLE_LOW --> AMIGA_BUS_ENABLE_LOW - D4 D 2 DFF * ---D---- Hi - RN_AS_000 --> AS_000 - H8 H 4 DFF * A--D--GH Hi - RN_AS_030 --> AS_030 - C0 C 1 DFF * -B-D-FGH Hi - RN_AVEC_EXP --> AVEC_EXP - H4 H 2 DFF * A-CDE-GH Hi - RN_BGACK_030 --> BGACK_030 - D13 D 2 DFF * ---D---- Hi - RN_BG_000 --> BG_000 - H12 H 2 DFF * -------H Hi - RN_DSACK1 --> DSACK1 - A0 A 7 DFF * A------- Hi - RN_DS_030 --> DS_030 - G4 G 4 DFF * ---D-FG- Hi - RN_E --> E - B8 B 2 DFF * -B------ Hi - RN_IPL_030_0_ --> IPL_030_0_ - B12 B 2 DFF * -B------ Hi - RN_IPL_030_1_ --> IPL_030_1_ - B4 B 2 DFF * -B------ Hi - RN_IPL_030_2_ --> IPL_030_2_ - G0 G 4 DFF * ------G- Hi - RN_RW --> RW - H0 H 3 DFF * -------H Hi - RN_RW_000 --> RW_000 - D1 D 2 DFF * ---D-F-- Hi - RN_VMA --> VMA - D6 D 2 DFF * ---D-F-- Hi Slow SM_AMIGA_0_ - F8 F 2 DFF * ---D-F-H Hi Slow SM_AMIGA_1_ - F9 F 3 DFF * -----F-- Hi Slow SM_AMIGA_2_ - F1 F 6 DFF * -----F-- Hi Slow SM_AMIGA_3_ - B13 B 2 DFF * -B---F-- Hi Slow SM_AMIGA_4_ - F12 F 2 DFF * -B---F-- Hi Slow SM_AMIGA_5_ - D9 D 2 DFF * -B-D-F-H Hi Slow SM_AMIGA_6_ - F4 F 13 DFF * --CD---H Hi Slow SM_AMIGA_7_ - F5 F 4 COM -----F-- Hi Slow SM_AMIGA_7__0 - D2 D 2 DFF * ---D--G- Hi Slow cpu_est_0_ - G5 G 5 DFF * ---D-FG- Hi Slow cpu_est_1_ - G9 G 4 DFF * ---D--G- Hi Slow cpu_est_2_ - C4 C 6 DFF * --CD-F-- Hi Slow inst_AS_030_000_SYNC - D10 D 1 DFF * ---D---- Hi Slow inst_BGACK_030_INT_D - F0 F 1 DFF * A--DEF-- Hi Slow inst_CLK_000_D0 - E4 E 1 DFF * A--D-FG- Hi Slow inst_CLK_000_D1 - G10 G 1 DFF * A------- Hi Slow inst_CLK_000_D2 - A10 A 1 DFF * A------- Hi Slow inst_CLK_000_D3 - C8 C 1 DFF * -B-D-F-- Hi Slow inst_CLK_000_NE - A12 A 5 DFF A------- Hi Slow inst_CLK_030_H - E10 E 1 DFF * -------H Hi Slow inst_CLK_OUT_PRE - A8 A 3 DFF * A---E--- Hi Slow inst_CLK_OUT_PRE_25 - H13 H 1 DFF * A------H Hi Slow inst_CLK_OUT_PRE_50 - H6 H 1 DFF * A------- Hi Slow inst_CLK_OUT_PRE_50_D - H9 H 1 DFF * -B----G- Hi Slow inst_CLK_OUT_PRE_D - B5 B 3 DFF * -B-D---- Hi Slow inst_DS_000_ENABLE - G13 G 2 DFF * ---D--G- Hi Slow inst_LDS_000_INT - B9 B 2 DFF * -B-D---- Hi Slow inst_UDS_000_INT - C10 C 1 DFF * ---D---- Hi Slow inst_VPA_D - E1 E 3 COM ----E--- Hi Slow un16_ciin ----------------------------------------------------------------------- - - Power : Hi = High - MH = Medium High - ML = Medium Low - Lo = Low - - - - -Signals_Fanout_List -~~~~~~~~~~~~~~~~~~~ -Signal Source : Fanout List ------------------------------------------------------------------------------ - A_31_{ C}: CIIN{ E} un16_ciin{ E} - IPL_2_{ H}: IPL_030_2_{ B} - FC_1_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ C} - A_30_{ C}: CIIN{ E} un16_ciin{ E} - UDS_000{ E}: SIZE_1_{ H} AS_030{ H} SIZE_0_{ G} - : DS_030{ A} A0{ G} RW{ G} - : inst_CLK_030_H{ A} - A_29_{ C}: CIIN{ E} un16_ciin{ E} - LDS_000{ E}: SIZE_1_{ H} AS_030{ H} SIZE_0_{ G} - : DS_030{ A} A0{ G} RW{ G} - : inst_CLK_030_H{ A} - A_28_{ D}: CIIN{ E} un16_ciin{ E} - A_27_{ D}: CIIN{ E} un16_ciin{ E} - nEXP_SPACE{. }: DTACK{ D}AMIGA_BUS_DATA_DIR{ E} SIZE_1_{ H} - : AS_030{ H} SIZE_0_{ G} DS_030{ A} - : A0{ G} BG_000{ D} DSACK1{ H} - :AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ C} SM_AMIGA_7_{ F} - : SM_AMIGA_6_{ D} un16_ciin{ E} - A_26_{ D}: CIIN{ E} un16_ciin{ E} - BERR{ F}: AS_000{ D} DSACK1{ H}inst_AS_030_000_SYNC{ C} - : SM_AMIGA_7_{ F} SM_AMIGA_6_{ D} SM_AMIGA_1_{ F} - : SM_AMIGA_0_{ D} SM_AMIGA_4_{ B}inst_DS_000_ENABLE{ B} - : SM_AMIGA_5_{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - A_25_{ D}: CIIN{ E} un16_ciin{ E} - BG_030{ D}: BG_000{ D} - A_24_{ D}: CIIN{ E} un16_ciin{ E} - A_23_{ I}: CIIN{ E} un16_ciin{ E} - A_22_{ I}: CIIN{ E} un16_ciin{ E} - BGACK_000{ E}: FPU_CS{ H} BGACK_030{ H}inst_AS_030_000_SYNC{ C} - A_21_{ B}: CIIN{ E} un16_ciin{ E} - CLK_030{. }: AS_030{ H} DS_030{ A} RW{ G} - : inst_CLK_030_H{ A} - A_20_{ B}: CIIN{ E} un16_ciin{ E} - CLK_000{. }: BG_000{ D}inst_CLK_000_D0{ F} - A_19_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ C} - A_18_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ C} - A_17_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ C} - A_16_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ C} - DTACK{ E}: SM_AMIGA_7_{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - IPL_1_{ G}: IPL_030_1_{ B} - IPL_0_{ H}: IPL_030_0_{ B} - FC_0_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ C} - VPA{. }: inst_VPA_D{ C} SM_AMIGA_7_{ F} SM_AMIGA_3_{ F} - : SM_AMIGA_2_{ F} - RST{. }: CLK_DIV_OUT{ G} SIZE_1_{ H} IPL_030_2_{ B} - : AS_030{ H} AS_000{ D} RW_000{ H} - : SIZE_0_{ G} DS_030{ A} A0{ G} - : BG_000{ D} BGACK_030{ H} CLK_EXP{ B} - : IPL_030_1_{ B} DSACK1{ H} IPL_030_0_{ B} - : AVEC_EXP{ C} E{ G} VMA{ D} - : RESET{ B} RW{ G}AMIGA_BUS_ENABLE{ D} - :AMIGA_BUS_ENABLE_LOW{ C}inst_AS_030_000_SYNC{ C}inst_BGACK_030_INT_D{ D} - : inst_VPA_D{ C}inst_CLK_OUT_PRE_50_D{ H} CLK_CNT_N_0_{ E} - :inst_CLK_OUT_PRE_50{ H}inst_CLK_OUT_PRE_25{ A}inst_CLK_000_D1{ E} - :inst_CLK_000_D2{ G}inst_CLK_000_D3{ A}inst_CLK_000_D0{ F} - :inst_CLK_000_NE{ C}inst_CLK_OUT_PRE_D{ H}inst_CLK_OUT_PRE{ E} - :CLK_000_P_SYNC_9_{ G}CLK_000_N_SYNC_11_{ A} SM_AMIGA_7_{ F} - : SM_AMIGA_6_{ D} SM_AMIGA_1_{ F} SM_AMIGA_0_{ D} - : SM_AMIGA_4_{ B}CLK_000_N_SYNC_6_{ C} inst_CLK_030_H{ A} - : CLK_CNT_P_1_{ C} CLK_CNT_N_1_{ E} CLK_CNT_P_0_{ E} - :inst_LDS_000_INT{ G}inst_DS_000_ENABLE{ B}inst_UDS_000_INT{ B} - :CLK_000_P_SYNC_0_{ A}CLK_000_P_SYNC_1_{ B}CLK_000_P_SYNC_2_{ B} - :CLK_000_P_SYNC_3_{ A}CLK_000_P_SYNC_4_{ E}CLK_000_P_SYNC_5_{ C} - :CLK_000_P_SYNC_6_{ C}CLK_000_P_SYNC_7_{ F}CLK_000_P_SYNC_8_{ A} - :CLK_000_N_SYNC_0_{ A}CLK_000_N_SYNC_1_{ B}CLK_000_N_SYNC_2_{ F} - :CLK_000_N_SYNC_3_{ A}CLK_000_N_SYNC_4_{ G}CLK_000_N_SYNC_5_{ C} - :CLK_000_N_SYNC_7_{ H}CLK_000_N_SYNC_8_{ C}CLK_000_N_SYNC_9_{ F} - :CLK_000_N_SYNC_10_{ E} SM_AMIGA_5_{ F} SM_AMIGA_3_{ F} - : SM_AMIGA_2_{ F} cpu_est_0_{ D} cpu_est_1_{ G} - : cpu_est_2_{ G} - SIZE_1_{ I}:inst_LDS_000_INT{ G} -RN_IPL_030_2_{ C}: IPL_030_2_{ B} - AS_030{ I}: FPU_CS{ H} CIIN{ E} AS_000{ D} - : BG_000{ D} DSACK1{ H}AMIGA_BUS_ENABLE{ D} - :inst_AS_030_000_SYNC{ C}inst_DS_000_ENABLE{ B} un16_ciin{ E} - RN_AS_030{ I}: DTACK{ D} SIZE_1_{ H} AS_030{ H} - : SIZE_0_{ G} DS_030{ A} A0{ G} - : inst_CLK_030_H{ A} - AS_000{ E}:AMIGA_BUS_DATA_DIR{ E} SIZE_1_{ H} AS_030{ H} - : SIZE_0_{ G} DS_030{ A} A0{ G} - : RW{ G} inst_CLK_030_H{ A} - RN_AS_000{ E}: AS_000{ D} VMA{ D} - RW_000{ I}: DS_030{ A} RW{ G} - RN_RW_000{ I}: RW_000{ H} - SIZE_0_{ H}:inst_LDS_000_INT{ G} - DS_030{ B}:inst_LDS_000_INT{ G}inst_UDS_000_INT{ B} - RN_DS_030{ B}: DS_030{ A} - A0{ H}:inst_LDS_000_INT{ G}inst_UDS_000_INT{ B} - RN_BG_000{ E}: BG_000{ D} -RN_BGACK_030{ I}: UDS_000{ D} LDS_000{ D} DTACK{ D} - :AMIGA_BUS_DATA_DIR{ E} SIZE_1_{ H} AS_030{ H} - : AS_000{ D} RW_000{ H} SIZE_0_{ G} - : DS_030{ A} A0{ G} BGACK_030{ H} - : RW{ G}AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ C} - :inst_BGACK_030_INT_D{ D} inst_CLK_030_H{ A} -RN_IPL_030_1_{ C}: IPL_030_1_{ B} - DSACK1{ I}: DTACK{ D} - RN_DSACK1{ I}: DSACK1{ H} -RN_IPL_030_0_{ C}: IPL_030_0_{ B} -RN_AVEC_EXP{ D}: IPL_030_2_{ B} AS_000{ D} RW_000{ H} - : BGACK_030{ H} IPL_030_1_{ B} IPL_030_0_{ B} - : E{ G} VMA{ D} SM_AMIGA_7_{ F} - : SM_AMIGA_6_{ D} SM_AMIGA_1_{ F} SM_AMIGA_0_{ D} - : SM_AMIGA_4_{ B}inst_DS_000_ENABLE{ B} SM_AMIGA_5_{ F} - : SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} cpu_est_0_{ D} - : cpu_est_1_{ G} cpu_est_2_{ G} SM_AMIGA_7__0{ F} - RN_E{ H}: E{ G} VMA{ D} SM_AMIGA_7_{ F} - : SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} cpu_est_1_{ G} - : cpu_est_2_{ G} - RN_VMA{ E}: VMA{ D} SM_AMIGA_7_{ F} SM_AMIGA_3_{ F} - : SM_AMIGA_2_{ F} - RW{ H}:AMIGA_BUS_DATA_DIR{ E} RW_000{ H}inst_DS_000_ENABLE{ B} - RN_RW{ H}: RW{ G} -RN_AMIGA_BUS_ENABLE{ E}:AMIGA_BUS_ENABLE{ D} -RN_AMIGA_BUS_ENABLE_LOW{ D}:AMIGA_BUS_ENABLE_LOW{ C} -inst_AS_030_000_SYNC{ D}:AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ D} - : SM_AMIGA_7__0{ F} -inst_BGACK_030_INT_D{ E}:AMIGA_BUS_ENABLE{ D} - inst_VPA_D{ D}: VMA{ D} -inst_CLK_OUT_PRE_50_D{ I}:inst_CLK_OUT_PRE_25{ A} -CLK_CNT_N_0_{ F}: CLK_CNT_N_0_{ E} CLK_CNT_N_1_{ E}AMIGA_BUS_ENABLE_LOW_0{ E} -inst_CLK_OUT_PRE_50{ I}:inst_CLK_OUT_PRE_50_D{ H}inst_CLK_OUT_PRE_50{ H}inst_CLK_OUT_PRE_25{ A} -inst_CLK_OUT_PRE_25{ B}:inst_CLK_OUT_PRE_25{ A}inst_CLK_OUT_PRE{ E} -inst_CLK_000_D1{ F}:AMIGA_BUS_ENABLE{ D}inst_CLK_000_D2{ G} SM_AMIGA_6_{ D} - :CLK_000_P_SYNC_0_{ A}CLK_000_N_SYNC_0_{ A} SM_AMIGA_7__0{ F} -inst_CLK_000_D2{ H}:inst_CLK_000_D3{ A}CLK_000_P_SYNC_0_{ A}CLK_000_N_SYNC_0_{ A} -inst_CLK_000_D3{ B}:CLK_000_P_SYNC_0_{ A}CLK_000_N_SYNC_0_{ A} -inst_CLK_000_D0{ G}:AMIGA_BUS_ENABLE{ D}inst_CLK_000_D1{ E} SM_AMIGA_6_{ D} - :CLK_000_P_SYNC_0_{ A}CLK_000_N_SYNC_0_{ A} SM_AMIGA_7__0{ F} -inst_CLK_000_NE{ D}: VMA{ D}AMIGA_BUS_ENABLE{ D} SM_AMIGA_7_{ F} - : SM_AMIGA_1_{ F} SM_AMIGA_0_{ D} SM_AMIGA_4_{ B} - : SM_AMIGA_5_{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} -inst_CLK_OUT_PRE_D{ I}: CLK_DIV_OUT{ G} CLK_EXP{ B} -inst_CLK_OUT_PRE{ F}:inst_CLK_OUT_PRE_D{ H} -CLK_000_P_SYNC_9_{ H}: AVEC_EXP{ C} -CLK_000_N_SYNC_11_{ B}:inst_CLK_000_NE{ C} -SM_AMIGA_7_{ G}: RW_000{ H}AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ C} - : SM_AMIGA_6_{ D} -SM_AMIGA_6_{ E}: AS_000{ D} RW_000{ H} SM_AMIGA_7_{ F} - : SM_AMIGA_6_{ D}inst_DS_000_ENABLE{ B} SM_AMIGA_5_{ F} - : SM_AMIGA_7__0{ F} -SM_AMIGA_1_{ G}: DSACK1{ H}AMIGA_BUS_ENABLE{ D} SM_AMIGA_7_{ F} - : SM_AMIGA_1_{ F} SM_AMIGA_0_{ D} SM_AMIGA_7__0{ F} -SM_AMIGA_0_{ E}:AMIGA_BUS_ENABLE{ D} SM_AMIGA_7_{ F} SM_AMIGA_0_{ D} - : SM_AMIGA_7__0{ F} -SM_AMIGA_4_{ C}: SM_AMIGA_7_{ F} SM_AMIGA_4_{ B}inst_DS_000_ENABLE{ B} - : SM_AMIGA_3_{ F} SM_AMIGA_7__0{ F} -CLK_000_N_SYNC_6_{ D}: DSACK1{ H}CLK_000_N_SYNC_7_{ H} -inst_CLK_030_H{ B}: DS_030{ A} inst_CLK_030_H{ A} -CLK_CNT_P_1_{ D}: CLK_CNT_P_0_{ E}AMIGA_BUS_ENABLE_LOW_0{ E} -CLK_CNT_N_1_{ F}: CLK_CNT_N_0_{ E}AMIGA_BUS_ENABLE_LOW_0{ E} -CLK_CNT_P_0_{ F}: CLK_CNT_P_1_{ C} CLK_CNT_P_0_{ E}AMIGA_BUS_ENABLE_LOW_0{ E} -inst_LDS_000_INT{ H}: LDS_000{ D}inst_LDS_000_INT{ G} -inst_DS_000_ENABLE{ C}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ B} -inst_UDS_000_INT{ C}: UDS_000{ D}inst_UDS_000_INT{ B} -CLK_000_P_SYNC_0_{ B}:CLK_000_P_SYNC_1_{ B} -CLK_000_P_SYNC_1_{ C}:CLK_000_P_SYNC_2_{ B} -CLK_000_P_SYNC_2_{ C}:CLK_000_P_SYNC_3_{ A} -CLK_000_P_SYNC_3_{ B}:CLK_000_P_SYNC_4_{ E} -CLK_000_P_SYNC_4_{ F}:CLK_000_P_SYNC_5_{ C} -CLK_000_P_SYNC_5_{ D}:CLK_000_P_SYNC_6_{ C} -CLK_000_P_SYNC_6_{ D}:CLK_000_P_SYNC_7_{ F} -CLK_000_P_SYNC_7_{ G}:CLK_000_P_SYNC_8_{ A} -CLK_000_P_SYNC_8_{ B}:CLK_000_P_SYNC_9_{ G} -CLK_000_N_SYNC_0_{ B}:CLK_000_N_SYNC_1_{ B} -CLK_000_N_SYNC_1_{ C}:CLK_000_N_SYNC_2_{ F} -CLK_000_N_SYNC_2_{ G}:CLK_000_N_SYNC_3_{ A} -CLK_000_N_SYNC_3_{ B}:CLK_000_N_SYNC_4_{ G} -CLK_000_N_SYNC_4_{ H}:CLK_000_N_SYNC_5_{ C} -CLK_000_N_SYNC_5_{ D}:CLK_000_N_SYNC_6_{ C} -CLK_000_N_SYNC_7_{ I}:CLK_000_N_SYNC_8_{ C} -CLK_000_N_SYNC_8_{ D}:CLK_000_N_SYNC_9_{ F} -CLK_000_N_SYNC_9_{ G}:CLK_000_N_SYNC_10_{ E} -CLK_000_N_SYNC_10_{ F}:CLK_000_N_SYNC_11_{ A} -SM_AMIGA_5_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_4_{ B} SM_AMIGA_5_{ F} - : SM_AMIGA_7__0{ F} -SM_AMIGA_3_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - : SM_AMIGA_7__0{ F} -SM_AMIGA_2_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_1_{ F} SM_AMIGA_2_{ F} - : SM_AMIGA_7__0{ F} - un16_ciin{ F}: CIIN{ E} - cpu_est_0_{ E}: E{ G} VMA{ D} cpu_est_0_{ D} - : cpu_est_1_{ G} cpu_est_2_{ G} - cpu_est_1_{ H}: E{ G} VMA{ D} SM_AMIGA_7_{ F} - : SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} cpu_est_1_{ G} - : cpu_est_2_{ G} - cpu_est_2_{ H}: E{ G} VMA{ D} cpu_est_1_{ G} - : cpu_est_2_{ G} -AMIGA_BUS_ENABLE_LOW_0{ F}:AMIGA_BUS_ENABLE_LOW{ C} -SM_AMIGA_7__0{ G}: SM_AMIGA_7_{ F} ------------------------------------------------------------------------------ - - {.} : Indicates block location of signal - - -Set_Reset_Summary -~~~~~~~~~~~~~~~~~ - -Block A -block level set pt : !RST -block level reset pt : -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | DS_030 -| | | | | AVEC -| * | S | BR | BS | inst_CLK_OUT_PRE_25 -| * | S | BS | BR | RN_DS_030 -| * | S | BR | BR | inst_CLK_030_H -| * | S | BR | BS | CLK_000_N_SYNC_3_ -| * | S | BR | BS | CLK_000_N_SYNC_0_ -| * | S | BR | BS | CLK_000_P_SYNC_8_ -| * | S | BR | BS | CLK_000_P_SYNC_3_ -| * | S | BR | BS | CLK_000_P_SYNC_0_ -| * | S | BR | BS | CLK_000_N_SYNC_11_ -| * | S | BS | BR | inst_CLK_000_D3 -| | | | | A_19_ -| | | | | A_16_ -| | | | | A_18_ -| | | | | A_21_ -| | | | | A_20_ - - -Block B -block level set pt : !RST -block level reset pt : -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | IPL_030_2_ -| * | S | BS | BR | IPL_030_0_ -| * | S | BS | BR | IPL_030_1_ -| * | S | BR | BS | CLK_EXP -| * | S | BR | BS | RESET -| * | S | BR | BS | inst_DS_000_ENABLE -| * | S | BS | BR | inst_UDS_000_INT -| * | S | BR | BS | SM_AMIGA_4_ -| * | S | BS | BR | RN_IPL_030_0_ -| * | S | BS | BR | RN_IPL_030_1_ -| * | S | BS | BR | RN_IPL_030_2_ -| * | S | BR | BS | CLK_000_N_SYNC_1_ -| * | S | BR | BS | CLK_000_P_SYNC_2_ -| * | S | BR | BS | CLK_000_P_SYNC_1_ -| | | | | A_29_ -| | | | | A_30_ -| | | | | A_31_ - - -Block C -block level set pt : -block level reset pt : !RST -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | AVEC_EXP -| * | A | | | AMIGA_BUS_ENABLE_LOW -| * | S | BS | BR | RN_AVEC_EXP -| * | S | BR | BS | inst_AS_030_000_SYNC -| * | S | BS | BR | inst_CLK_000_NE -| * | A | | | RN_AMIGA_BUS_ENABLE_LOW -| * | S | BS | BR | CLK_000_N_SYNC_8_ -| * | S | BS | BR | CLK_000_N_SYNC_5_ -| * | S | BS | BR | CLK_000_P_SYNC_6_ -| * | S | BS | BR | CLK_000_P_SYNC_5_ -| * | S | BS | BR | CLK_CNT_P_1_ -| * | S | BS | BR | CLK_000_N_SYNC_6_ -| * | S | BR | BS | inst_VPA_D -| | | | | BG_030 -| | | | | A_24_ -| | | | | A_25_ -| | | | | A_26_ -| | | | | A_27_ -| | | | | A_28_ - - -Block D -block level set pt : !RST -block level reset pt : -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | AS_000 -| | | | | UDS_000 -| | | | | LDS_000 -| | | | | DTACK -| * | S | BS | BR | AMIGA_BUS_ENABLE -| * | S | BS | BR | VMA -| * | S | BS | BR | BG_000 -| * | S | BR | BS | SM_AMIGA_6_ -| * | S | BS | BR | RN_VMA -| * | S | BR | BS | cpu_est_0_ -| * | S | BR | BS | SM_AMIGA_0_ -| * | S | BS | BR | RN_AMIGA_BUS_ENABLE -| * | S | BS | BR | RN_BG_000 -| * | S | BS | BR | RN_AS_000 -| * | S | BS | BR | inst_BGACK_030_INT_D -| | | | | BGACK_000 - - -Block E -block level set pt : -block level reset pt : !RST -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| | | | | AMIGA_BUS_DATA_DIR -| | | | | CIIN -| * | S | BR | BS | inst_CLK_000_D1 -| * | S | BS | BR | CLK_CNT_P_0_ -| | | | | un16_ciin -| | | | | AMIGA_BUS_ENABLE_LOW_0 -| * | S | BS | BR | CLK_CNT_N_0_ -| * | S | BS | BR | CLK_000_N_SYNC_10_ -| * | S | BS | BR | CLK_000_P_SYNC_4_ -| * | S | BR | BS | CLK_CNT_N_1_ -| * | S | BS | BR | inst_CLK_OUT_PRE -| | | | | BERR - - -Block F -block level set pt : !RST -block level reset pt : -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | inst_CLK_000_D0 -| * | S | BS | BR | SM_AMIGA_7_ -| * | S | BR | BS | SM_AMIGA_1_ -| * | S | BR | BS | SM_AMIGA_5_ -| * | S | BR | BS | SM_AMIGA_3_ -| | | | | SM_AMIGA_7__0 -| * | S | BR | BS | SM_AMIGA_2_ -| * | S | BR | BS | CLK_000_N_SYNC_9_ -| * | S | BR | BS | CLK_000_N_SYNC_2_ -| * | S | BR | BS | CLK_000_P_SYNC_7_ -| | | | | A_17_ -| | | | | FC_1_ -| | | | | FC_0_ -| | | | | IPL_1_ - - -Block G -block level set pt : !RST -block level reset pt : -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | RW -| * | S | BS | BR | A0 -| * | S | BS | BR | SIZE_0_ -| * | S | BR | BS | E -| * | S | BR | BS | CLK_DIV_OUT -| * | S | BR | BS | cpu_est_1_ -| * | S | BR | BS | RN_E -| * | S | BR | BS | cpu_est_2_ -| * | S | BS | BR | inst_LDS_000_INT -| * | S | BS | BR | RN_RW -| * | S | BR | BS | CLK_000_N_SYNC_4_ -| * | S | BR | BS | CLK_000_P_SYNC_9_ -| * | S | BS | BR | inst_CLK_000_D2 -| | | | | IPL_2_ -| | | | | IPL_0_ - - -Block H -block level set pt : !RST -block level reset pt : -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | AS_030 -| * | S | BS | BR | RW_000 -| * | S | BS | BR | DSACK1 -| * | S | BS | BR | SIZE_1_ -| * | S | BS | BR | BGACK_030 -| | | | | FPU_CS -| * | S | BS | BR | RN_BGACK_030 -| * | S | BS | BR | RN_AS_030 -| * | S | BR | BS | inst_CLK_OUT_PRE_D -| * | S | BR | BS | inst_CLK_OUT_PRE_50 -| * | S | BS | BR | RN_RW_000 -| * | S | BS | BR | RN_DSACK1 -| * | S | BR | BS | CLK_000_N_SYNC_7_ -| * | S | BR | BS | inst_CLK_OUT_PRE_50_D -| | | | | A_22_ -| | | | | A_23_ - - - (S) means the macrocell is configured in synchronous mode - i.e. it uses the block-level set and reset pt. - (A) means the macrocell is configured in asynchronous mode - i.e. it can have its independant set or reset pt. - (BS) means the block-level set pt is selected. - (BR) means the block-level reset pt is selected. - - - - -BLOCK_A_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx A0 RST pin 86 mx A17 ... ... -mx A1CLK_000_P_SYNC_7_ mcell F6 mx A18inst_CLK_OUT_PRE_25 mcell A8 -mx A2CLK_000_P_SYNC_2_ mcell B6 mx A19inst_CLK_OUT_PRE_50 mcell H13 -mx A3 RN_AS_030 mcell H8 mx A20 RN_BGACK_030 mcell H4 -mx A4 CLK_030 pin 64 mx A21 RW_000 pin 80 -mx A5 nEXP_SPACE pin 14 mx A22 inst_CLK_000_D2 mcell G10 -mx A6 inst_CLK_000_D1 mcell E4 mx A23 AS_000 pin 33 -mx A7inst_CLK_OUT_PRE_50_D mcell H6 mx A24 LDS_000 pin 31 -mx A8 inst_CLK_000_D3 mcell A10 mx A25 inst_CLK_000_D0 mcell F0 -mx A9CLK_000_N_SYNC_2_ mcell F2 mx A26 ... ... -mx A10 ... ... mx A27 ... ... -mx A11 ... ... mx A28 ... ... -mx A12 UDS_000 pin 32 mx A29 RN_DS_030 mcell A0 -mx A13CLK_000_N_SYNC_10_ mcell E13 mx A30 ... ... -mx A14 ... ... mx A31 ... ... -mx A15 inst_CLK_030_H mcell A12 mx A32 ... ... -mx A16 ... ... ----------------------------------------------------------------------------- - - -BLOCK_B_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx B0 IPL_0_ pin 67 mx B17 RN_IPL_030_0_ mcell B8 -mx B1 SM_AMIGA_5_ mcell F12 mx B18CLK_000_N_SYNC_0_ mcell A5 -mx B2CLK_000_P_SYNC_1_ mcell B10 mx B19 AS_030 pin 82 -mx B3 IPL_1_ pin 56 mx B20 ... ... -mx B4 IPL_2_ pin 68 mx B21 RST pin 86 -mx B5 DS_030 pin 98 mx B22CLK_000_P_SYNC_0_ mcell A2 -mx B6inst_UDS_000_INT mcell B9 mx B23 ... ... -mx B7 SM_AMIGA_6_ mcell D9 mx B24 ... ... -mx B8 RW pin 71 mx B25 BERR pin 41 -mx B9 RN_AVEC_EXP mcell C0 mx B26 ... ... -mx B10 SM_AMIGA_4_ mcell B13 mx B27 RN_IPL_030_2_ mcell B4 -mx B11inst_CLK_OUT_PRE_D mcell H9 mx B28inst_DS_000_ENABLE mcell B5 -mx B12 RN_IPL_030_1_ mcell B12 mx B29 ... ... -mx B13 ... ... mx B30 ... ... -mx B14 ... ... mx B31 ... ... -mx B15 A0 pin 69 mx B32 ... ... -mx B16 inst_CLK_000_NE mcell C8 ----------------------------------------------------------------------------- - - -BLOCK_C_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx C0 RST pin 86 mx C17 A_18_ pin 95 -mx C1 FC_1_ pin 58 mx C18 BGACK_000 pin 28 -mx C2 ... ... mx C19 ... ... -mx C3CLK_000_P_SYNC_4_ mcell E2 mx C20 RN_BGACK_030 mcell H4 -mx C4RN_AMIGA_BUS_ENABLE_LOW mcell C12 mx C21 ... ... -mx C5 nEXP_SPACE pin 14 mx C22 ... ... -mx C6 FC_0_ pin 57 mx C23CLK_000_P_SYNC_9_ mcell G6 -mx C7CLK_000_N_SYNC_5_ mcell C5 mx C24 ... ... -mx C8 A_17_ pin 59 mx C25 BERR pin 41 -mx C9 AS_030 pin 82 mx C26AMIGA_BUS_ENABLE_LOW_0 mcell E5 -mx C10 SM_AMIGA_7_ mcell F4 mx C27 ... ... -mx C11 A_16_ pin 96 mx C28 ... ... -mx C12 A_19_ pin 97 mx C29inst_AS_030_000_SYNC mcell C4 -mx C13 VPA pin 36 mx C30CLK_000_P_SYNC_5_ mcell C13 -mx C14CLK_000_N_SYNC_7_ mcell H2 mx C31CLK_000_N_SYNC_4_ mcell G2 -mx C15CLK_000_N_SYNC_11_ mcell A6 mx C32 ... ... -mx C16 CLK_CNT_P_0_ mcell E8 ----------------------------------------------------------------------------- - - -BLOCK_D_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx D0 RST pin 86 mx D17 DSACK1 pin 81 -mx D1 BERR pin 41 mx D18 ... ... -mx D2 RN_E mcell G4 mx D19 AS_030 pin 82 -mx D3 cpu_est_1_ mcell G5 mx D20inst_BGACK_030_INT_D mcell D10 -mx D4 BG_030 pin 21 mx D21 RN_BG_000 mcell D13 -mx D5 inst_CLK_000_D0 mcell F0 mx D22 inst_CLK_000_D1 mcell E4 -mx D6inst_AS_030_000_SYNC mcell C4 mx D23 RN_BGACK_030 mcell H4 -mx D7 inst_CLK_000_NE mcell C8 mx D24 CLK_000 pin 11 -mx D8 inst_VPA_D mcell C10 mx D25 SM_AMIGA_6_ mcell D9 -mx D9 RN_AVEC_EXP mcell C0 mx D26 ... ... -mx D10 cpu_est_2_ mcell G9 mx D27 RN_VMA mcell D1 -mx D11RN_AMIGA_BUS_ENABLE mcell D5 mx D28 cpu_est_0_ mcell D2 -mx D12inst_LDS_000_INT mcell G13 mx D29 SM_AMIGA_7_ mcell F4 -mx D13 RN_AS_030 mcell H8 mx D30 SM_AMIGA_0_ mcell D6 -mx D14 RN_AS_000 mcell D4 mx D31inst_DS_000_ENABLE mcell B5 -mx D15 nEXP_SPACE pin 14 mx D32 SM_AMIGA_1_ mcell F8 -mx D16inst_UDS_000_INT mcell B9 ----------------------------------------------------------------------------- - - -BLOCK_E_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx E0 CLK_CNT_N_1_ mcell E6 mx E17 A_26_ pin 17 -mx E1 A_31_ pin 4 mx E18 A_22_ pin 85 -mx E2 CLK_CNT_N_0_ mcell E9 mx E19 A_30_ pin 5 -mx E3inst_CLK_OUT_PRE_25 mcell A8 mx E20 RN_BGACK_030 mcell H4 -mx E4 A_29_ pin 6 mx E21 RST pin 86 -mx E5 nEXP_SPACE pin 14 mx E22 CLK_CNT_P_1_ mcell C2 -mx E6CLK_000_N_SYNC_9_ mcell F13 mx E23 AS_000 pin 33 -mx E7 A_28_ pin 15 mx E24 ... ... -mx E8 RW pin 71 mx E25CLK_000_P_SYNC_3_ mcell A13 -mx E9 A_23_ pin 84 mx E26 ... ... -mx E10 ... ... mx E27 ... ... -mx E11 A_27_ pin 16 mx E28 ... ... -mx E12 A_25_ pin 18 mx E29 A_20_ pin 93 -mx E13 un16_ciin mcell E1 mx E30 ... ... -mx E14 A_24_ pin 19 mx E31 inst_CLK_000_D0 mcell F0 -mx E15 A_21_ pin 94 mx E32 AS_030 pin 82 -mx E16 CLK_CNT_P_0_ mcell E8 ----------------------------------------------------------------------------- - - -BLOCK_F_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx F0 RST pin 86 mx F17 SM_AMIGA_5_ mcell F12 -mx F1 BERR pin 41 mx F18CLK_000_N_SYNC_1_ mcell B2 -mx F2 RN_VMA mcell D1 mx F19 ... ... -mx F3 cpu_est_1_ mcell G5 mx F20 SM_AMIGA_1_ mcell F8 -mx F4 SM_AMIGA_0_ mcell D6 mx F21 SM_AMIGA_4_ mcell B13 -mx F5 nEXP_SPACE pin 14 mx F22CLK_000_N_SYNC_8_ mcell C1 -mx F6inst_AS_030_000_SYNC mcell C4 mx F23 ... ... -mx F7 inst_CLK_000_NE mcell C8 mx F24 ... ... -mx F8 ... ... mx F25 inst_CLK_000_D0 mcell F0 -mx F9 DTACK pin 30 mx F26 ... ... -mx F10 VPA pin 36 mx F27 SM_AMIGA_7__0 mcell F5 -mx F11 RN_E mcell G4 mx F28 ... ... -mx F12 SM_AMIGA_6_ mcell D9 mx F29 inst_CLK_000_D1 mcell E4 -mx F13CLK_000_P_SYNC_6_ mcell C9 mx F30 ... ... -mx F14 CLK_000 pin 11 mx F31 ... ... -mx F15 SM_AMIGA_3_ mcell F1 mx F32 SM_AMIGA_2_ mcell F9 -mx F16 RN_AVEC_EXP mcell C0 ----------------------------------------------------------------------------- - - -BLOCK_G_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx G0 RST pin 86 mx G17 RN_RW mcell G0 -mx G1 ... ... mx G18 DS_030 pin 98 -mx G2CLK_000_P_SYNC_8_ mcell A9 mx G19 ... ... -mx G3 cpu_est_0_ mcell D2 mx G20 RN_BGACK_030 mcell H4 -mx G4 CLK_030 pin 64 mx G21 RN_E mcell G4 -mx G5 nEXP_SPACE pin 14 mx G22 cpu_est_1_ mcell G5 -mx G6 inst_CLK_000_D1 mcell E4 mx G23 AS_000 pin 33 -mx G7 ... ... mx G24 LDS_000 pin 31 -mx G8 UDS_000 pin 32 mx G25 ... ... -mx G9CLK_000_N_SYNC_3_ mcell A1 mx G26 ... ... -mx G10 cpu_est_2_ mcell G9 mx G27 SIZE_1_ pin 79 -mx G11inst_CLK_OUT_PRE_D mcell H9 mx G28 RW_000 pin 80 -mx G12inst_LDS_000_INT mcell G13 mx G29 ... ... -mx G13 RN_AS_030 mcell H8 mx G30 ... ... -mx G14 SIZE_0_ pin 70 mx G31 ... ... -mx G15 A0 pin 69 mx G32 ... ... -mx G16 RN_AVEC_EXP mcell C0 ----------------------------------------------------------------------------- - - -BLOCK_H_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx H0 RN_BGACK_030 mcell H4 mx H17 FC_0_ pin 57 -mx H1 BERR pin 41 mx H18 BGACK_000 pin 28 -mx H2 SM_AMIGA_1_ mcell F8 mx H19 AS_030 pin 82 -mx H3inst_CLK_OUT_PRE mcell E10 mx H20 FC_1_ pin 58 -mx H4 A_18_ pin 95 mx H21 RST pin 86 -mx H5 nEXP_SPACE pin 14 mx H22 ... ... -mx H6 A_19_ pin 97 mx H23 RN_RW_000 mcell H0 -mx H7inst_CLK_OUT_PRE_50 mcell H13 mx H24 LDS_000 pin 31 -mx H8 RW pin 71 mx H25 SM_AMIGA_6_ mcell D9 -mx H9CLK_000_N_SYNC_6_ mcell C6 mx H26 AS_000 pin 33 -mx H10 SM_AMIGA_7_ mcell F4 mx H27 A_17_ pin 59 -mx H11 A_16_ pin 96 mx H28 CLK_030 pin 64 -mx H12 UDS_000 pin 32 mx H29 ... ... -mx H13 RN_AS_030 mcell H8 mx H30 ... ... -mx H14 ... ... mx H31 ... ... -mx H15 RN_DSACK1 mcell H12 mx H32 ... ... -mx H16 RN_AVEC_EXP mcell C0 ----------------------------------------------------------------------------- - - CSM indicates the mux inputs from the Central Switch Matrix. - Source indicates where the signal comes from (pin or macrocell). - - - - -PostFit_Equations -~~~~~~~~~~~~~~~~~ - - - P-Terms Fan-in Fan-out Type Name (attributes) ---------- ------ ------- ---- ----------------- - 1 2 1 Pin UDS_000- - 1 1 1 Pin UDS_000.OE - 1 2 1 Pin LDS_000- - 1 1 1 Pin LDS_000.OE - 1 1 1 Pin CLK_DIV_OUT.AR - 1 1 1 Pin CLK_DIV_OUT.D - 1 1 1 Pin CLK_DIV_OUT.C - 1 8 1 Pin FPU_CS- - 1 1 1 Pin DTACK - 1 3 1 Pin DTACK.OE - 1 0 1 Pin AVEC - 2 4 1 Pin AMIGA_BUS_DATA_DIR - 1 13 1 Pin CIIN - 1 1 1 Pin CIIN.OE - 1 3 1 Pin SIZE_1_.OE - 2 4 1 Pin SIZE_1_.D- - 1 1 1 Pin SIZE_1_.AP - 1 1 1 Pin SIZE_1_.C - 2 3 1 Pin IPL_030_2_.D - 1 1 1 Pin IPL_030_2_.AP - 1 1 1 Pin IPL_030_2_.C - 1 3 1 Pin AS_030.OE - 4 6 1 Pin AS_030.D - 1 1 1 Pin AS_030.AP - 1 1 1 Pin AS_030.C - 1 1 1 Pin AS_000.OE - 2 5 1 Pin AS_000.D- - 1 1 1 Pin AS_000.AP - 1 1 1 Pin AS_000.C - 1 1 1 Pin RW_000.OE - 3 5 1 Pin RW_000.D- - 1 1 1 Pin RW_000.AP - 1 1 1 Pin RW_000.C - 1 3 1 Pin SIZE_0_.OE - 1 4 1 Pin SIZE_0_.D- - 1 1 1 Pin SIZE_0_.AP - 1 1 1 Pin SIZE_0_.C - 1 3 1 Pin DS_030.OE - 7 9 1 Pin DS_030.D - 1 1 1 Pin DS_030.AP - 1 1 1 Pin DS_030.C - 1 3 1 Pin A0.OE - 1 4 1 Pin A0.D - 1 1 1 Pin A0.AP - 1 1 1 Pin A0.C - 2 5 1 Pin BG_000.D- - 1 1 1 Pin BG_000.AP - 1 1 1 Pin BG_000.C - 2 3 1 Pin BGACK_030.D - 1 1 1 Pin BGACK_030.AP - 1 1 1 Pin BGACK_030.C - 1 1 1 Pin CLK_EXP.AR - 1 1 1 Pin CLK_EXP.D - 1 1 1 Pin CLK_EXP.C - 2 3 1 Pin IPL_030_1_.D - 1 1 1 Pin IPL_030_1_.AP - 1 1 1 Pin IPL_030_1_.C - 1 1 1 Pin DSACK1.OE - 2 5 1 Pin DSACK1.D- - 1 1 1 Pin DSACK1.AP - 1 1 1 Pin DSACK1.C - 2 3 1 Pin IPL_030_0_.D - 1 1 1 Pin IPL_030_0_.AP - 1 1 1 Pin IPL_030_0_.C - 1 1 1 Pin AVEC_EXP.AR - 1 1 1 Pin AVEC_EXP.D - 1 1 1 Pin AVEC_EXP.C - 1 1 1 Pin E.AR - 4 5 1 Pin E.D- - 1 1 1 Pin E.C - 2 7 1 PinX1 VMA.D.X1 - 1 5 1 PinX2 VMA.D.X2 - 1 1 1 Pin VMA.AP - 1 1 1 Pin VMA.C - 1 1 1 Pin RESET.AR - 1 0 1 Pin RESET.D - 1 1 1 Pin RESET.C - 1 1 1 Pin RW.OE - 4 7 1 Pin RW.D- - 1 1 1 Pin RW.AP - 1 1 1 Pin RW.C - 6 12 1 Pin AMIGA_BUS_ENABLE.D- - 1 1 1 Pin AMIGA_BUS_ENABLE.AP - 1 1 1 Pin AMIGA_BUS_ENABLE.C - 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.AR - 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.D - 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.C - 6 13 1 Node inst_AS_030_000_SYNC.D - 1 1 1 Node inst_AS_030_000_SYNC.AP - 1 1 1 Node inst_AS_030_000_SYNC.C - 1 1 1 Node inst_BGACK_030_INT_D.D - 1 1 1 Node inst_BGACK_030_INT_D.AP - 1 1 1 Node inst_BGACK_030_INT_D.C - 1 1 1 Node inst_VPA_D.D - 1 1 1 Node inst_VPA_D.AP - 1 1 1 Node inst_VPA_D.C - 1 1 1 Node inst_CLK_OUT_PRE_50_D.AR - 1 1 1 Node inst_CLK_OUT_PRE_50_D.D - 1 1 1 Node inst_CLK_OUT_PRE_50_D.C - 1 1 1 Node CLK_CNT_N_0_.AR - 2 2 1 Node CLK_CNT_N_0_.D - 1 1 1 Node CLK_CNT_N_0_.C - 1 1 1 Node inst_CLK_OUT_PRE_50.AR - 1 1 1 Node inst_CLK_OUT_PRE_50.D - 1 1 1 Node inst_CLK_OUT_PRE_50.C - 1 1 1 Node inst_CLK_OUT_PRE_25.AR - 3 3 1 Node inst_CLK_OUT_PRE_25.D - 1 1 1 Node inst_CLK_OUT_PRE_25.C - 1 1 1 Node inst_CLK_000_D1.D - 1 1 1 Node inst_CLK_000_D1.AP - 1 1 1 Node inst_CLK_000_D1.C - 1 1 1 Node inst_CLK_000_D2.D - 1 1 1 Node inst_CLK_000_D2.AP - 1 1 1 Node inst_CLK_000_D2.C - 1 1 1 Node inst_CLK_000_D3.D - 1 1 1 Node inst_CLK_000_D3.AP - 1 1 1 Node inst_CLK_000_D3.C - 1 1 1 Node inst_CLK_000_D0.D - 1 1 1 Node inst_CLK_000_D0.AP - 1 1 1 Node inst_CLK_000_D0.C - 1 1 1 Node inst_CLK_000_NE.AR - 1 1 1 Node inst_CLK_000_NE.D - 1 1 1 Node inst_CLK_000_NE.C - 1 1 1 Node inst_CLK_OUT_PRE_D.AR - 1 1 1 Node inst_CLK_OUT_PRE_D.D - 1 1 1 Node inst_CLK_OUT_PRE_D.C - 1 1 1 Node inst_CLK_OUT_PRE.AR - 1 1 1 Node inst_CLK_OUT_PRE.D - 1 1 1 Node inst_CLK_OUT_PRE.C - 1 1 1 Node CLK_000_P_SYNC_9_.AR - 1 1 1 Node CLK_000_P_SYNC_9_.D - 1 1 1 Node CLK_000_P_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_11_.AR - 1 1 1 Node CLK_000_N_SYNC_11_.D - 1 1 1 Node CLK_000_N_SYNC_11_.C - 13 17 1 Node SM_AMIGA_7_.D - 1 1 1 Node SM_AMIGA_7_.AP - 1 1 1 Node SM_AMIGA_7_.C - 1 1 1 Node SM_AMIGA_6_.AR - 2 8 1 Node SM_AMIGA_6_.D - 1 1 1 Node SM_AMIGA_6_.C - 1 1 1 Node SM_AMIGA_1_.AR - 2 5 1 Node SM_AMIGA_1_.D - 1 1 1 Node SM_AMIGA_1_.C - 1 1 1 Node SM_AMIGA_0_.AR - 2 5 1 Node SM_AMIGA_0_.D - 1 1 1 Node SM_AMIGA_0_.C - 1 1 1 Node SM_AMIGA_4_.AR - 2 5 1 Node SM_AMIGA_4_.D - 1 1 1 Node SM_AMIGA_4_.C - 1 1 1 Node CLK_000_N_SYNC_6_.AR - 1 1 1 Node CLK_000_N_SYNC_6_.D - 1 1 1 Node CLK_000_N_SYNC_6_.C - 5 8 1 Node inst_CLK_030_H.D - 1 1 1 Node inst_CLK_030_H.C - 1 1 1 Node CLK_CNT_P_1_.AR - 1 1 1 Node CLK_CNT_P_1_.D - 1 1 1 Node CLK_CNT_P_1_.C - 1 1 1 Node CLK_CNT_N_1_.D - 1 1 1 Node CLK_CNT_N_1_.AP - 1 1 1 Node CLK_CNT_N_1_.C - 1 1 1 Node CLK_CNT_P_0_.AR - 2 2 1 Node CLK_CNT_P_0_.D - 1 1 1 Node CLK_CNT_P_0_.C - 2 5 1 Node inst_LDS_000_INT.D - 1 1 1 Node inst_LDS_000_INT.AP - 1 1 1 Node inst_LDS_000_INT.C - 1 1 1 Node inst_DS_000_ENABLE.AR - 3 7 1 Node inst_DS_000_ENABLE.D - 1 1 1 Node inst_DS_000_ENABLE.C - 2 3 1 Node inst_UDS_000_INT.D - 1 1 1 Node inst_UDS_000_INT.AP - 1 1 1 Node inst_UDS_000_INT.C - 1 1 1 Node CLK_000_P_SYNC_0_.AR - 1 4 1 Node CLK_000_P_SYNC_0_.D - 1 1 1 Node CLK_000_P_SYNC_0_.C - 1 1 1 Node CLK_000_P_SYNC_1_.AR - 1 1 1 Node CLK_000_P_SYNC_1_.D - 1 1 1 Node CLK_000_P_SYNC_1_.C - 1 1 1 Node CLK_000_P_SYNC_2_.AR - 1 1 1 Node CLK_000_P_SYNC_2_.D - 1 1 1 Node CLK_000_P_SYNC_2_.C - 1 1 1 Node CLK_000_P_SYNC_3_.AR - 1 1 1 Node CLK_000_P_SYNC_3_.D - 1 1 1 Node CLK_000_P_SYNC_3_.C - 1 1 1 Node CLK_000_P_SYNC_4_.AR - 1 1 1 Node CLK_000_P_SYNC_4_.D - 1 1 1 Node CLK_000_P_SYNC_4_.C - 1 1 1 Node CLK_000_P_SYNC_5_.AR - 1 1 1 Node CLK_000_P_SYNC_5_.D - 1 1 1 Node CLK_000_P_SYNC_5_.C - 1 1 1 Node CLK_000_P_SYNC_6_.AR - 1 1 1 Node CLK_000_P_SYNC_6_.D - 1 1 1 Node CLK_000_P_SYNC_6_.C - 1 1 1 Node CLK_000_P_SYNC_7_.AR - 1 1 1 Node CLK_000_P_SYNC_7_.D - 1 1 1 Node CLK_000_P_SYNC_7_.C - 1 1 1 Node CLK_000_P_SYNC_8_.AR - 1 1 1 Node CLK_000_P_SYNC_8_.D - 1 1 1 Node CLK_000_P_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_0_.AR - 1 4 1 Node CLK_000_N_SYNC_0_.D - 1 1 1 Node CLK_000_N_SYNC_0_.C - 1 1 1 Node CLK_000_N_SYNC_1_.AR - 1 1 1 Node CLK_000_N_SYNC_1_.D - 1 1 1 Node CLK_000_N_SYNC_1_.C - 1 1 1 Node CLK_000_N_SYNC_2_.AR - 1 1 1 Node CLK_000_N_SYNC_2_.D - 1 1 1 Node CLK_000_N_SYNC_2_.C - 1 1 1 Node CLK_000_N_SYNC_3_.AR - 1 1 1 Node CLK_000_N_SYNC_3_.D - 1 1 1 Node CLK_000_N_SYNC_3_.C - 1 1 1 Node CLK_000_N_SYNC_4_.AR - 1 1 1 Node CLK_000_N_SYNC_4_.D - 1 1 1 Node CLK_000_N_SYNC_4_.C - 1 1 1 Node CLK_000_N_SYNC_5_.AR - 1 1 1 Node CLK_000_N_SYNC_5_.D - 1 1 1 Node CLK_000_N_SYNC_5_.C - 1 1 1 Node CLK_000_N_SYNC_7_.AR - 1 1 1 Node CLK_000_N_SYNC_7_.D - 1 1 1 Node CLK_000_N_SYNC_7_.C - 1 1 1 Node CLK_000_N_SYNC_8_.AR - 1 1 1 Node CLK_000_N_SYNC_8_.D - 1 1 1 Node CLK_000_N_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_9_.AR - 1 1 1 Node CLK_000_N_SYNC_9_.D - 1 1 1 Node CLK_000_N_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_10_.AR - 1 1 1 Node CLK_000_N_SYNC_10_.D - 1 1 1 Node CLK_000_N_SYNC_10_.C - 1 1 1 Node SM_AMIGA_5_.AR - 2 5 1 Node SM_AMIGA_5_.D - 1 1 1 Node SM_AMIGA_5_.C - 1 1 1 Node SM_AMIGA_3_.AR - 6 10 1 Node SM_AMIGA_3_.D - 1 1 1 Node SM_AMIGA_3_.C - 1 1 1 Node SM_AMIGA_2_.AR - 3 10 1 Node SM_AMIGA_2_.D - 1 1 1 Node SM_AMIGA_2_.C - 3 14 1 Node un16_ciin- - 1 1 1 Node cpu_est_0_.AR - 2 2 1 Node cpu_est_0_.D - 1 1 1 Node cpu_est_0_.C - 1 1 1 Node cpu_est_1_.AR - 5 5 1 Node cpu_est_1_.D - 1 1 1 Node cpu_est_1_.C - 1 1 1 Node cpu_est_2_.AR - 4 5 1 Node cpu_est_2_.D - 1 1 1 Node cpu_est_2_.C - 2 4 1 Node AMIGA_BUS_ENABLE_LOW_0 - 4 11 1 Node SM_AMIGA_7__0 -========= - 338 P-Term Total: 338 - Total Pins: 59 - Total Nodes: 57 - Average P-Term/Output: 2 - - -Equations: - -!UDS_000 = (inst_DS_000_ENABLE.Q & !inst_UDS_000_INT.Q); - -UDS_000.OE = (BGACK_030.Q); - -!LDS_000 = (!inst_LDS_000_INT.Q & inst_DS_000_ENABLE.Q); - -LDS_000.OE = (BGACK_030.Q); - -CLK_DIV_OUT.AR = (!RST); - -CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); - -CLK_DIV_OUT.C = (CLK_OSZI); - -!FPU_CS = (FC_1_ & BGACK_000 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); - -DTACK = (DSACK1.PIN); - -DTACK.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -AVEC = (1); - -AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW.PIN - # !nEXP_SPACE & !BGACK_030.Q & !AS_000.PIN & RW.PIN); - -CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN); - -CIIN.OE = (!un16_ciin); - -SIZE_1_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -!SIZE_1_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & LDS_000.PIN - # !BGACK_030.Q & !AS_000.PIN & UDS_000.PIN & !LDS_000.PIN); - -SIZE_1_.AP = (!RST); - -SIZE_1_.C = (CLK_OSZI); - -IPL_030_2_.D = (IPL_2_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_2_.Q); - -IPL_030_2_.AP = (!RST); - -IPL_030_2_.C = (CLK_OSZI); - -AS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -AS_030.D = (BGACK_030.Q - # AS_000.PIN - # !CLK_030 & AS_030.Q - # UDS_000.PIN & LDS_000.PIN); - -AS_030.AP = (!RST); - -AS_030.C = (CLK_OSZI); - -AS_000.OE = (BGACK_030.Q); - -!AS_000.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q - # BERR & !AS_000.Q & !AS_030.PIN); - -AS_000.AP = (!RST); - -AS_000.C = (CLK_OSZI); - -RW_000.OE = (BGACK_030.Q); - -!RW_000.D = (!AVEC_EXP.Q & !SM_AMIGA_7_.Q & !RW_000.Q - # !SM_AMIGA_7_.Q & !SM_AMIGA_6_.Q & !RW_000.Q - # AVEC_EXP.Q & !SM_AMIGA_7_.Q & SM_AMIGA_6_.Q & !RW.PIN); - -RW_000.AP = (!RST); - -RW_000.C = (CLK_OSZI); - -SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -!SIZE_0_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & !LDS_000.PIN); - -SIZE_0_.AP = (!RST); - -SIZE_0_.C = (CLK_OSZI); - -DS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -DS_030.D = (BGACK_030.Q - # AS_000.PIN - # AS_030.Q & RW_000.PIN - # UDS_000.PIN & LDS_000.PIN - # CLK_030 & AS_030.Q & inst_CLK_030_H.Q - # !CLK_030 & DS_030.Q & !RW_000.PIN - # !inst_CLK_030_H.Q & DS_030.Q & !RW_000.PIN); - -DS_030.AP = (!RST); - -DS_030.C = (CLK_OSZI); - -A0.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); - -A0.D = (!BGACK_030.Q & !AS_000.PIN & UDS_000.PIN & !LDS_000.PIN); - -A0.AP = (!RST); - -A0.C = (CLK_OSZI); - -!BG_000.D = (!BG_030 & !BG_000.Q - # nEXP_SPACE & !BG_030 & CLK_000 & AS_030.PIN); - -BG_000.AP = (!RST); - -BG_000.C = (CLK_OSZI); - -BGACK_030.D = (BGACK_000 & BGACK_030.Q - # BGACK_000 & AVEC_EXP.Q); - -BGACK_030.AP = (!RST); - -BGACK_030.C = (CLK_OSZI); - -CLK_EXP.AR = (!RST); - -CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); - -CLK_EXP.C = (CLK_OSZI); - -IPL_030_1_.D = (IPL_1_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_1_.Q); - -IPL_030_1_.AP = (!RST); - -IPL_030_1_.C = (CLK_OSZI); - -DSACK1.OE = (nEXP_SPACE); - -!DSACK1.D = (SM_AMIGA_1_.Q & CLK_000_N_SYNC_6_.Q - # BERR & !DSACK1.Q & !AS_030.PIN); - -DSACK1.AP = (!RST); - -DSACK1.C = (CLK_OSZI); - -IPL_030_0_.D = (IPL_0_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_0_.Q); - -IPL_030_0_.AP = (!RST); - -IPL_030_0_.C = (CLK_OSZI); - -AVEC_EXP.AR = (!RST); - -AVEC_EXP.D = (CLK_000_P_SYNC_9_.Q); - -AVEC_EXP.C = (CLK_OSZI); - -E.AR = (!RST); - -!E.D = (!AVEC_EXP.Q & !E.Q - # cpu_est_2_.Q & !E.Q - # AVEC_EXP.Q & cpu_est_1_.Q & cpu_est_2_.Q - # !cpu_est_0_.Q & cpu_est_1_.Q & !E.Q); - -E.C = (CLK_OSZI); - -VMA.D.X1 = (VMA.Q - # AVEC_EXP.Q & !VMA.Q & AS_000.Q & !cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & !E.Q); - -VMA.D.X2 = (VMA.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_0_.Q & !cpu_est_1_.Q); - -VMA.AP = (!RST); - -VMA.C = (CLK_OSZI); - -RESET.AR = (!RST); - -RESET.D = (1); - -RESET.C = (CLK_OSZI); - -RW.OE = (!BGACK_030.Q); - -!RW.D = (!CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !UDS_000.PIN - # CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !UDS_000.PIN - # !CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !LDS_000.PIN - # CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !LDS_000.PIN); - -RW.AP = (!RST); - -RW.C = (CLK_OSZI); - -!AMIGA_BUS_ENABLE.D = (!BGACK_030.Q - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_1_.Q & !AS_030.PIN - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_0_.Q & !AS_030.PIN - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !inst_CLK_000_NE.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_0_.Q - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q - # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_BGACK_030_INT_D.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); - -AMIGA_BUS_ENABLE.AP = (!RST); - -AMIGA_BUS_ENABLE.C = (CLK_OSZI); - -AMIGA_BUS_ENABLE_LOW.AR = (!RST); - -AMIGA_BUS_ENABLE_LOW.D = (!AMIGA_BUS_ENABLE_LOW.Q); - -AMIGA_BUS_ENABLE_LOW.C = (AMIGA_BUS_ENABLE_LOW_0); - -inst_AS_030_000_SYNC.D = (!BERR - # AS_030.PIN - # !nEXP_SPACE & inst_AS_030_000_SYNC.Q - # !BGACK_030.Q & inst_AS_030_000_SYNC.Q - # inst_AS_030_000_SYNC.Q & !SM_AMIGA_7_.Q - # FC_1_ & BGACK_000 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & inst_AS_030_000_SYNC.Q); - -inst_AS_030_000_SYNC.AP = (!RST); - -inst_AS_030_000_SYNC.C = (CLK_OSZI); - -inst_BGACK_030_INT_D.D = (BGACK_030.Q); - -inst_BGACK_030_INT_D.AP = (!RST); - -inst_BGACK_030_INT_D.C = (CLK_OSZI); - -inst_VPA_D.D = (VPA); - -inst_VPA_D.AP = (!RST); - -inst_VPA_D.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_50_D.AR = (!RST); - -inst_CLK_OUT_PRE_50_D.D = (inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_PRE_50_D.C = (CLK_OSZI); - -CLK_CNT_N_0_.AR = (!RST); - -CLK_CNT_N_0_.D = (CLK_CNT_N_0_.Q & CLK_CNT_N_1_.Q - # !CLK_CNT_N_0_.Q & !CLK_CNT_N_1_.Q); - -CLK_CNT_N_0_.C = (!CLK_OSZI); - -inst_CLK_OUT_PRE_50.AR = (!RST); - -inst_CLK_OUT_PRE_50.D = (!inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_PRE_50.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_25.AR = (!RST); - -inst_CLK_OUT_PRE_25.D = (inst_CLK_OUT_PRE_50_D.Q & inst_CLK_OUT_PRE_25.Q - # !inst_CLK_OUT_PRE_50.Q & inst_CLK_OUT_PRE_25.Q - # !inst_CLK_OUT_PRE_50_D.Q & inst_CLK_OUT_PRE_50.Q & !inst_CLK_OUT_PRE_25.Q); - -inst_CLK_OUT_PRE_25.C = (CLK_OSZI); - -inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); - -inst_CLK_000_D1.AP = (!RST); - -inst_CLK_000_D1.C = (CLK_OSZI); - -inst_CLK_000_D2.D = (inst_CLK_000_D1.Q); - -inst_CLK_000_D2.AP = (!RST); - -inst_CLK_000_D2.C = (CLK_OSZI); - -inst_CLK_000_D3.D = (inst_CLK_000_D2.Q); - -inst_CLK_000_D3.AP = (!RST); - -inst_CLK_000_D3.C = (CLK_OSZI); - -inst_CLK_000_D0.D = (CLK_000); - -inst_CLK_000_D0.AP = (!RST); - -inst_CLK_000_D0.C = (CLK_OSZI); - -inst_CLK_000_NE.AR = (!RST); - -inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q); - -inst_CLK_000_NE.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_D.AR = (!RST); - -inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE.Q); - -inst_CLK_OUT_PRE_D.C = (CLK_OSZI); - -inst_CLK_OUT_PRE.AR = (!RST); - -inst_CLK_OUT_PRE.D = (inst_CLK_OUT_PRE_25.Q); - -inst_CLK_OUT_PRE.C = (CLK_OSZI); - -CLK_000_P_SYNC_9_.AR = (!RST); - -CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q); - -CLK_000_P_SYNC_9_.C = (CLK_OSZI); - -CLK_000_N_SYNC_11_.AR = (!RST); - -CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q); - -CLK_000_N_SYNC_11_.C = (CLK_OSZI); - -SM_AMIGA_7_.D = (SM_AMIGA_7__0 - # !BERR & SM_AMIGA_0_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q - # !BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q - # !BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q - # !BERR & !VPA & SM_AMIGA_3_.Q & !E.Q - # !BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN - # !nEXP_SPACE & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); - -SM_AMIGA_7_.AP = (!RST); - -SM_AMIGA_7_.C = (CLK_OSZI); - -SM_AMIGA_6_.AR = (!RST); - -SM_AMIGA_6_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q - # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & SM_AMIGA_7_.Q); - -SM_AMIGA_6_.C = (CLK_OSZI); - -SM_AMIGA_1_.AR = (!RST); - -SM_AMIGA_1_.D = (AVEC_EXP.Q & SM_AMIGA_2_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q); - -SM_AMIGA_1_.C = (CLK_OSZI); - -SM_AMIGA_0_.AR = (!RST); - -SM_AMIGA_0_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_0_.Q - # inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); - -SM_AMIGA_0_.C = (CLK_OSZI); - -SM_AMIGA_4_.AR = (!RST); - -SM_AMIGA_4_.D = (inst_CLK_000_NE.Q & SM_AMIGA_5_.Q - # BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q); - -SM_AMIGA_4_.C = (CLK_OSZI); - -CLK_000_N_SYNC_6_.AR = (!RST); - -CLK_000_N_SYNC_6_.D = (CLK_000_N_SYNC_5_.Q); - -CLK_000_N_SYNC_6_.C = (CLK_OSZI); - -inst_CLK_030_H.D = (!RST & inst_CLK_030_H.Q - # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN - # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !UDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !LDS_000.PIN); - -inst_CLK_030_H.C = (CLK_OSZI); - -CLK_CNT_P_1_.AR = (!RST); - -CLK_CNT_P_1_.D = (CLK_CNT_P_0_.Q); - -CLK_CNT_P_1_.C = (CLK_OSZI); - -CLK_CNT_N_1_.D = (CLK_CNT_N_0_.Q); - -CLK_CNT_N_1_.AP = (!RST); - -CLK_CNT_N_1_.C = (!CLK_OSZI); - -CLK_CNT_P_0_.AR = (!RST); - -CLK_CNT_P_0_.D = (CLK_CNT_P_1_.Q & CLK_CNT_P_0_.Q - # !CLK_CNT_P_1_.Q & !CLK_CNT_P_0_.Q); - -CLK_CNT_P_0_.C = (CLK_OSZI); - -inst_LDS_000_INT.D = (inst_LDS_000_INT.Q & DS_030.PIN - # !DS_030.PIN & SIZE_0_.PIN & !SIZE_1_.PIN & !A0.PIN); - -inst_LDS_000_INT.AP = (!RST); - -inst_LDS_000_INT.C = (CLK_OSZI); - -inst_DS_000_ENABLE.AR = (!RST); - -inst_DS_000_ENABLE.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q - # BERR & inst_DS_000_ENABLE.Q & !AS_030.PIN - # AVEC_EXP.Q & SM_AMIGA_6_.Q & RW.PIN); - -inst_DS_000_ENABLE.C = (CLK_OSZI); - -inst_UDS_000_INT.D = (inst_UDS_000_INT.Q & DS_030.PIN - # !DS_030.PIN & A0.PIN); - -inst_UDS_000_INT.AP = (!RST); - -inst_UDS_000_INT.C = (CLK_OSZI); - -CLK_000_P_SYNC_0_.AR = (!RST); - -CLK_000_P_SYNC_0_.D = (!inst_CLK_000_D1.Q & !inst_CLK_000_D2.Q & !inst_CLK_000_D3.Q & inst_CLK_000_D0.Q); - -CLK_000_P_SYNC_0_.C = (CLK_OSZI); - -CLK_000_P_SYNC_1_.AR = (!RST); - -CLK_000_P_SYNC_1_.D = (CLK_000_P_SYNC_0_.Q); - -CLK_000_P_SYNC_1_.C = (CLK_OSZI); - -CLK_000_P_SYNC_2_.AR = (!RST); - -CLK_000_P_SYNC_2_.D = (CLK_000_P_SYNC_1_.Q); - -CLK_000_P_SYNC_2_.C = (CLK_OSZI); - -CLK_000_P_SYNC_3_.AR = (!RST); - -CLK_000_P_SYNC_3_.D = (CLK_000_P_SYNC_2_.Q); - -CLK_000_P_SYNC_3_.C = (CLK_OSZI); - -CLK_000_P_SYNC_4_.AR = (!RST); - -CLK_000_P_SYNC_4_.D = (CLK_000_P_SYNC_3_.Q); - -CLK_000_P_SYNC_4_.C = (CLK_OSZI); - -CLK_000_P_SYNC_5_.AR = (!RST); - -CLK_000_P_SYNC_5_.D = (CLK_000_P_SYNC_4_.Q); - -CLK_000_P_SYNC_5_.C = (CLK_OSZI); - -CLK_000_P_SYNC_6_.AR = (!RST); - -CLK_000_P_SYNC_6_.D = (CLK_000_P_SYNC_5_.Q); - -CLK_000_P_SYNC_6_.C = (CLK_OSZI); - -CLK_000_P_SYNC_7_.AR = (!RST); - -CLK_000_P_SYNC_7_.D = (CLK_000_P_SYNC_6_.Q); - -CLK_000_P_SYNC_7_.C = (CLK_OSZI); - -CLK_000_P_SYNC_8_.AR = (!RST); - -CLK_000_P_SYNC_8_.D = (CLK_000_P_SYNC_7_.Q); - -CLK_000_P_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_0_.AR = (!RST); - -CLK_000_N_SYNC_0_.D = (inst_CLK_000_D1.Q & inst_CLK_000_D2.Q & inst_CLK_000_D3.Q & !inst_CLK_000_D0.Q); - -CLK_000_N_SYNC_0_.C = (CLK_OSZI); - -CLK_000_N_SYNC_1_.AR = (!RST); - -CLK_000_N_SYNC_1_.D = (CLK_000_N_SYNC_0_.Q); - -CLK_000_N_SYNC_1_.C = (CLK_OSZI); - -CLK_000_N_SYNC_2_.AR = (!RST); - -CLK_000_N_SYNC_2_.D = (CLK_000_N_SYNC_1_.Q); - -CLK_000_N_SYNC_2_.C = (CLK_OSZI); - -CLK_000_N_SYNC_3_.AR = (!RST); - -CLK_000_N_SYNC_3_.D = (CLK_000_N_SYNC_2_.Q); - -CLK_000_N_SYNC_3_.C = (CLK_OSZI); - -CLK_000_N_SYNC_4_.AR = (!RST); - -CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q); - -CLK_000_N_SYNC_4_.C = (CLK_OSZI); - -CLK_000_N_SYNC_5_.AR = (!RST); - -CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q); - -CLK_000_N_SYNC_5_.C = (CLK_OSZI); - -CLK_000_N_SYNC_7_.AR = (!RST); - -CLK_000_N_SYNC_7_.D = (CLK_000_N_SYNC_6_.Q); - -CLK_000_N_SYNC_7_.C = (CLK_OSZI); - -CLK_000_N_SYNC_8_.AR = (!RST); - -CLK_000_N_SYNC_8_.D = (CLK_000_N_SYNC_7_.Q); - -CLK_000_N_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_9_.AR = (!RST); - -CLK_000_N_SYNC_9_.D = (CLK_000_N_SYNC_8_.Q); - -CLK_000_N_SYNC_9_.C = (CLK_OSZI); - -CLK_000_N_SYNC_10_.AR = (!RST); - -CLK_000_N_SYNC_10_.D = (CLK_000_N_SYNC_9_.Q); - -CLK_000_N_SYNC_10_.C = (CLK_OSZI); - -SM_AMIGA_5_.AR = (!RST); - -SM_AMIGA_5_.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q); - -SM_AMIGA_5_.C = (CLK_OSZI); - -SM_AMIGA_3_.AR = (!RST); - -SM_AMIGA_3_.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q - # BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q - # BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q - # BERR & !VPA & SM_AMIGA_3_.Q & !E.Q - # BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN); - -SM_AMIGA_3_.C = (CLK_OSZI); - -SM_AMIGA_2_.AR = (!RST); - -SM_AMIGA_2_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q - # VPA & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !DTACK.PIN - # !VPA & !VMA.Q & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q); - -SM_AMIGA_2_.C = (CLK_OSZI); - -!un16_ciin = (nEXP_SPACE & AS_030.PIN - # !A_31_ & nEXP_SPACE & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ - # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN); - -cpu_est_0_.AR = (!RST); - -cpu_est_0_.D = (!AVEC_EXP.Q & cpu_est_0_.Q - # AVEC_EXP.Q & !cpu_est_0_.Q); - -cpu_est_0_.C = (CLK_OSZI); - -cpu_est_1_.AR = (!RST); - -cpu_est_1_.D = (!AVEC_EXP.Q & cpu_est_1_.Q - # !cpu_est_0_.Q & cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_0_.Q & !cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_2_.Q & E.Q - # AVEC_EXP.Q & !cpu_est_2_.Q & !E.Q); - -cpu_est_1_.C = (CLK_OSZI); - -cpu_est_2_.AR = (!RST); - -cpu_est_2_.D = (!AVEC_EXP.Q & cpu_est_2_.Q - # cpu_est_1_.Q & cpu_est_2_.Q - # AVEC_EXP.Q & !cpu_est_0_.Q & !cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_0_.Q & E.Q); - -cpu_est_2_.C = (CLK_OSZI); - -AMIGA_BUS_ENABLE_LOW_0 = (CLK_CNT_P_1_.Q & CLK_CNT_N_1_.Q - # !CLK_CNT_N_0_.Q & CLK_CNT_P_0_.Q); - -SM_AMIGA_7__0 = (AVEC_EXP.Q & SM_AMIGA_0_.Q - # inst_AS_030_000_SYNC.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); - - -Reverse-Polarity Equations: - diff --git a/Logic/68030_tk.svl b/Logic/68030_tk.svl deleted file mode 100644 index 579ba2b..0000000 --- a/Logic/68030_tk.svl +++ /dev/null @@ -1,2 +0,0 @@ -Part Number: M4A5-128/64-10VC -Need not generate svf file according to the constraints, exit diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal deleted file mode 100644 index d968b2c..0000000 --- a/Logic/68030_tk.tal +++ /dev/null @@ -1,128 +0,0 @@ - - -Design Name = 68030_tk.tt4 -~~~~~~~~~~~~~~~~~~~~~~~~~~ - - -******************* -* TIMING ANALYSIS * -******************* - -Timing Analysis KEY: -One unit of delay time is equivalent to one pass - through the Central Switch Matrix. -.. Delay ( in this column ) not applicable to the indicated signal. -TSU, Set-Up Time ( 0 for input-paired signals ), - represents the number of switch matrix passes between - an input pin and a register setup before clock. - TSU is reported on the register. -TCO, Clocked Output-to-Pin Time ( 0 for output-paired signals ), - represents the number of switch matrix passes between - a clocked register and an output pin. - TCO is reported on the register. -TPD, Propagation Delay Time ( calculated only for combinatorial eqns.), - represents the number of switch matrix passes between - an input pin and an output pin. - TPD is reported on the output pin. -TCR, Clocked Output-to-Register Time, - represents the number of switch matrix passes between - a clocked register and the register it drives ( before clock ). - TCR is reported on the driving register. - - TSU TCO TPD TCR - #passes #passes #passes #passes -SIGNAL NAME min max min max min max min max - AVEC_EXP .. .. 0 0 .. .. 1 2 - RN_AVEC_EXP .. .. 0 0 .. .. 1 2 -inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 2 - inst_CLK_000_D1 .. .. .. .. .. .. 1 2 - inst_CLK_000_D0 1 1 .. .. .. .. 1 2 - SM_AMIGA_7_ 1 2 .. .. .. .. 1 1 - SM_AMIGA_6_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_1_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_0_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_4_ 1 1 .. .. .. .. 1 2 -inst_LDS_000_INT 1 1 1 1 .. .. 2 2 -inst_DS_000_ENABLE 1 1 1 1 .. .. 2 2 -inst_UDS_000_INT 1 1 1 1 .. .. 2 2 - SM_AMIGA_5_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_3_ 1 2 .. .. .. .. 1 2 - SM_AMIGA_2_ 1 2 .. .. .. .. 1 2 - FPU_CS .. .. .. .. 1 1 .. .. - DTACK .. .. .. .. 1 1 .. .. -AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. .. - CIIN .. .. .. .. 1 1 .. .. - SIZE_1_ 1 1 0 0 .. .. .. .. - IPL_030_2_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_2_ 1 1 0 0 .. .. 1 1 - AS_030 1 1 0 0 .. .. 1 1 - RN_AS_030 1 1 0 0 .. .. 1 1 - AS_000 1 1 0 0 .. .. 1 1 - RN_AS_000 1 1 0 0 .. .. 1 1 - RW_000 1 1 0 0 .. .. 1 1 - RN_RW_000 1 1 0 0 .. .. 1 1 - SIZE_0_ 1 1 0 0 .. .. .. .. - DS_030 1 1 0 0 .. .. 1 1 - RN_DS_030 1 1 0 0 .. .. 1 1 - A0 1 1 0 0 .. .. .. .. - BG_000 1 1 0 0 .. .. 1 1 - RN_BG_000 1 1 0 0 .. .. 1 1 - BGACK_030 1 1 0 1 .. .. 1 1 - RN_BGACK_030 1 1 0 1 .. .. 1 1 - IPL_030_1_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 - DSACK1 1 1 0 0 .. .. 1 1 - RN_DSACK1 1 1 0 0 .. .. 1 1 - IPL_030_0_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 - E .. .. 0 0 .. .. 1 1 - RN_E .. .. 0 0 .. .. 1 1 - VMA .. .. 0 0 .. .. 1 1 - RN_VMA .. .. 0 0 .. .. 1 1 - RW 1 1 0 0 .. .. 1 1 - RN_RW 1 1 0 0 .. .. 1 1 -AMIGA_BUS_ENABLE 1 1 0 0 .. .. 1 1 -RN_AMIGA_BUS_ENABLE 1 1 0 0 .. .. 1 1 -AMIGA_BUS_ENABLE_LOW .. .. 0 0 .. .. 1 1 -RN_AMIGA_BUS_ENABLE_LOW .. .. 0 0 .. .. 1 1 -inst_BGACK_030_INT_D .. .. .. .. .. .. 1 1 - inst_VPA_D 1 1 .. .. .. .. 1 1 -inst_CLK_OUT_PRE_50_D .. .. .. .. .. .. 1 1 - CLK_CNT_N_0_ .. .. .. .. .. .. 1 1 -inst_CLK_OUT_PRE_50 .. .. .. .. .. .. 1 1 -inst_CLK_OUT_PRE_25 .. .. .. .. .. .. 1 1 - inst_CLK_000_D2 .. .. .. .. .. .. 1 1 - inst_CLK_000_D3 .. .. .. .. .. .. 1 1 - inst_CLK_000_NE .. .. .. .. .. .. 1 1 -inst_CLK_OUT_PRE_D .. .. .. .. .. .. 1 1 -inst_CLK_OUT_PRE .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_9_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_11_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_6_ .. .. .. .. .. .. 1 1 - inst_CLK_030_H 1 1 .. .. .. .. 1 1 - CLK_CNT_P_1_ .. .. .. .. .. .. 1 1 - CLK_CNT_N_1_ .. .. .. .. .. .. 1 1 - CLK_CNT_P_0_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_0_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_1_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_2_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_3_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_4_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_5_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_6_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_7_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_8_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_0_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_1_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_2_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_3_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_4_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_5_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_7_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_8_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_9_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_10_ .. .. .. .. .. .. 1 1 - un16_ciin .. .. .. .. 1 1 .. .. - cpu_est_0_ .. .. .. .. .. .. 1 1 - cpu_est_1_ .. .. .. .. .. .. 1 1 - cpu_est_2_ .. .. .. .. .. .. 1 1 \ No newline at end of file diff --git a/Logic/68030_tk.tlg b/Logic/68030_tk.tlg deleted file mode 100644 index 3cf792e..0000000 --- a/Logic/68030_tk.tlg +++ /dev/null @@ -1,34 +0,0 @@ - -// Batch Timer Log File (Release Version: 1.7.00.05.28.13) - -// Project = 68030_tk -// Family = mach4a -// Device = M4A5-128/64 -// Speed = -10 -// Voltage = 5.0 -// Operating Condition = COM -// Data sheet version = RevD-8/2000 - -// Pass Bidirection = OFF -// Pass S/R = OFF -// Pass Latch = OFF -// Pass Clock = OFF -// Maximum Paths = 20 -// T_SU Endpoints D/T inputs = ON -// T_SU Endpoints CE inputs = OFF -// T_SU Endpoints S/R inputs = OFF -// T_SU Endpoints RAM gated = ON -// Fmax of CE = ON -// Fmax of RAM = ON - -// Location(From => To) -// Pin number: numeric number preceded by "p", BGA number as is -// Macrocell number: Segment#,GLB#,Macrocell# -// Segment#: starts from 0 (if applicable) -// GLB#: starts from A..Z, AA..ZZ -// Macrocell#: starts from 0 to 31 - -// Register-to-register critical path delay: 9.5 ns -// - 3.0 tCOSi E.C ==> E.Q -// - 0.0 E.Q ==> cpu_est_1_.T -// - 6.5 tSST cpu_est_1_.T ==> cpu_est_1_.C diff --git a/Logic/68030_tk.trp b/Logic/68030_tk.trp deleted file mode 100644 index 32b0ec8..0000000 --- a/Logic/68030_tk.trp +++ /dev/null @@ -1,537 +0,0 @@ - -Timing Report for STAMP - -// Project = 68030_tk -// Family = mach4a -// Device = M4A5-128/64 -// Speed = -10 -// Voltage = 5.0 -// Operating Condition = COM -// Data sheet version = RevD-8/2000 - -// Pass Bidirection = OFF -// Pass S/R = OFF -// Pass Latch = OFF -// T_SU Endpoints D/T inputs = ON -// T_SU Endpoints CE inputs = OFF -// T_SU Endpoints S/R inputs = OFF -// T_SU Endpoints RAM gated = ON -// Fmax of CE = ON -// Fmax of RAM = ON - -// Location(From => To) -// Pin number: numeric number preceded by "p", BGA number as is -// Macrocell number: Segment#,GLB#,Macrocell# -// Segment#: starts from 0 (if applicable) -// GLB#: starts from A..Z, AA..ZZ -// Macrocell#: starts from 0 to 31 - - -Section IO - //DESTINATION NODES; - A0 [bidi] - AS_000 [bidi] - AS_030 [bidi] - DSACK[1] [bidi] - DS_030 [bidi] - DTACK [bidi] - LDS_000 [bidi] - SIZE[0] [bidi] - SIZE[1] [bidi] - UDS_000 [bidi] - AMIGA_BUS_DATA_DIR [out] - AMIGA_BUS_ENABLE [out] - AMIGA_BUS_ENABLE_LOW [out] - AVEC [out] - AVEC_EXP [out] - BERR [out] - BGACK_030 [out] - BG_000 [out] - CIIN [out] - CLK_DIV_OUT [out] - CLK_EXP [out] - DSACK[0] [out] - E [out] - FPU_CS [out] - IPL_030[0] [out] - IPL_030[1] [out] - IPL_030[2] [out] - RESET [out] - VMA [out] - A0.C [reg] - AMIGA_BUS_ENABLE.C [reg] - AS_000.C [reg] - AS_030.C [reg] - BGACK_030.C [reg] - BG_000.C [reg] - CLK_CNT_N_0_.C [reg] - CLK_CNT_N_1_.C [reg] - CLK_CNT_P_0_.C [reg] - CLK_CNT_P_1_.C [reg] - CLK_DIV_OUT.C [reg] - CLK_EXP.C [reg] - DSACK_1_.C [reg] - DS_030.C [reg] - E.C [reg] - FPU_CS.C [reg] - IPL_030_0_.C [reg] - IPL_030_1_.C [reg] - IPL_030_2_.C [reg] - LDS_000.C [reg] - RESET.C [reg] - SIZE_0_.C [reg] - SIZE_1_.C [reg] - SM_AMIGA_0_.C [reg] - SM_AMIGA_1_.C [reg] - SM_AMIGA_2_.C [reg] - SM_AMIGA_3_.C [reg] - SM_AMIGA_4_.C [reg] - SM_AMIGA_5_.C [reg] - SM_AMIGA_6_.C [reg] - SM_AMIGA_7_.C [reg] - UDS_000.C [reg] - VMA.C [reg] - cpu_est_0_.C [reg] - cpu_est_1_.C [reg] - cpu_est_2_.C [reg] - inst_AS_030_000_SYNC.C [reg] - inst_BGACK_030_INT_D.C [reg] - inst_CLK_000_D0.C [reg] - inst_CLK_000_D1.C [reg] - inst_CLK_000_D2.C [reg] - inst_CLK_000_D3.C [reg] - inst_CLK_000_D4.C [reg] - inst_CLK_000_D5.C [reg] - inst_CLK_000_D6.C [reg] - inst_CLK_OUT_PRE.C [reg] - inst_DTACK_SYNC.C [reg] - inst_VPA_D.C [reg] - inst_VPA_SYNC.C [reg] - A0.D [reg] - AMIGA_BUS_ENABLE.D [reg] - AS_000.D [reg] - AS_030.D [reg] - BGACK_030.D [reg] - BG_000.D [reg] - CLK_CNT_N_0_.D [reg] - CLK_CNT_N_1_.D [reg] - CLK_CNT_P_0_.D [reg] - CLK_CNT_P_1_.D [reg] - CLK_DIV_OUT.D [reg] - CLK_EXP.D [reg] - DSACK_1_.D [reg] - DS_030.D [reg] - E.D.X1 [reg] - E.D.X2 [reg] - FPU_CS.D [reg] - IPL_030_0_.D [reg] - IPL_030_1_.D [reg] - IPL_030_2_.D [reg] - LDS_000.D [reg] - RESET.D [reg] - SIZE_0_.D [reg] - SIZE_1_.D [reg] - SM_AMIGA_0_.D [reg] - SM_AMIGA_1_.D [reg] - SM_AMIGA_2_.D [reg] - SM_AMIGA_3_.D [reg] - SM_AMIGA_4_.D [reg] - SM_AMIGA_5_.D [reg] - SM_AMIGA_6_.D [reg] - SM_AMIGA_7_.D [reg] - UDS_000.D [reg] - VMA.D.X1 [reg] - VMA.D.X2 [reg] - cpu_est_0_.D [reg] - cpu_est_1_.T [reg] - cpu_est_2_.D.X1 [reg] - cpu_est_2_.D.X2 [reg] - inst_AS_030_000_SYNC.D [reg] - inst_BGACK_030_INT_D.D [reg] - inst_CLK_000_D0.D [reg] - inst_CLK_000_D1.D [reg] - inst_CLK_000_D2.D [reg] - inst_CLK_000_D3.D [reg] - inst_CLK_000_D4.D [reg] - inst_CLK_000_D5.D [reg] - inst_CLK_000_D6.D [reg] - inst_CLK_OUT_PRE.D [reg] - inst_DTACK_SYNC.D [reg] - inst_VPA_D.D [reg] - inst_VPA_SYNC.D [reg] - - //SOURCE NODES; - A[16] [in] - A[17] [in] - A[18] [in] - A[19] [in] - A[20] [in] - A[21] [in] - A[22] [in] - A[23] [in] - A[24] [in] - A[25] [in] - A[26] [in] - A[27] [in] - A[28] [in] - A[29] [in] - A[30] [in] - A[31] [in] - BGACK_000 [in] - BG_030 [in] - CLK_000 [in] - CLK_030 [in] - CLK_OSZI [in] - FC[0] [in] - FC[1] [in] - IPL[0] [in] - IPL[1] [in] - IPL[2] [in] - RST [in] - RW [in] - VPA [in] - nEXP_SPACE [in] - A0.Q [reg] - AMIGA_BUS_ENABLE.Q [reg] - AS_000.Q [reg] - AS_030.Q [reg] - BGACK_030.Q [reg] - BG_000.Q [reg] - CLK_CNT_N_0_.Q [reg] - CLK_CNT_N_1_.Q [reg] - CLK_CNT_P_0_.Q [reg] - CLK_CNT_P_1_.Q [reg] - CLK_DIV_OUT.Q [reg] - CLK_EXP.Q [reg] - DSACK_1_.Q [reg] - DS_030.Q [reg] - E.Q [reg] - FPU_CS.Q [reg] - IPL_030_0_.Q [reg] - IPL_030_1_.Q [reg] - IPL_030_2_.Q [reg] - LDS_000.Q [reg] - RESET.Q [reg] - SIZE_0_.Q [reg] - SIZE_1_.Q [reg] - SM_AMIGA_0_.Q [reg] - SM_AMIGA_1_.Q [reg] - SM_AMIGA_2_.Q [reg] - SM_AMIGA_3_.Q [reg] - SM_AMIGA_4_.Q [reg] - SM_AMIGA_5_.Q [reg] - SM_AMIGA_6_.Q [reg] - SM_AMIGA_7_.Q [reg] - UDS_000.Q [reg] - VMA.Q [reg] - cpu_est_0_.Q [reg] - cpu_est_1_.Q [reg] - cpu_est_2_.Q [reg] - inst_AS_030_000_SYNC.Q [reg] - inst_BGACK_030_INT_D.Q [reg] - inst_CLK_000_D0.Q [reg] - inst_CLK_000_D1.Q [reg] - inst_CLK_000_D2.Q [reg] - inst_CLK_000_D3.Q [reg] - inst_CLK_000_D4.Q [reg] - inst_CLK_000_D5.Q [reg] - inst_CLK_000_D6.Q [reg] - inst_CLK_OUT_PRE.Q [reg] - inst_DTACK_SYNC.Q [reg] - inst_VPA_D.Q [reg] - inst_VPA_SYNC.Q [reg] - - -Section fMAX - - Maximum Operating Frequency: 105.26 MHz - Clock Source From: CLK_OSZI - Logic Levels: 1 - Path Delay: 9.5 ns - Path Expansion Source Destination - ============== ====== =========== - 3.0 tCOSi E.C E.Q - 0.0 E.Q cpu_est_1_.T - 6.5 tSST cpu_est_1_.T cpu_est_1_.C - - Clock Source From: CLK_OSZI - Delay Level Location(From => To) Source Destination Destination_Clock - ===== ===== ==================== ====== =========== ================= - 9.5 1 G4 => G12 E.C cpu_est_1_.T CLK_OSZI - 9.5 1 B9 => G12 cpu_est_0_.C cpu_est_1_.T CLK_OSZI - 9.5 1 G12 => G12 cpu_est_1_.C cpu_est_1_.T CLK_OSZI - 9.5 1 G5 => G12 cpu_est_2_.C cpu_est_1_.T CLK_OSZI - 9.5 1 F0 => G12 inst_CLK_000_D0.C cpu_est_1_.T CLK_OSZI - 9.5 1 H5 => G12 inst_CLK_000_D1.C cpu_est_1_.T CLK_OSZI - 8.5 1 G8 => G8 A0.C A0.D CLK_OSZI - 8.5 1 D5 => D5 AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.D CLK_OSZI - 8.5 1 D4 => D4 AS_000.C AS_000.D CLK_OSZI - 8.5 1 D4 => C4 AS_000.C SM_AMIGA_0_.D CLK_OSZI - 8.5 1 D4 => B5 AS_000.C SM_AMIGA_7_.D CLK_OSZI - 8.5 1 D4 => D1 AS_000.C VMA.D.X1 CLK_OSZI - 8.5 1 H8 => H8 AS_030.C AS_030.D CLK_OSZI - 8.5 1 H8 => A0 AS_030.C DS_030.D CLK_OSZI - 8.5 1 H4 => G8 BGACK_030.C A0.D CLK_OSZI - 8.5 1 H4 => D5 BGACK_030.C AMIGA_BUS_ENABLE.D CLK_OSZI - 8.5 1 H4 => H8 BGACK_030.C AS_030.D CLK_OSZI - 8.5 1 H4 => H4 BGACK_030.C BGACK_030.D CLK_OSZI - 8.5 1 H4 => A0 BGACK_030.C DS_030.D CLK_OSZI - 8.5 1 H4 => G0 BGACK_030.C SIZE_0_.D CLK_OSZI - 8.5 1 H4 => H0 BGACK_030.C SIZE_1_.D CLK_OSZI - 8.5 1 H4 => A12 BGACK_030.C inst_BGACK_030_INT_D.D CLK_OSZI - 8.5 1 D13 => D13 BG_000.C BG_000.D CLK_OSZI - 8.5 1 E1 => E1 CLK_CNT_N_0_.C CLK_CNT_N_0_.D CLK_OSZI - 8.5 1 E1 => G9 CLK_CNT_N_0_.C CLK_CNT_N_1_.D CLK_OSZI - 8.5 1 E1 => A1 CLK_CNT_N_0_.C inst_CLK_OUT_PRE.D CLK_OSZI - 8.5 1 G9 => E1 CLK_CNT_N_1_.C CLK_CNT_N_0_.D CLK_OSZI - 8.5 1 G9 => A1 CLK_CNT_N_1_.C inst_CLK_OUT_PRE.D CLK_OSZI - 8.5 1 F1 => F1 CLK_CNT_P_0_.C CLK_CNT_P_0_.D CLK_OSZI - 8.5 1 F1 => F9 CLK_CNT_P_0_.C CLK_CNT_P_1_.D CLK_OSZI - 8.5 1 F1 => A1 CLK_CNT_P_0_.C inst_CLK_OUT_PRE.D CLK_OSZI - 8.5 1 F9 => F1 CLK_CNT_P_1_.C CLK_CNT_P_0_.D CLK_OSZI - 8.5 1 F9 => A1 CLK_CNT_P_1_.C inst_CLK_OUT_PRE.D CLK_OSZI - 8.5 1 H12 => H12 DSACK_1_.C DSACK_1_.D CLK_OSZI - 8.5 1 A0 => A0 DS_030.C DS_030.D CLK_OSZI - 8.5 1 G4 => G4 E.C E.D.X1 CLK_OSZI - 8.5 1 G4 => G4 E.C E.D.X2 CLK_OSZI - 8.5 1 G4 => D1 E.C VMA.D.X1 CLK_OSZI - 8.5 1 G4 => G5 E.C cpu_est_2_.D.X1 CLK_OSZI - 8.5 1 G4 => C5 E.C inst_VPA_SYNC.D CLK_OSZI - 8.5 1 H1 => H1 FPU_CS.C FPU_CS.D CLK_OSZI - 8.5 1 B8 => B8 IPL_030_0_.C IPL_030_0_.D CLK_OSZI - 8.5 1 B12 => B12 IPL_030_1_.C IPL_030_1_.D CLK_OSZI - 8.5 1 B4 => B4 IPL_030_2_.C IPL_030_2_.D CLK_OSZI - 8.5 1 D8 => D8 LDS_000.C LDS_000.D CLK_OSZI - 8.5 1 G0 => G0 SIZE_0_.C SIZE_0_.D CLK_OSZI - 8.5 1 H0 => H0 SIZE_1_.C SIZE_1_.D CLK_OSZI - 8.5 1 C4 => D5 SM_AMIGA_0_.C AMIGA_BUS_ENABLE.D CLK_OSZI - 8.5 1 C4 => C4 SM_AMIGA_0_.C SM_AMIGA_0_.D CLK_OSZI - 8.5 1 C4 => B5 SM_AMIGA_0_.C SM_AMIGA_7_.D CLK_OSZI - 8.5 1 A8 => D5 SM_AMIGA_1_.C AMIGA_BUS_ENABLE.D CLK_OSZI - 8.5 1 A8 => H12 SM_AMIGA_1_.C DSACK_1_.D CLK_OSZI - 8.5 1 A8 => C4 SM_AMIGA_1_.C SM_AMIGA_0_.D CLK_OSZI - 8.5 1 A8 => A8 SM_AMIGA_1_.C SM_AMIGA_1_.D CLK_OSZI - 8.5 1 A8 => F12 SM_AMIGA_1_.C inst_AS_030_000_SYNC.D CLK_OSZI - 8.5 1 C8 => A8 SM_AMIGA_2_.C SM_AMIGA_1_.D CLK_OSZI - 8.5 1 C8 => C8 SM_AMIGA_2_.C SM_AMIGA_2_.D CLK_OSZI - 8.5 1 C1 => C8 SM_AMIGA_3_.C SM_AMIGA_2_.D CLK_OSZI - 8.5 1 C1 => C1 SM_AMIGA_3_.C SM_AMIGA_3_.D CLK_OSZI - 8.5 1 C1 => C9 SM_AMIGA_3_.C inst_DTACK_SYNC.D CLK_OSZI - 8.5 1 C1 => C5 SM_AMIGA_3_.C inst_VPA_SYNC.D CLK_OSZI - 8.5 1 F8 => D8 SM_AMIGA_4_.C LDS_000.D CLK_OSZI - 8.5 1 F8 => C1 SM_AMIGA_4_.C SM_AMIGA_3_.D CLK_OSZI - 8.5 1 F8 => F8 SM_AMIGA_4_.C SM_AMIGA_4_.D CLK_OSZI - 8.5 1 F8 => D12 SM_AMIGA_4_.C UDS_000.D CLK_OSZI - 8.5 1 F5 => D4 SM_AMIGA_5_.C AS_000.D CLK_OSZI - 8.5 1 F5 => D8 SM_AMIGA_5_.C LDS_000.D CLK_OSZI - 8.5 1 F5 => F8 SM_AMIGA_5_.C SM_AMIGA_4_.D CLK_OSZI - 8.5 1 F5 => F5 SM_AMIGA_5_.C SM_AMIGA_5_.D CLK_OSZI - 8.5 1 F5 => D12 SM_AMIGA_5_.C UDS_000.D CLK_OSZI - 8.5 1 B13 => D5 SM_AMIGA_6_.C AMIGA_BUS_ENABLE.D CLK_OSZI - 8.5 1 B13 => F5 SM_AMIGA_6_.C SM_AMIGA_5_.D CLK_OSZI - 8.5 1 B13 => B13 SM_AMIGA_6_.C SM_AMIGA_6_.D CLK_OSZI - 8.5 1 B13 => B5 SM_AMIGA_6_.C SM_AMIGA_7_.D CLK_OSZI - 8.5 1 B13 => F12 SM_AMIGA_6_.C inst_AS_030_000_SYNC.D CLK_OSZI - 8.5 1 B5 => D13 SM_AMIGA_7_.C BG_000.D CLK_OSZI - 8.5 1 B5 => B13 SM_AMIGA_7_.C SM_AMIGA_6_.D CLK_OSZI - 8.5 1 B5 => B5 SM_AMIGA_7_.C SM_AMIGA_7_.D CLK_OSZI - 8.5 1 B5 => F12 SM_AMIGA_7_.C inst_AS_030_000_SYNC.D CLK_OSZI - 8.5 1 D12 => D12 UDS_000.C UDS_000.D CLK_OSZI - 8.5 1 D1 => D1 VMA.C VMA.D.X1 CLK_OSZI - 8.5 1 D1 => D1 VMA.C VMA.D.X2 CLK_OSZI - 8.5 1 D1 => C5 VMA.C inst_VPA_SYNC.D CLK_OSZI - 8.5 1 B9 => G4 cpu_est_0_.C E.D.X1 CLK_OSZI - 8.5 1 B9 => D1 cpu_est_0_.C VMA.D.X1 CLK_OSZI - 8.5 1 B9 => D1 cpu_est_0_.C VMA.D.X2 CLK_OSZI - 8.5 1 B9 => B9 cpu_est_0_.C cpu_est_0_.D CLK_OSZI - 8.5 1 B9 => G5 cpu_est_0_.C cpu_est_2_.D.X1 CLK_OSZI - 8.5 1 G12 => G4 cpu_est_1_.C E.D.X1 CLK_OSZI - 8.5 1 G12 => D1 cpu_est_1_.C VMA.D.X1 CLK_OSZI - 8.5 1 G12 => D1 cpu_est_1_.C VMA.D.X2 CLK_OSZI - 8.5 1 G12 => G5 cpu_est_1_.C cpu_est_2_.D.X1 CLK_OSZI - 8.5 1 G12 => C5 cpu_est_1_.C inst_VPA_SYNC.D CLK_OSZI - 8.5 1 G5 => G4 cpu_est_2_.C E.D.X1 CLK_OSZI - 8.5 1 G5 => D1 cpu_est_2_.C VMA.D.X1 CLK_OSZI - 8.5 1 G5 => G5 cpu_est_2_.C cpu_est_2_.D.X1 CLK_OSZI - 8.5 1 G5 => G5 cpu_est_2_.C cpu_est_2_.D.X2 CLK_OSZI - 8.5 1 F12 => B13 inst_AS_030_000_SYNC.C SM_AMIGA_6_.D CLK_OSZI - 8.5 1 F12 => B5 inst_AS_030_000_SYNC.C SM_AMIGA_7_.D CLK_OSZI - 8.5 1 F12 => F12 inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.D CLK_OSZI - 8.5 1 A12 => G8 inst_BGACK_030_INT_D.C A0.D CLK_OSZI - 8.5 1 A12 => D5 inst_BGACK_030_INT_D.C AMIGA_BUS_ENABLE.D CLK_OSZI - 8.5 1 A12 => H8 inst_BGACK_030_INT_D.C AS_030.D CLK_OSZI - 8.5 1 A12 => A0 inst_BGACK_030_INT_D.C DS_030.D CLK_OSZI - 8.5 1 A12 => G0 inst_BGACK_030_INT_D.C SIZE_0_.D CLK_OSZI - 8.5 1 A12 => H0 inst_BGACK_030_INT_D.C SIZE_1_.D CLK_OSZI - 8.5 1 F0 => H4 inst_CLK_000_D0.C BGACK_030.D CLK_OSZI - 8.5 1 F0 => G4 inst_CLK_000_D0.C E.D.X1 CLK_OSZI - 8.5 1 F0 => B8 inst_CLK_000_D0.C IPL_030_0_.D CLK_OSZI - 8.5 1 F0 => B12 inst_CLK_000_D0.C IPL_030_1_.D CLK_OSZI - 8.5 1 F0 => B4 inst_CLK_000_D0.C IPL_030_2_.D CLK_OSZI - 8.5 1 F0 => C4 inst_CLK_000_D0.C SM_AMIGA_0_.D CLK_OSZI - 8.5 1 F0 => A8 inst_CLK_000_D0.C SM_AMIGA_1_.D CLK_OSZI - 8.5 1 F0 => C8 inst_CLK_000_D0.C SM_AMIGA_2_.D CLK_OSZI - 8.5 1 F0 => C1 inst_CLK_000_D0.C SM_AMIGA_3_.D CLK_OSZI - 8.5 1 F0 => F8 inst_CLK_000_D0.C SM_AMIGA_4_.D CLK_OSZI - 8.5 1 F0 => F5 inst_CLK_000_D0.C SM_AMIGA_5_.D CLK_OSZI - 8.5 1 F0 => B13 inst_CLK_000_D0.C SM_AMIGA_6_.D CLK_OSZI - 8.5 1 F0 => B5 inst_CLK_000_D0.C SM_AMIGA_7_.D CLK_OSZI - 8.5 1 F0 => B9 inst_CLK_000_D0.C cpu_est_0_.D CLK_OSZI - 8.5 1 F0 => G5 inst_CLK_000_D0.C cpu_est_2_.D.X1 CLK_OSZI - 8.5 1 F0 => H5 inst_CLK_000_D0.C inst_CLK_000_D1.D CLK_OSZI - 8.5 1 F0 => C9 inst_CLK_000_D0.C inst_DTACK_SYNC.D CLK_OSZI - 8.5 1 F0 => C5 inst_CLK_000_D0.C inst_VPA_SYNC.D CLK_OSZI - 8.5 1 H5 => H4 inst_CLK_000_D1.C BGACK_030.D CLK_OSZI - 8.5 1 H5 => G4 inst_CLK_000_D1.C E.D.X1 CLK_OSZI - 8.5 1 H5 => B8 inst_CLK_000_D1.C IPL_030_0_.D CLK_OSZI - 8.5 1 H5 => B12 inst_CLK_000_D1.C IPL_030_1_.D CLK_OSZI - 8.5 1 H5 => B4 inst_CLK_000_D1.C IPL_030_2_.D CLK_OSZI - 8.5 1 H5 => D1 inst_CLK_000_D1.C VMA.D.X1 CLK_OSZI - 8.5 1 H5 => D1 inst_CLK_000_D1.C VMA.D.X2 CLK_OSZI - 8.5 1 H5 => B9 inst_CLK_000_D1.C cpu_est_0_.D CLK_OSZI - 8.5 1 H5 => G5 inst_CLK_000_D1.C cpu_est_2_.D.X1 CLK_OSZI - 8.5 1 H5 => E5 inst_CLK_000_D1.C inst_CLK_000_D2.D CLK_OSZI - 8.5 1 E5 => B13 inst_CLK_000_D2.C SM_AMIGA_6_.D CLK_OSZI - 8.5 1 E5 => B5 inst_CLK_000_D2.C SM_AMIGA_7_.D CLK_OSZI - 8.5 1 E5 => D1 inst_CLK_000_D2.C VMA.D.X1 CLK_OSZI - 8.5 1 E5 => A5 inst_CLK_000_D2.C inst_CLK_000_D3.D CLK_OSZI - 8.5 1 A5 => B13 inst_CLK_000_D3.C SM_AMIGA_6_.D CLK_OSZI - 8.5 1 A5 => B5 inst_CLK_000_D3.C SM_AMIGA_7_.D CLK_OSZI - 8.5 1 A5 => A9 inst_CLK_000_D3.C inst_CLK_000_D4.D CLK_OSZI - 8.5 1 A9 => E8 inst_CLK_000_D4.C inst_CLK_000_D5.D CLK_OSZI - 8.5 1 E8 => H12 inst_CLK_000_D5.C DSACK_1_.D CLK_OSZI - 8.5 1 E8 => C4 inst_CLK_000_D5.C SM_AMIGA_0_.D CLK_OSZI - 8.5 1 E8 => A8 inst_CLK_000_D5.C SM_AMIGA_1_.D CLK_OSZI - 8.5 1 E8 => F12 inst_CLK_000_D5.C inst_AS_030_000_SYNC.D CLK_OSZI - 8.5 1 E8 => F4 inst_CLK_000_D5.C inst_CLK_000_D6.D CLK_OSZI - 8.5 1 F4 => H12 inst_CLK_000_D6.C DSACK_1_.D CLK_OSZI - 8.5 1 F4 => C4 inst_CLK_000_D6.C SM_AMIGA_0_.D CLK_OSZI - 8.5 1 F4 => A8 inst_CLK_000_D6.C SM_AMIGA_1_.D CLK_OSZI - 8.5 1 F4 => F12 inst_CLK_000_D6.C inst_AS_030_000_SYNC.D CLK_OSZI - 8.5 1 A1 => G1 inst_CLK_OUT_PRE.C CLK_DIV_OUT.D CLK_OSZI - 8.5 1 A1 => B0 inst_CLK_OUT_PRE.C CLK_EXP.D CLK_OSZI - 8.5 1 C9 => C8 inst_DTACK_SYNC.C SM_AMIGA_2_.D CLK_OSZI - 8.5 1 C9 => C1 inst_DTACK_SYNC.C SM_AMIGA_3_.D CLK_OSZI - 8.5 1 C9 => C9 inst_DTACK_SYNC.C inst_DTACK_SYNC.D CLK_OSZI - 8.5 1 E9 => D1 inst_VPA_D.C VMA.D.X2 CLK_OSZI - 8.5 1 E9 => C9 inst_VPA_D.C inst_DTACK_SYNC.D CLK_OSZI - 8.5 1 E9 => C5 inst_VPA_D.C inst_VPA_SYNC.D CLK_OSZI - 8.5 1 C5 => C8 inst_VPA_SYNC.C SM_AMIGA_2_.D CLK_OSZI - 8.5 1 C5 => C1 inst_VPA_SYNC.C SM_AMIGA_3_.D CLK_OSZI - 8.5 1 C5 => C5 inst_VPA_SYNC.C inst_VPA_SYNC.D CLK_OSZI - - -Section tSU - - tSU, tHD Level Location(From => To) Source Destination Reference_Clock - =========== ===== ==================== ====== =========== =============== - 5.5, 0.0 1 p69 => D8 A0 LDS_000.D CLK_OSZI - 5.5, 0.0 1 p69 => D12 A0 UDS_000.D CLK_OSZI - 5.5, 0.0 1 p33 => G8 AS_000 A0.D CLK_OSZI - 5.5, 0.0 1 p33 => H8 AS_000 AS_030.D CLK_OSZI - 5.5, 0.0 1 p33 => A0 AS_000 DS_030.D CLK_OSZI - 5.5, 0.0 1 p33 => G0 AS_000 SIZE_0_.D CLK_OSZI - 5.5, 0.0 1 p33 => H0 AS_000 SIZE_1_.D CLK_OSZI - 5.5, 0.0 1 p82 => D5 AS_030 AMIGA_BUS_ENABLE.D CLK_OSZI - 5.5, 0.0 1 p82 => D4 AS_030 AS_000.D CLK_OSZI - 5.5, 0.0 1 p82 => D13 AS_030 BG_000.D CLK_OSZI - 5.5, 0.0 1 p82 => H12 AS_030 DSACK_1_.D CLK_OSZI - 5.5, 0.0 1 p82 => H1 AS_030 FPU_CS.D CLK_OSZI - 5.5, 0.0 1 p82 => D8 AS_030 LDS_000.D CLK_OSZI - 5.5, 0.0 1 p82 => D12 AS_030 UDS_000.D CLK_OSZI - 5.5, 0.0 1 p82 => F12 AS_030 inst_AS_030_000_SYNC.D CLK_OSZI - 5.5, 0.0 1 p82 => C9 AS_030 inst_DTACK_SYNC.D CLK_OSZI - 5.5, 0.0 1 p82 => C5 AS_030 inst_VPA_SYNC.D CLK_OSZI - 5.5, 0.0 1 p96 => H1 A[16] FPU_CS.D CLK_OSZI - 5.5, 0.0 1 p96 => F12 A[16] inst_AS_030_000_SYNC.D CLK_OSZI - 5.5, 0.0 1 p59 => H1 A[17] FPU_CS.D CLK_OSZI - 5.5, 0.0 1 p59 => F12 A[17] inst_AS_030_000_SYNC.D CLK_OSZI - 5.5, 0.0 1 p95 => H1 A[18] FPU_CS.D CLK_OSZI - 5.5, 0.0 1 p95 => F12 A[18] inst_AS_030_000_SYNC.D CLK_OSZI - 5.5, 0.0 1 p97 => H1 A[19] FPU_CS.D CLK_OSZI - 5.5, 0.0 1 p97 => F12 A[19] inst_AS_030_000_SYNC.D CLK_OSZI - 5.5, 0.0 1 p28 => H4 BGACK_000 BGACK_030.D CLK_OSZI - 5.5, 0.0 1 p28 => H1 BGACK_000 FPU_CS.D CLK_OSZI - 5.5, 0.0 1 p28 => F12 BGACK_000 inst_AS_030_000_SYNC.D CLK_OSZI - 5.5, 0.0 1 p21 => D13 BG_030 BG_000.D CLK_OSZI - 5.5, 0.0 1 p11 => D13 CLK_000 BG_000.D CLK_OSZI - 5.5, 0.0 1 p11 => F0 CLK_000 inst_CLK_000_D0.D CLK_OSZI - 5.5, 0.0 1 p64 => G8 CLK_030 A0.D CLK_OSZI - 5.5, 0.0 1 p64 => H8 CLK_030 AS_030.D CLK_OSZI - 5.5, 0.0 1 p64 => A0 CLK_030 DS_030.D CLK_OSZI - 5.5, 0.0 1 p64 => H1 CLK_030 FPU_CS.D CLK_OSZI - 5.5, 0.0 1 p64 => G0 CLK_030 SIZE_0_.D CLK_OSZI - 5.5, 0.0 1 p64 => H0 CLK_030 SIZE_1_.D CLK_OSZI - 5.5, 0.0 1 p64 => F12 CLK_030 inst_AS_030_000_SYNC.D CLK_OSZI - 5.5, 0.0 1 p98 => D8 DS_030 LDS_000.D CLK_OSZI - 5.5, 0.0 1 p98 => D12 DS_030 UDS_000.D CLK_OSZI - 5.5, 0.0 1 p30 => C9 DTACK inst_DTACK_SYNC.D CLK_OSZI - 5.5, 0.0 1 p57 => H1 FC[0] FPU_CS.D CLK_OSZI - 5.5, 0.0 1 p57 => F12 FC[0] inst_AS_030_000_SYNC.D CLK_OSZI - 5.5, 0.0 1 p58 => H1 FC[1] FPU_CS.D CLK_OSZI - 5.5, 0.0 1 p58 => F12 FC[1] inst_AS_030_000_SYNC.D CLK_OSZI - 5.5, 0.0 1 p67 => B8 IPL[0] IPL_030_0_.D CLK_OSZI - 5.5, 0.0 1 p56 => B12 IPL[1] IPL_030_1_.D CLK_OSZI - 5.5, 0.0 1 p68 => B4 IPL[2] IPL_030_2_.D CLK_OSZI - 5.5, 0.0 1 p31 => G8 LDS_000 A0.D CLK_OSZI - 5.5, 0.0 1 p31 => H8 LDS_000 AS_030.D CLK_OSZI - 5.5, 0.0 1 p31 => A0 LDS_000 DS_030.D CLK_OSZI - 5.5, 0.0 1 p31 => G0 LDS_000 SIZE_0_.D CLK_OSZI - 5.5, 0.0 1 p31 => H0 LDS_000 SIZE_1_.D CLK_OSZI - 5.5, 0.0 1 p71 => A0 RW DS_030.D CLK_OSZI - 5.5, 0.0 1 p71 => D8 RW LDS_000.D CLK_OSZI - 5.5, 0.0 1 p71 => D12 RW UDS_000.D CLK_OSZI - 5.5, 0.0 1 p70 => D8 SIZE[0] LDS_000.D CLK_OSZI - 5.5, 0.0 1 p79 => D8 SIZE[1] LDS_000.D CLK_OSZI - 5.5, 0.0 1 p32 => G8 UDS_000 A0.D CLK_OSZI - 5.5, 0.0 1 p32 => H8 UDS_000 AS_030.D CLK_OSZI - 5.5, 0.0 1 p32 => A0 UDS_000 DS_030.D CLK_OSZI - 5.5, 0.0 1 p32 => G0 UDS_000 SIZE_0_.D CLK_OSZI - 5.5, 0.0 1 p32 => H0 UDS_000 SIZE_1_.D CLK_OSZI - 5.5, 0.0 1 p36 => E9 VPA inst_VPA_D.D CLK_OSZI - 5.5, 0.0 1 p14 => D5 nEXP_SPACE AMIGA_BUS_ENABLE.D CLK_OSZI - 5.5, 0.0 1 p14 => D13 nEXP_SPACE BG_000.D CLK_OSZI - 5.5, 0.0 1 p14 => B13 nEXP_SPACE SM_AMIGA_6_.D CLK_OSZI - 5.5, 0.0 1 p14 => B5 nEXP_SPACE SM_AMIGA_7_.D CLK_OSZI - 5.5, 0.0 1 p14 => F12 nEXP_SPACE inst_AS_030_000_SYNC.D CLK_OSZI - - -Section tPD - - Delay Level Location(From => To) Source Destination - ===== ===== ==================== ====== =========== - 10.0 1 p93 => p47 A[20] CIIN - 10.0 1 p94 => p47 A[21] CIIN - 10.0 1 p85 => p47 A[22] CIIN - 10.0 1 p84 => p47 A[23] CIIN - 10.0 1 p81 => p30 DSACK[1] DTACK - 10.0 1 p71 => p48 RW AMIGA_BUS_DATA_DIR - 10.0 1 p14 => p48 nEXP_SPACE AMIGA_BUS_DATA_DIR - - -Section tCO - - tCO Level Location(From => To) Source Destination Register_Clock - === ===== ==================== ====== =========== ============== - 13.0 2 p61 => p48 CLK_OSZI AMIGA_BUS_DATA_DIR BGACK_030.C - 6.0 1 p61 => p69 CLK_OSZI A0 A0.C - 6.0 1 p61 => p34 CLK_OSZI AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE.C - 6.0 1 p61 => p33 CLK_OSZI AS_000 AS_000.C - 6.0 1 p61 => p82 CLK_OSZI AS_030 AS_030.C - 6.0 1 p61 => p83 CLK_OSZI BGACK_030 BGACK_030.C - 6.0 1 p61 => p29 CLK_OSZI BG_000 BG_000.C - 6.0 1 p61 => p65 CLK_OSZI CLK_DIV_OUT CLK_DIV_OUT.C - 6.0 1 p61 => p10 CLK_OSZI CLK_EXP CLK_EXP.C - 6.0 1 p61 => p81 CLK_OSZI DSACK[1] DSACK_1_.C - 6.0 1 p61 => p98 CLK_OSZI DS_030 DS_030.C - 6.0 1 p61 => p66 CLK_OSZI E E.C - 6.0 1 p61 => p78 CLK_OSZI FPU_CS FPU_CS.C - 6.0 1 p61 => p8 CLK_OSZI IPL_030[0] IPL_030_0_.C - 6.0 1 p61 => p7 CLK_OSZI IPL_030[1] IPL_030_1_.C - 6.0 1 p61 => p9 CLK_OSZI IPL_030[2] IPL_030_2_.C - 6.0 1 p61 => p31 CLK_OSZI LDS_000 LDS_000.C - 6.0 1 p61 => p3 CLK_OSZI RESET RESET.C - 6.0 1 p61 => p70 CLK_OSZI SIZE[0] SIZE_0_.C - 6.0 1 p61 => p79 CLK_OSZI SIZE[1] SIZE_1_.C - 6.0 1 p61 => p32 CLK_OSZI UDS_000 UDS_000.C - 6.0 1 p61 => p35 CLK_OSZI VMA VMA.C diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 deleted file mode 100644 index b4c6c94..0000000 --- a/Logic/68030_tk.tt2 +++ /dev/null @@ -1,472 +0,0 @@ -#$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sun Jun 22 21:24:20 2014 -#$ MODULE 68030_tk -#$ PINS 59 A_31_ IPL_2_ FC_1_ A_30_ UDS_000 A_29_ LDS_000 A_28_ A_27_ nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ A_23_ A_22_ BGACK_000 A_21_ CLK_030 A_20_ CLK_000 A_19_ CLK_OSZI A_18_ CLK_DIV_OUT A_17_ A_16_ FPU_CS DTACK IPL_1_ AVEC IPL_0_ FC_0_ VPA RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 SIZE_0_ DS_030 A0 BG_000 BGACK_030 CLK_EXP IPL_030_1_ DSACK1 IPL_030_0_ AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW -#$ NODES 55 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_D0 inst_CLK_000_NE inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ un16_ciin cpu_est_0_ cpu_est_1_ cpu_est_2_ -.type fr -.i 113 -.o 248 -.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_D0.Q inst_CLK_000_NE.Q inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q CLK_000_N_SYNC_6_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q BG_000.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q un16_ciin IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN -.ob FPU_CS AVEC AMIGA_BUS_DATA_DIR CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_0_.C SIZE_0_.AP SIZE_1_.C SIZE_1_.AP CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR RW_000.C RW_000.AP VMA.C VMA.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR BGACK_030.C BGACK_030.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR DSACK1.C DSACK1.AP BG_000.C BG_000.AP inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.C inst_UDS_000_INT.AP A0.C A0.AP inst_CLK_030_H.C RW.C RW.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR RESET.C RESET.AR CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP AVEC_EXP.C AVEC_EXP.AR inst_CLK_000_NE.C inst_CLK_000_NE.AR UDS_000 LDS_000 DTACK un16_ciin AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D AVEC_EXP.D VMA.D AMIGA_BUS_ENABLE.D AMIGA_BUS_ENABLE_LOW.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D CLK_CNT_N_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D3.D inst_CLK_000_D0.D inst_CLK_000_NE.D inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE.D CLK_000_P_SYNC_9_.D CLK_000_N_SYNC_11_.D AS_000.D SM_AMIGA_7_.D SM_AMIGA_6_.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_4_.D CLK_000_N_SYNC_6_.D inst_CLK_030_H.D CLK_CNT_P_1_.D CLK_CNT_N_1_.D RW_000.D DSACK1.D CLK_CNT_P_0_.D RW.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_7_.D BG_000.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D CLK_EXP.D SM_AMIGA_5_.D SM_AMIGA_3_.D SM_AMIGA_2_.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D RESET.D cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D E.D -.p 460 ------------------------------------------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---0-------------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------0---------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------------------------- ~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0----------------------------------------------------------------------------------------------------- ~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------------0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-----------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------1----------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------0--------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0----------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------1------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -----------------------------1--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1----------------0010--1-----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-----0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------0------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------01---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0001------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1110------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ --------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0--------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------0-------1--0------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1-------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1-----------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----1--------------------------0----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------0----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1-0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1---------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0-------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------0-------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1----------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1-------10-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------1----------------------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----1-----------------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------0---------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------1------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----1--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -----0-----------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-----------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------1---------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------11--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0--------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------0-------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0----1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1---1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ----0----------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ---------0-----------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -----0--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------------------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0----------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------------------------0--------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ------------------------------------------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------------------------0-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --------------------------------1-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------1----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------------------1-----------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----0--------------------------------------------------------------------------------1------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0-----0--------------------------------------------------------------------------------1------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------1-----------------------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------1-----------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --------------------------------0-------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --------------------------------1-----------------------------------------------------------------1-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------1------------------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------0--------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------1-----------------------------------------------------------------1--1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------------------------------------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------0---------------------0--------------1-------------------------------------------1------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------------------------1-------------------------------------------------------------------11------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ----------------------------------------------------------------------------------------------------01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----1-----0--------------------------------------------------------------------------------1--------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0-----0--------------------------------------------------------------------------------1--------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------------------1--------------------------------------------0110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-------------------------------------------------------------------00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------------------------------------------------------------1----------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------1-------1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------------1-1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------0---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-------------------------1--------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1--------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1-------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1-----------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1----------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-----------00000001111------------------------------------------------------------------------------0----------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------------------1---------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-----------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-------------------------------------------------------------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0---------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---1------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----------------------------1------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---1------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----------------------------1------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0--100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------1-------------------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------------------------1----------------------1---------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------0-----------------------------------------------------------------------0---------1 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------0 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1---------------------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----00------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------------------------- ~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1----------------------------------------------------------------------------------------------------- ~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1-------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1----------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1---------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0--1--------0000000---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------0--------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------0-------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----0---------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------0--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~ -------------------------------00--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----0---------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------0--------------------11-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------00-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0-----------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----0------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------1---------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------1------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0----------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------------------00---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1----------0-----0--0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-------------------------------------------------1-0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------10-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------01------1--0------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1----------------0-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------01------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------0---------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------0--0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1--1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ --------1---------------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-----------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1---------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1--------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------0--------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------00-------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0---1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------01--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0--0---------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ------0--------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------0---------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -------------------------------------------------------0-----------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------------------0--------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------0----------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------1-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------0-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------1---------------1-------10----------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------1---------------1--------0---------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------100---------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------001--------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------0-----------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------00---------------------------------001-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------1--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------------0------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------1------0100---------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------0-00--------------------------------100-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------0-------1--0-------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------------0--------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ --------------------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ---------------------------------0----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -----0-----0---------------------------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------0--------------------1------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------0---------------------------------------------------------------------------------0-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------0------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------0-----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0--------1-------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------0-------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ---------------------------------0------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ---------------------------------0-------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0--------------------00--------------1--------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0---------------------0--------------1---------0----------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0--------------------10--------------1--------0----------------------------------1------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------0--------------1------0-00---------------------------------10-----0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------------------------------------------------1101------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------------------------------------------------------------------------------------------0001------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -----0-----0-----------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------0--------------------1--------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------0--------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -----------0---------------------------------------------------------------------------------0-------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------------------------------------------------------01-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------1-----------------------------------------------------------------10-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ----------------------------------------------------------------------------------------------------10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------1-----------------------------------------------------------------1110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------------------------------------------------------------------------------------------0010------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------------------------------------------------------------------------100------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ------------------------------------------------------------------------------------------------------1----------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-0--1--------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0--0-------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-----------00000001111------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1----------------0010--1-----------------------------------------------------------------------0----------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------0-----------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----------------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---011-------------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11-0-----------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11------------------1------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11-------------------1-----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11--------------------0----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11---------------------1---1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11------------------------01----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1------------------1---------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1-------------------1--------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1----------------------------------------------------------0-------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------0-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------0-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0-----------------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0------0---------------------1------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0----------------------------------0------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0-----------------------------------------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0--------------------------------------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----------------------------0---------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1---------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0-----------------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0------0---------------------1------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0----------------------------------0------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0-----------------------------------------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0--------------------------------------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----------------------------0---------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----1----------------------------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------1--------------------1-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------1---------------------------------------------------------------------------------0------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------1--------------------0---------------1---------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1------------------------------------1---------0-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1--------------------1---------------1--------0----------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------1------0-00---------------------------------10------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------0 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------01---------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------0------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------0--------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0-------------------------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -.end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 deleted file mode 100644 index 28352c2..0000000 --- a/Logic/68030_tk.tt3 +++ /dev/null @@ -1,472 +0,0 @@ -#$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sun Jun 22 21:24:20 2014 -#$ MODULE 68030_tk -#$ PINS 59 A_31_ IPL_2_ FC_1_ A_30_ UDS_000 A_29_ LDS_000 A_28_ A_27_ nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ A_23_ A_22_ BGACK_000 A_21_ CLK_030 A_20_ CLK_000 A_19_ CLK_OSZI A_18_ CLK_DIV_OUT A_17_ A_16_ FPU_CS DTACK IPL_1_ AVEC IPL_0_ FC_0_ VPA RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 SIZE_0_ DS_030 A0 BG_000 BGACK_030 CLK_EXP IPL_030_1_ DSACK1 IPL_030_0_ AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW -#$ NODES 55 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_D0 inst_CLK_000_NE inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ un16_ciin cpu_est_0_ cpu_est_1_ cpu_est_2_ -.type fr -.i 113 -.o 248 -.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_D0.Q inst_CLK_000_NE.Q inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q CLK_000_N_SYNC_6_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q BG_000.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q un16_ciin IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN -.ob FPU_CS AVEC AMIGA_BUS_DATA_DIR CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_0_.C SIZE_0_.AP SIZE_1_.C SIZE_1_.AP CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR RW_000.C RW_000.AP VMA.C VMA.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR BGACK_030.C BGACK_030.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR DSACK1.C DSACK1.AP BG_000.C BG_000.AP inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.C inst_UDS_000_INT.AP A0.C A0.AP inst_CLK_030_H.C RW.C RW.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR RESET.C RESET.AR CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP AVEC_EXP.C AVEC_EXP.AR inst_CLK_000_NE.C inst_CLK_000_NE.AR UDS_000 LDS_000 DTACK un16_ciin AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D AVEC_EXP.D VMA.D AMIGA_BUS_ENABLE.D AMIGA_BUS_ENABLE_LOW.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D CLK_CNT_N_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D3.D inst_CLK_000_D0.D inst_CLK_000_NE.D inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE.D CLK_000_P_SYNC_9_.D CLK_000_N_SYNC_11_.D AS_000.D SM_AMIGA_7_.D SM_AMIGA_6_.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_4_.D CLK_000_N_SYNC_6_.D inst_CLK_030_H.D CLK_CNT_P_1_.D CLK_CNT_N_1_.D RW_000.D DSACK1.D CLK_CNT_P_0_.D RW.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_7_.D BG_000.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D CLK_EXP.D SM_AMIGA_5_.D SM_AMIGA_3_.D SM_AMIGA_2_.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D RESET.D cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D E.D -.p 460 ------------------------------------------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---0-------------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------0---------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------------------------- ~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0----------------------------------------------------------------------------------------------------- ~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------------0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-----------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------1----------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------0--------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0----------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------1------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -----------------------------1--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1----------------0010--1-----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-----0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------0------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------01---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0001------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1110------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ --------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0--------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------0-------1--0------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1-------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1-----------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----1--------------------------0----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------0----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1-0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1---------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0-------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------0-------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1----------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1-------10-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------1----------------------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----1-----------------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------0---------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------1------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----1--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -----0-----------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-----------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------1---------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------11--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0--------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------0-------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0----1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1---1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ----0----------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ---------0-----------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -----0--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------------------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0----------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------------------------0--------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ------------------------------------------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------------------------0-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --------------------------------1-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------1----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------------------1-----------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----0--------------------------------------------------------------------------------1------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0-----0--------------------------------------------------------------------------------1------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------1-----------------------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------1-----------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --------------------------------0-------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --------------------------------1-----------------------------------------------------------------1-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------1------------------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------0--------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------1-----------------------------------------------------------------1--1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------------------------------------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------0---------------------0--------------1-------------------------------------------1------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------------------------1-------------------------------------------------------------------11------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ----------------------------------------------------------------------------------------------------01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----1-----0--------------------------------------------------------------------------------1--------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0-----0--------------------------------------------------------------------------------1--------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------------------1--------------------------------------------0110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-------------------------------------------------------------------00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------------------------------------------------------------1----------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------1-------1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------------1-1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------0---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-------------------------1--------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1--------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1-------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1-----------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1----------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-----------00000001111------------------------------------------------------------------------------0----------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------------------1---------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-----------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-------------------------------------------------------------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0---------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---1------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----------------------------1------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---1------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----------------------------1------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0--100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------1-------------------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------------------------1----------------------1---------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------0-----------------------------------------------------------------------0---------1 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------0 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1---------------------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----00------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------------------------- ~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1----------------------------------------------------------------------------------------------------- ~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1-------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1----------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1---------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0--1--------0000000---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------0--------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------0-------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----0---------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------0--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~ -------------------------------00--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----0---------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------0--------------------11-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------00-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0-----------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----0------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------1---------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------1------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0----------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------------------00---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1----------0-----0--0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-------------------------------------------------1-0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------10-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------01------1--0------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1----------------0-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------01------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------0---------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------0--0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1--1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ --------1---------------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-----------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1---------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1--------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------0--------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------00-------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0---1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------01--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0--0---------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ------0--------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------0---------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -------------------------------------------------------0-----------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------------------0--------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------0----------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------1-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------0-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------1---------------1-------10----------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------1---------------1--------0---------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------100---------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------001--------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------0-----------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------00---------------------------------001-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------1--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------------0------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------1------0100---------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------0-00--------------------------------100-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------0-------1--0-------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------------0--------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ --------------------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ---------------------------------0----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -----0-----0---------------------------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------0--------------------1------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------0---------------------------------------------------------------------------------0-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------0------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------0-----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0--------1-------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------0-------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ---------------------------------0------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ---------------------------------0-------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0--------------------00--------------1--------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0---------------------0--------------1---------0----------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0--------------------10--------------1--------0----------------------------------1------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------0--------------1------0-00---------------------------------10-----0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------------------------------------------------1101------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------------------------------------------------------------------------------------------0001------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -----0-----0-----------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------0--------------------1--------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------0--------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -----------0---------------------------------------------------------------------------------0-------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------------------------------------------------------01-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------1-----------------------------------------------------------------10-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ----------------------------------------------------------------------------------------------------10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------1-----------------------------------------------------------------1110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------------------------------------------------------------------------------------------0010------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------------------------------------------------------------------------100------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ------------------------------------------------------------------------------------------------------1----------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-0--1--------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0--0-------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-----------00000001111------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1----------------0010--1-----------------------------------------------------------------------0----------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------0-----------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----------------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---011-------------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11-0-----------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11------------------1------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11-------------------1-----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11--------------------0----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11---------------------1---1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11------------------------01----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1------------------1---------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1-------------------1--------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1----------------------------------------------------------0-------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------0-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------0-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0-----------------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0------0---------------------1------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0----------------------------------0------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0-----------------------------------------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0--------------------------------------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----------------------------0---------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1---------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0-----------------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0------0---------------------1------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0----------------------------------0------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0-----------------------------------------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0--------------------------------------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----------------------------0---------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----1----------------------------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------1--------------------1-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------1---------------------------------------------------------------------------------0------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------1--------------------0---------------1---------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1------------------------------------1---------0-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1--------------------1---------------1--------0----------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------1------0-00---------------------------------10------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------0 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------01---------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------0------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------0--------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0-------------------------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -.end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 deleted file mode 100644 index 17e25e7..0000000 --- a/Logic/68030_tk.tt4 +++ /dev/null @@ -1,277 +0,0 @@ -#$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sun Jun 22 21:24:20 2014 -#$ MODULE BUS68030 -#$ PINS 59 A_31_ IPL_2_ FC_1_ A_30_ UDS_000 A_29_ LDS_000 A_28_ A_27_ - nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ A_23_ A_22_ BGACK_000 A_21_ CLK_030 - A_20_ CLK_000 A_19_ CLK_OSZI A_18_ CLK_DIV_OUT A_17_ A_16_ FPU_CS DTACK IPL_1_ - AVEC IPL_0_ FC_0_ VPA RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 - AS_000 RW_000 SIZE_0_ DS_030 A0 BG_000 BGACK_030 CLK_EXP IPL_030_1_ DSACK1 - IPL_030_0_ AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW -#$ NODES 57 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D - inst_CLK_OUT_PRE_50_D CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 - inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_D0 inst_CLK_000_NE - inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ - SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ - inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT - inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ - CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ - CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ - CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ - CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ - CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ un16_ciin cpu_est_0_ - cpu_est_1_ cpu_est_2_ AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0 -.type f -.i 115 -.o 251 -.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 - CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ - A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q - AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q - inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q - CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q - inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_D0.Q inst_CLK_000_NE.Q - inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q - AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q - CLK_000_N_SYNC_6_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q - DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q - inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q - CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q - CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q - CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q - CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q BG_000.Q CLK_000_N_SYNC_8_.Q - CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q - SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q un16_ciin IPL_030_2_.Q cpu_est_0_.Q - cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN - UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN - RW.PIN AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0 -.ob UDS_000% UDS_000.OE LDS_000% LDS_000.OE CLK_DIV_OUT.D CLK_DIV_OUT.C - CLK_DIV_OUT.AR FPU_CS% DTACK DTACK.OE AVEC AMIGA_BUS_DATA_DIR CIIN CIIN.OE - SIZE_1_.D% SIZE_1_.C SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D IPL_030_2_.C - IPL_030_2_.AP AS_030.D AS_030.C AS_030.AP AS_030.OE AS_000.D% AS_000.C AS_000.AP - AS_000.OE RW_000.D% RW_000.C RW_000.AP RW_000.OE SIZE_0_.D% SIZE_0_.C SIZE_0_.AP - SIZE_0_.OE DS_030.D DS_030.C DS_030.AP DS_030.OE A0.D A0.C A0.AP A0.OE BG_000.D% - BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C - CLK_EXP.AR IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP DSACK1.D% DSACK1.C DSACK1.AP - DSACK1.OE IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP AVEC_EXP.D AVEC_EXP.C - AVEC_EXP.AR E.D% E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C - RESET.AR RW.D% RW.C RW.AP RW.OE AMIGA_BUS_ENABLE.D% AMIGA_BUS_ENABLE.C - AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.D AMIGA_BUS_ENABLE_LOW.C - AMIGA_BUS_ENABLE_LOW.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C - inst_AS_030_000_SYNC.AP inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C - inst_BGACK_030_INT_D.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP - inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR - CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR inst_CLK_OUT_PRE_50.D - inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR inst_CLK_OUT_PRE_25.D - inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR inst_CLK_000_D1.D inst_CLK_000_D1.C - inst_CLK_000_D1.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP - inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D0.D - inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_NE.D inst_CLK_000_NE.C - inst_CLK_000_NE.AR inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C - inst_CLK_OUT_PRE_D.AR inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR - CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR - CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR SM_AMIGA_7_.D - SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR - SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C - SM_AMIGA_0_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_N_SYNC_6_.D - CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR inst_CLK_030_H.D inst_CLK_030_H.C - CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C - CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR inst_LDS_000_INT.D - inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C - inst_DS_000_ENABLE.AR inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP - CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.D - CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C - CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C - CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C - CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C - CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C - CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C - CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C - CLK_000_P_SYNC_8_.AR CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C - CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C - CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C - CLK_000_N_SYNC_2_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C - CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C - CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C - CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C - CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C - CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C - CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C - CLK_000_N_SYNC_10_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_3_.D - SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR - un16_ciin% cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C - cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR AMIGA_BUS_ENABLE_LOW_0 - SM_AMIGA_7__0 -.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 171 --------------------------------------------------------------------10---------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1------------------------------------------------------------------------------------ 01010000000000000000010000001000100001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------01----------------------------------------------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------1------------------------------------------------------------------ 00001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1--------------------------------------------------------------------------------------------------------- 00000100000000010001001000100010001000100010001001001001001000100100100010010010001000001001001001000001001001001001001001001001001001001001001001001001001010000010010010010010010010010010010010010010010010010010010010010010010010010010010001001001000 ------------0------------------------------------------------------------------------------------------------------- 00000010000000001000100100010001000100010001000100100100100100010010010001001001000100100100100100100100100100100100100100100100100100100100100100100100100001001001001001001001001001001001001001001001001001001001001001001001001001001001001000100100100 ---1---1----------------0010--1-----------------------------------------------------------------------0------------- 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------1---- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------0------0----------------------------------------------------------------------------- 00000000010000000100000010000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------0-----------------------------------------------------------------------0---------1-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1---------------------------------------------------------------------------------0-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-----------00000001111------------------------------------------------------------------------------0------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 ------------------------------------------------------------------------------------------------0------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--01-------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--10-------- 00000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------------------------1----------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0----------------------------------------------------------------1------------------ 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----------------------------1----------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1------------ 00000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------------11-------- 00000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1----------------------1------------------------------------------------------------ 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 -----1-----------------------------------------------0------------------------------------------------0------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0---------------------0--------0---------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------00-------0---------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1---------------------01---------------------------------------------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--00-------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-----------------------------1---------------------1------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1-----------------------------------------------------------------1----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-------------------------------------------------------------1---------------------------------0----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------0---------1---------------------------------0----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0--------------------------------------------------------------------------------0---------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-0--1--------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-----------------------1------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1------------------------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1---1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0--------------------------------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------1--1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1----------------------------------------------------------0-------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1--1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0-------------------------------------------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------------------------------------------------11--------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0--------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------------01-0-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------10-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------10-------------------1--------------------------------------------0110-------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----0--------1-------------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0----------------------0----------------------------------0------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1----------------------0-----------------------------------------------------------------------00-0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0----------------------0----------------------------------0------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1----------------------0-----------------------------------------------------------------------00--0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1----------0-----0--0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------01------1--0------1-00---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1----------------0-00---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1------------------1---------------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1-------------------1--------------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0-------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0-------------------------------1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1----------------0010--1-----1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------1-----------------0------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------1-------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1--------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------0--------------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------0--------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1--1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------01------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0-10------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1---------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0-------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0-------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0----------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----1--------------------------------------------------------------------------------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0--------------------------------------------------------------------------------1--------0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0--------------------------------------------------------------------------------1------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0---------------------1----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------------------------------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------0-------1--0------1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0----------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1------------------------------------------0-------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1-------10---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-----------------------------------------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0---1------------------0------0----------------------------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----------------------------1------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0---1------------------0------0----------------------------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----------------------------1------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------1---1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------0---0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------1-------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------0--100----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1--------------------------------------------------------------1---------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1----------------------1---------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------1-----------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------0----1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------0001-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 --------------------------------------------1110-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 ------------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 -----------------------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 --------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 ----------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -----------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 -----1------------------------------------------0------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 -----1-----0---------------------1----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1------------------------------------------0-------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1-----0--------------------------------------------------------------------------------1------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1-----0--------------------------------------------------------------------------------1--------0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1-----1--------------------------------------------------------------------------------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1--------------------------0------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -----------0---------------------0--------------1-------------------------------------------1------0-1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -----------1------------------------------------1-------------------------------------------1-------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -0--1--------0000000------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 ----1-------------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------------------------0-----------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------------------------1-----------------------------------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------------------------0------------------------------------------------------------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------------------------------------------------------------------------01---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1-----------------------------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1-------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1-------------------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1-----------------------------------------------------------------00---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------0-------------------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 ---------------------------------------------------------------------------------------------------11--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------1-----------------------------------------------------------------1--1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 -----------------------------------------0-----------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 -------------------------------------------------------------11----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------------------------1------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -----------------------------------------------1-------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 --------------------------------------------0----------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ------------------------------------1------------------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -.end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte deleted file mode 100644 index 0a56133..0000000 --- a/Logic/68030_tk.tte +++ /dev/null @@ -1,277 +0,0 @@ -#$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sun Jun 22 21:24:20 2014 -#$ MODULE BUS68030 -#$ PINS 59 A_31_ IPL_2_ FC_1_ A_30_ UDS_000 A_29_ LDS_000 A_28_ A_27_ - nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ A_23_ A_22_ BGACK_000 A_21_ CLK_030 - A_20_ CLK_000 A_19_ CLK_OSZI A_18_ CLK_DIV_OUT A_17_ A_16_ FPU_CS DTACK IPL_1_ - AVEC IPL_0_ FC_0_ VPA RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 - AS_000 RW_000 SIZE_0_ DS_030 A0 BG_000 BGACK_030 CLK_EXP IPL_030_1_ DSACK1 - IPL_030_0_ AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW -#$ NODES 57 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D - inst_CLK_OUT_PRE_50_D CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 - inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_D0 inst_CLK_000_NE - inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ - SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ - inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT - inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ - CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ - CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ - CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ - CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ - CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ un16_ciin cpu_est_0_ - cpu_est_1_ cpu_est_2_ AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0 -.type f -.i 115 -.o 251 -.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 - CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ - A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q - AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q - inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q - CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q - inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_D0.Q inst_CLK_000_NE.Q - inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q - AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q - CLK_000_N_SYNC_6_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q - DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q - inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q - CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q - CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q - CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q - CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q BG_000.Q CLK_000_N_SYNC_8_.Q - CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q - SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q un16_ciin IPL_030_2_.Q cpu_est_0_.Q - cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN - UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN - RW.PIN AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0 -.ob UDS_000- UDS_000.OE LDS_000- LDS_000.OE CLK_DIV_OUT.D CLK_DIV_OUT.C - CLK_DIV_OUT.AR FPU_CS- DTACK DTACK.OE AVEC AMIGA_BUS_DATA_DIR CIIN CIIN.OE - SIZE_1_.D- SIZE_1_.C SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D IPL_030_2_.C - IPL_030_2_.AP AS_030.D AS_030.C AS_030.AP AS_030.OE AS_000.D- AS_000.C AS_000.AP - AS_000.OE RW_000.D- RW_000.C RW_000.AP RW_000.OE SIZE_0_.D- SIZE_0_.C SIZE_0_.AP - SIZE_0_.OE DS_030.D DS_030.C DS_030.AP DS_030.OE A0.D A0.C A0.AP A0.OE BG_000.D- - BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C - CLK_EXP.AR IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP DSACK1.D- DSACK1.C DSACK1.AP - DSACK1.OE IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP AVEC_EXP.D AVEC_EXP.C - AVEC_EXP.AR E.D- E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C - RESET.AR RW.D- RW.C RW.AP RW.OE AMIGA_BUS_ENABLE.D- AMIGA_BUS_ENABLE.C - AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.D AMIGA_BUS_ENABLE_LOW.C - AMIGA_BUS_ENABLE_LOW.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C - inst_AS_030_000_SYNC.AP inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C - inst_BGACK_030_INT_D.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP - inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR - CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR inst_CLK_OUT_PRE_50.D - inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR inst_CLK_OUT_PRE_25.D - inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR inst_CLK_000_D1.D inst_CLK_000_D1.C - inst_CLK_000_D1.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP - inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D0.D - inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_NE.D inst_CLK_000_NE.C - inst_CLK_000_NE.AR inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C - inst_CLK_OUT_PRE_D.AR inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR - CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR - CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR SM_AMIGA_7_.D - SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR - SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C - SM_AMIGA_0_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_N_SYNC_6_.D - CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR inst_CLK_030_H.D inst_CLK_030_H.C - CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C - CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR inst_LDS_000_INT.D - inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C - inst_DS_000_ENABLE.AR inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP - CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.D - CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C - CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C - CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C - CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C - CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C - CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C - CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C - CLK_000_P_SYNC_8_.AR CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C - CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C - CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C - CLK_000_N_SYNC_2_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C - CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C - CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C - CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C - CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C - CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C - CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C - CLK_000_N_SYNC_10_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_3_.D - SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR - un16_ciin- cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C - cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR AMIGA_BUS_ENABLE_LOW_0 - SM_AMIGA_7__0 -.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 171 --------------------------------------------------------------------10---------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1------------------------------------------------------------------------------------ 01010000000000000000010000001000100001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------01----------------------------------------------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------1------------------------------------------------------------------ 00001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1--------------------------------------------------------------------------------------------------------- 00000100000000010001001000100010001000100010001001001001001000100100100010010010001000001001001001000001001001001001001001001001001001001001001001001001001010000010010010010010010010010010010010010010010010010010010010010010010010010010010001001001000 ------------0------------------------------------------------------------------------------------------------------- 00000010000000001000100100010001000100010001000100100100100100010010010001001001000100100100100100100100100100100100100100100100100100100100100100100100100001001001001001001001001001001001001001001001001001001001001001001001001001001001001000100100100 ---1---1----------------0010--1-----------------------------------------------------------------------0------------- 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------1---- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------0------0----------------------------------------------------------------------------- 00000000010000000100000010000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------0-----------------------------------------------------------------------0---------1-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1---------------------------------------------------------------------------------0-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-----------00000001111------------------------------------------------------------------------------0------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 ------------------------------------------------------------------------------------------------0------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--01-------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--10-------- 00000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------------------------1----------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0----------------------------------------------------------------1------------------ 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----------------------------1----------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1------------ 00000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------------11-------- 00000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1----------------------1------------------------------------------------------------ 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 -----1-----------------------------------------------0------------------------------------------------0------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0---------------------0--------0---------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------00-------0---------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1---------------------01---------------------------------------------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--00-------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-----------------------------1---------------------1------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1-----------------------------------------------------------------1----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-------------------------------------------------------------1---------------------------------0----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------0---------1---------------------------------0----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0--------------------------------------------------------------------------------0---------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-0--1--------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-----------------------1------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1------------------------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1---1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0--------------------------------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------1--1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1----------------------------------------------------------0-------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1--1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0-------------------------------------------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------------------------------------------------11--------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0--------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------------01-0-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------10-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------10-------------------1--------------------------------------------0110-------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----0--------1-------------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0----------------------0----------------------------------0------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1----------------------0-----------------------------------------------------------------------00-0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0----------------------0----------------------------------0------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1----------------------0-----------------------------------------------------------------------00--0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1----------0-----0--0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------01------1--0------1-00---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1----------------0-00---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1------------------1---------------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1-------------------1--------------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0-------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0-------------------------------1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1----------------0010--1-----1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------1-----------------0------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------1-------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1--------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------0--------------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------0--------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1--1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------01------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0-10------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1---------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0-------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0-------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0----------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----1--------------------------------------------------------------------------------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0--------------------------------------------------------------------------------1--------0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0--------------------------------------------------------------------------------1------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0---------------------1----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------------------------------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------0-------1--0------1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0----------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1------------------------------------------0-------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1-------10---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-----------------------------------------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0---1------------------0------0----------------------------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----------------------------1------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0---1------------------0------0----------------------------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----------------------------1------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------1---1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------0---0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------1-------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------0--100----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1--------------------------------------------------------------1---------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1----------------------1---------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------1-----------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------0----1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------0001-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 --------------------------------------------1110-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 ------------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 -----------------------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 --------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 ----------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -----------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 -----1------------------------------------------0------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 -----1-----0---------------------1----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1------------------------------------------0-------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1-----0--------------------------------------------------------------------------------1------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1-----0--------------------------------------------------------------------------------1--------0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1-----1--------------------------------------------------------------------------------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -----1--------------------------0------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -----------0---------------------0--------------1-------------------------------------------1------0-1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -----------1------------------------------------1-------------------------------------------1-------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -0--1--------0000000------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 ----1-------------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------------------------0-----------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------------------------1-----------------------------------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------------------------0------------------------------------------------------------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------------------------------------------------------------------------01---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1-----------------------------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1-------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1-------------------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1-----------------------------------------------------------------00---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------0-------------------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 ---------------------------------------------------------------------------------------------------11--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------1-----------------------------------------------------------------1--1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 -----------------------------------------0-----------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 -------------------------------------------------------------11----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------------------------1------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -----------------------------------------------1-------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 --------------------------------------------0----------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ------------------------------------1------------------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -.end diff --git a/Logic/68030_tk.v2l b/Logic/68030_tk.v2l deleted file mode 100644 index e69de29..0000000 diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl deleted file mode 100644 index 6c10a4e..0000000 --- a/Logic/68030_tk.vcl +++ /dev/null @@ -1,239 +0,0 @@ -[DEVICE] - -Family = M4A5; -PartType = M4A5-128/64; -Package = 100TQFP; -PartNumber = M4A5-128/64-10VC; -Speed = -10; -Operating_condition = COM; -EN_Segment = NO; -Pin_MC_1to1 = NO; -Voltage = 5.0; - -[REVISION] - -RCS = "$Revision: 1.2 $"; -Parent = m4a5.lci; -SDS_file = m4a5.sds; -Design = 68030_tk.tt4; -Rev = 0.01; -DATE = 6/22/14; -TIME = 21:24:26; -Type = TT2; -Pre_Fit_Time = 1; -Source_Format = Pure_VHDL; - -[IGNORE ASSIGNMENTS] - -Pin_Assignments = NO; -Pin_Keep_Block = NO; -Pin_Keep_Segment = NO; -Group_Assignments = NO; -Macrocell_Assignments = NO; -Macrocell_Keep_Block = NO; -Macrocell_Keep_Segment = NO; -Pin_Reservation = NO; -Timing_Constraints = NO; -Block_Reservation = NO; -Segment_Reservation = NO; -Ignore_Source_Location = NO; -Ignore_Source_Optimization = NO; -Ignore_Source_Timing = NO; - -[CLEAR ASSIGNMENTS] - -Pin_Assignments = NO; -Pin_Keep_Block = NO; -Pin_Keep_Segment = NO; -Group_Assignments = NO; -Macrocell_Assignments = NO; -Macrocell_Keep_Block = NO; -Macrocell_Keep_Segment = NO; -Pin_Reservation = NO; -Timing_Constraints = NO; -Block_Reservation = NO; -Segment_Reservation = NO; -Ignore_Source_Location = NO; -Ignore_Source_Optimization = NO; -Ignore_Source_Timing = NO; - -[BACKANNOTATE NETLIST] - -Netlist = VHDL; -Delay_File = SDF; -Generic_VCC = ; -Generic_GND = ; - -[BACKANNOTATE ASSIGNMENTS] - -Pin_Assignment = NO; -Pin_Block = NO; -Pin_Macrocell_Block = NO; -Routing = NO; - -[GLOBAL PROJECT OPTIMIZATION] - -Balanced_Partitioning = YES; -Spread_Placement = YES; -Max_Pin_Percent = 100; -Max_Macrocell_Percent = 100; -Max_Inter_Seg_Percent = 100; -Max_Seg_In_Percent = 100; -Max_Blk_In_Percent = 100; - -[FITTER REPORT FORMAT] - -Fitter_Options = YES; -Pinout_Diagram = NO; -Pinout_Listing = YES; -Detailed_Block_Segment_Summary = YES; -Input_Signal_List = YES; -Output_Signal_List = YES; -Bidir_Signal_List = YES; -Node_Signal_List = YES; -Signal_Fanout_List = YES; -Block_Segment_Fanin_List = YES; -Prefit_Eqn = YES; -Postfit_Eqn = YES; -Page_Break = YES; - -[OPTIMIZATION OPTIONS] - -Logic_Reduction = YES; -Max_PTerm_Split = 16; -Max_PTerm_Collapse = 16; -XOR_Synthesis = YES; -Node_Collapse = Yes; -DT_Synthesis = Yes; - -[FITTER GLOBAL OPTIONS] - -Run_Time = 0; -Set_Reset_Dont_Care = YES; -In_Reg_Optimize = YES; -Clock_Optimize = NO; -Conf_Unused_IOs = OUT_LOW; - -[POWER] -Powerlevel = Low, High; -Default = High; -Type = GLB; - -[HARDWARE DEVICE OPTIONS] -Zero_Hold_Time = Yes; -Signature_Word = 0; -Pull_up = Yes; -Out_Slew_Rate = SLOW, FAST, 0; -Device_max_fanin = 33; -Device_max_pterms = 20; -Usercode_Format = Hex; - -[PIN RESERVATIONS] -layer = OFF; - -[LOCATION ASSIGNMENT] - -Layer = OFF -AS_030 = BIDIR,82,7,-; -AS_000 = BIDIR,33,3,-; -RW = BIDIR,71,6,-; -UDS_000 = OUTPUT,32,3,-; -LDS_000 = OUTPUT,31,3,-; -DS_030 = BIDIR,98,0,-; -RW_000 = BIDIR,80,7,-; -A0 = OUTPUT,69,6,-; -DSACK1 = BIDIR,81,7,-; -SIZE_1_ = OUTPUT,79,7,-; -SIZE_0_ = OUTPUT,70,6,-; -DTACK = OUTPUT,30,3,-; -AMIGA_BUS_ENABLE = OUTPUT,34,3,-; -E = OUTPUT,66,6,-; -BGACK_030 = OUTPUT,83,7,-; -AMIGA_BUS_DATA_DIR = OUTPUT,48,4,-; -VMA = OUTPUT,35,3,-; -BG_000 = OUTPUT,29,3,-; -IPL_030_2_ = OUTPUT,9,1,-; -IPL_030_0_ = OUTPUT,8,1,-; -IPL_030_1_ = OUTPUT,7,1,-; -AVEC = OUTPUT,92,0,-; -FPU_CS = OUTPUT,78,7,-; -CLK_DIV_OUT = OUTPUT,65,6,-; -CIIN = OUTPUT,47,4,-; -AVEC_EXP = OUTPUT,22,2,-; -AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-; -CLK_EXP = OUTPUT,10,1,-; -RESET = OUTPUT,3,1,-; -RN_BGACK_030 = NODE,-1,7,-; -RN_AVEC_EXP = NODE,-1,2,-; -RN_AS_030 = NODE,-1,7,-; -SM_AMIGA_6_ = NODE,*,3,-; -inst_CLK_000_D0 = NODE,*,5,-; -inst_CLK_000_D1 = NODE,*,4,-; -SM_AMIGA_7_ = NODE,*,5,-; -inst_AS_030_000_SYNC = NODE,*,2,-; -cpu_est_1_ = NODE,*,6,-; -RN_E = NODE,-1,6,-; -SM_AMIGA_1_ = NODE,*,5,-; -inst_CLK_000_NE = NODE,*,2,-; -cpu_est_2_ = NODE,*,6,-; -inst_DS_000_ENABLE = NODE,*,1,-; -inst_CLK_OUT_PRE_25 = NODE,*,0,-; -RN_VMA = NODE,-1,3,-; -cpu_est_0_ = NODE,*,3,-; -SM_AMIGA_5_ = NODE,*,5,-; -inst_UDS_000_INT = NODE,*,1,-; -inst_LDS_000_INT = NODE,*,6,-; -CLK_CNT_P_0_ = NODE,*,4,-; -SM_AMIGA_4_ = NODE,*,1,-; -SM_AMIGA_0_ = NODE,*,3,-; -inst_CLK_OUT_PRE_D = NODE,*,7,-; -inst_CLK_OUT_PRE_50 = NODE,*,7,-; -RN_DS_030 = NODE,-1,0,-; -RN_AMIGA_BUS_ENABLE = NODE,-1,3,-; -SM_AMIGA_3_ = NODE,*,5,-; -inst_CLK_030_H = NODE,*,0,-; -RN_RW = NODE,-1,6,-; -SM_AMIGA_7__0 = NODE,*,5,-; -RN_RW_000 = NODE,-1,7,-; -un16_ciin = NODE,*,4,-; -SM_AMIGA_2_ = NODE,*,5,-; -RN_IPL_030_0_ = NODE,-1,1,-; -RN_DSACK1 = NODE,-1,7,-; -RN_IPL_030_1_ = NODE,-1,1,-; -RN_BG_000 = NODE,-1,3,-; -RN_AS_000 = NODE,-1,3,-; -RN_IPL_030_2_ = NODE,-1,1,-; -AMIGA_BUS_ENABLE_LOW_0 = NODE,*,4,-; -CLK_CNT_N_0_ = NODE,*,4,-; -RN_AMIGA_BUS_ENABLE_LOW = NODE,-1,2,-; -CLK_000_N_SYNC_10_ = NODE,*,4,-; -CLK_000_N_SYNC_9_ = NODE,*,5,-; -CLK_000_N_SYNC_8_ = NODE,*,2,-; -CLK_000_N_SYNC_7_ = NODE,*,7,-; -CLK_000_N_SYNC_5_ = NODE,*,2,-; -CLK_000_N_SYNC_4_ = NODE,*,6,-; -CLK_000_N_SYNC_3_ = NODE,*,0,-; -CLK_000_N_SYNC_2_ = NODE,*,5,-; -CLK_000_N_SYNC_1_ = NODE,*,1,-; -CLK_000_N_SYNC_0_ = NODE,*,0,-; -CLK_000_P_SYNC_8_ = NODE,*,0,-; -CLK_000_P_SYNC_7_ = NODE,*,5,-; -CLK_000_P_SYNC_6_ = NODE,*,2,-; -CLK_000_P_SYNC_5_ = NODE,*,2,-; -CLK_000_P_SYNC_4_ = NODE,*,4,-; -CLK_000_P_SYNC_3_ = NODE,*,0,-; -CLK_000_P_SYNC_2_ = NODE,*,1,-; -CLK_000_P_SYNC_1_ = NODE,*,1,-; -CLK_000_P_SYNC_0_ = NODE,*,0,-; -CLK_CNT_N_1_ = NODE,*,4,-; -CLK_CNT_P_1_ = NODE,*,2,-; -CLK_000_N_SYNC_6_ = NODE,*,2,-; -CLK_000_N_SYNC_11_ = NODE,*,0,-; -CLK_000_P_SYNC_9_ = NODE,*,6,-; -inst_CLK_OUT_PRE = NODE,*,4,-; -inst_CLK_000_D3 = NODE,*,0,-; -inst_CLK_000_D2 = NODE,*,6,-; -inst_CLK_OUT_PRE_50_D = NODE,*,7,-; -inst_VPA_D = NODE,*,2,-; -inst_BGACK_030_INT_D = NODE,*,3,-; -CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco deleted file mode 100644 index e7edaad..0000000 --- a/Logic/68030_tk.vco +++ /dev/null @@ -1,252 +0,0 @@ -[DEVICE] - -Family = M4A5; -PartType = M4A5-128/64; -Package = 100TQFP; -PartNumber = M4A5-128/64-10VC; -Speed = -10; -Operating_condition = COM; -EN_Segment = NO; -Pin_MC_1to1 = NO; -Voltage = 5.0; - -[REVISION] - -RCS = "$Revision: 1.2 $"; -Parent = m4a5.lci; -SDS_file = m4a5.sds; -Design = 68030_tk.tt4; -Rev = 0.01; -DATE = 6/22/14; -TIME = 21:24:26; -Type = TT2; -Pre_Fit_Time = 1; -Source_Format = Pure_VHDL; - -[IGNORE ASSIGNMENTS] - -Pin_Assignments = NO; -Pin_Keep_Block = NO; -Pin_Keep_Segment = NO; -Group_Assignments = NO; -Macrocell_Assignments = NO; -Macrocell_Keep_Block = NO; -Macrocell_Keep_Segment = NO; -Pin_Reservation = NO; -Timing_Constraints = NO; -Block_Reservation = NO; -Segment_Reservation = NO; -Ignore_Source_Location = NO; -Ignore_Source_Optimization = NO; -Ignore_Source_Timing = NO; - -[CLEAR ASSIGNMENTS] - -Pin_Assignments = NO; -Pin_Keep_Block = NO; -Pin_Keep_Segment = NO; -Group_Assignments = NO; -Macrocell_Assignments = NO; -Macrocell_Keep_Block = NO; -Macrocell_Keep_Segment = NO; -Pin_Reservation = NO; -Timing_Constraints = NO; -Block_Reservation = NO; -Segment_Reservation = NO; -Ignore_Source_Location = NO; -Ignore_Source_Optimization = NO; -Ignore_Source_Timing = NO; - -[BACKANNOTATE NETLIST] - -Netlist = VHDL; -Delay_File = SDF; -Generic_VCC = ; -Generic_GND = ; - -[BACKANNOTATE ASSIGNMENTS] - -Pin_Assignment = NO; -Pin_Block = NO; -Pin_Macrocell_Block = NO; -Routing = NO; - -[GLOBAL PROJECT OPTIMIZATION] - -Balanced_Partitioning = YES; -Spread_Placement = YES; -Max_Pin_Percent = 100; -Max_Macrocell_Percent = 100; -Max_Inter_Seg_Percent = 100; -Max_Seg_In_Percent = 100; -Max_Blk_In_Percent = 100; - -[FITTER REPORT FORMAT] - -Fitter_Options = YES; -Pinout_Diagram = NO; -Pinout_Listing = YES; -Detailed_Block_Segment_Summary = YES; -Input_Signal_List = YES; -Output_Signal_List = YES; -Bidir_Signal_List = YES; -Node_Signal_List = YES; -Signal_Fanout_List = YES; -Block_Segment_Fanin_List = YES; -Prefit_Eqn = YES; -Postfit_Eqn = YES; -Page_Break = YES; - -[OPTIMIZATION OPTIONS] - -Logic_Reduction = YES; -Max_PTerm_Split = 16; -Max_PTerm_Collapse = 16; -XOR_Synthesis = YES; -Node_Collapse = Yes; -DT_Synthesis = Yes; - -[FITTER GLOBAL OPTIONS] - -Run_Time = 0; -Set_Reset_Dont_Care = YES; -In_Reg_Optimize = YES; -Clock_Optimize = NO; -Conf_Unused_IOs = OUT_LOW; - -[POWER] -Powerlevel = Low, High; -Default = High; -Type = GLB; - -[HARDWARE DEVICE OPTIONS] -Zero_Hold_Time = Yes; -Signature_Word = 0; -Pull_up = Yes; -Out_Slew_Rate = SLOW, FAST, 0; -Device_max_fanin = 33; -Device_max_pterms = 20; -Usercode_Format = Hex; - -[PIN RESERVATIONS] -layer = OFF; - -[LOCATION ASSIGNMENT] - -Layer = OFF; -A_31_ = INPUT,4, B,-; -IPL_2_ = INPUT,68, G,-; -FC_1_ = INPUT,58, F,-; -A_30_ = INPUT,5, B,-; -UDS_000 = BIDIR,32, D,-; -A_29_ = INPUT,6, B,-; -LDS_000 = BIDIR,31, D,-; -A_28_ = INPUT,15, C,-; -A_27_ = INPUT,16, C,-; -nEXP_SPACE = INPUT,14,-,-; -A_26_ = INPUT,17, C,-; -BERR = INPUT,41, E,-; -A_25_ = INPUT,18, C,-; -BG_030 = INPUT,21, C,-; -A_24_ = INPUT,19, C,-; -A_23_ = INPUT,84, H,-; -A_22_ = INPUT,85, H,-; -BGACK_000 = INPUT,28, D,-; -A_21_ = INPUT,94, A,-; -CLK_030 = INPUT,64,-,-; -A_20_ = INPUT,93, A,-; -CLK_000 = INPUT,11,-,-; -A_19_ = INPUT,97, A,-; -CLK_OSZI = INPUT,61,-,-; -A_18_ = INPUT,95, A,-; -CLK_DIV_OUT = OUTPUT,65, G,-; -A_17_ = INPUT,59, F,-; -A_16_ = INPUT,96, A,-; -FPU_CS = OUTPUT,78, H,-; -DTACK = BIDIR,30, D,-; -IPL_1_ = INPUT,56, F,-; -AVEC = OUTPUT,92, A,-; -IPL_0_ = INPUT,67, G,-; -FC_0_ = INPUT,57, F,-; -VPA = INPUT,36,-,-; -RST = INPUT,86,-,-; -AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; -CIIN = OUTPUT,47, E,-; -SIZE_1_ = BIDIR,79, H,-; -IPL_030_2_ = OUTPUT,9, B,-; -AS_030 = BIDIR,82, H,-; -AS_000 = BIDIR,33, D,-; -RW_000 = BIDIR,80, H,-; -SIZE_0_ = BIDIR,70, G,-; -DS_030 = BIDIR,98, A,-; -A0 = BIDIR,69, G,-; -BG_000 = OUTPUT,29, D,-; -BGACK_030 = OUTPUT,83, H,-; -CLK_EXP = OUTPUT,10, B,-; -IPL_030_1_ = OUTPUT,7, B,-; -DSACK1 = BIDIR,81, H,-; -IPL_030_0_ = OUTPUT,8, B,-; -AVEC_EXP = OUTPUT,22, C,-; -E = OUTPUT,66, G,-; -VMA = OUTPUT,35, D,-; -RESET = OUTPUT,3, B,-; -RW = BIDIR,71, G,-; -AMIGA_BUS_ENABLE = OUTPUT,34, D,-; -AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; -inst_AS_030_000_SYNC = NODE,4, C,-; -inst_BGACK_030_INT_D = NODE,10, D,-; -inst_VPA_D = NODE,10, C,-; -inst_CLK_OUT_PRE_50_D = NODE,6, H,-; -CLK_CNT_N_0_ = NODE,9, E,-; -inst_CLK_OUT_PRE_50 = NODE,13, H,-; -inst_CLK_OUT_PRE_25 = NODE,8, A,-; -inst_CLK_000_D1 = NODE,4, E,-; -inst_CLK_000_D2 = NODE,10, G,-; -inst_CLK_000_D3 = NODE,10, A,-; -inst_CLK_000_D0 = NODE,0, F,-; -inst_CLK_000_NE = NODE,8, C,-; -inst_CLK_OUT_PRE_D = NODE,9, H,-; -inst_CLK_OUT_PRE = NODE,10, E,-; -CLK_000_P_SYNC_9_ = NODE,6, G,-; -CLK_000_N_SYNC_11_ = NODE,6, A,-; -SM_AMIGA_7_ = NODE,4, F,-; -SM_AMIGA_6_ = NODE,9, D,-; -SM_AMIGA_1_ = NODE,8, F,-; -SM_AMIGA_0_ = NODE,6, D,-; -SM_AMIGA_4_ = NODE,13, B,-; -CLK_000_N_SYNC_6_ = NODE,6, C,-; -inst_CLK_030_H = NODE,12, A,-; -CLK_CNT_P_1_ = NODE,2, C,-; -CLK_CNT_N_1_ = NODE,6, E,-; -CLK_CNT_P_0_ = NODE,8, E,-; -inst_LDS_000_INT = NODE,13, G,-; -inst_DS_000_ENABLE = NODE,5, B,-; -inst_UDS_000_INT = NODE,9, B,-; -CLK_000_P_SYNC_0_ = NODE,2, A,-; -CLK_000_P_SYNC_1_ = NODE,10, B,-; -CLK_000_P_SYNC_2_ = NODE,6, B,-; -CLK_000_P_SYNC_3_ = NODE,13, A,-; -CLK_000_P_SYNC_4_ = NODE,2, E,-; -CLK_000_P_SYNC_5_ = NODE,13, C,-; -CLK_000_P_SYNC_6_ = NODE,9, C,-; -CLK_000_P_SYNC_7_ = NODE,6, F,-; -CLK_000_P_SYNC_8_ = NODE,9, A,-; -CLK_000_N_SYNC_0_ = NODE,5, A,-; -CLK_000_N_SYNC_1_ = NODE,2, B,-; -CLK_000_N_SYNC_2_ = NODE,2, F,-; -CLK_000_N_SYNC_3_ = NODE,1, A,-; -CLK_000_N_SYNC_4_ = NODE,2, G,-; -CLK_000_N_SYNC_5_ = NODE,5, C,-; -CLK_000_N_SYNC_7_ = NODE,2, H,-; -CLK_000_N_SYNC_8_ = NODE,1, C,-; -CLK_000_N_SYNC_9_ = NODE,13, F,-; -CLK_000_N_SYNC_10_ = NODE,13, E,-; -SM_AMIGA_5_ = NODE,12, F,-; -SM_AMIGA_3_ = NODE,1, F,-; -SM_AMIGA_2_ = NODE,9, F,-; -un16_ciin = NODE,1, E,-; -cpu_est_0_ = NODE,2, D,-; -cpu_est_1_ = NODE,5, G,-; -cpu_est_2_ = NODE,9, G,-; -AMIGA_BUS_ENABLE_LOW_0 = NODE,5, E,-; -SM_AMIGA_7__0 = NODE,5, F,-; diff --git a/Logic/68030_tk.vct b/Logic/68030_tk.vct deleted file mode 100644 index 110ae2a..0000000 --- a/Logic/68030_tk.vct +++ /dev/null @@ -1,216 +0,0 @@ -[DEVICE] -Family = M4A5; -PartType = M4A5-128/64; -Package = 100TQFP; -PartNumber = M4A5-128/64-10VC; -Speed = -10; -Operating_condition = COM; -EN_Segment = No; -Pin_MC_1to1 = No; -EN_PinReserve_IO = Yes; -EN_PinReserve_BIDIR = Yes; -Voltage = 5.0; - -[REVISION] -RCS = "$Revision: 1.2 $"; -Parent = m4a5.lci; -SDS_File = m4a5.sds; -DATE = 06/08/2014; -TIME = 11:30:13; -Source_Format = Pure_VHDL; -Type = TT2; -Pre_Fit_Time = 1; - -[IGNORE ASSIGNMENTS] -Pin_Assignments = No; -Pin_Keep_Block = No; -Pin_Keep_Segment = No; -Group_Assignments = No; -Macrocell_Assignments = No; -Macrocell_Keep_Block = No; -Macrocell_Keep_Segment = No; -Pin_Reservation = No; -Block_Reservation = No; -Segment_Reservation = No; -Timing_Constraints = No; - -[CLEAR ASSIGNMENTS] -Pin_Assignments = No; -Pin_Keep_Block = No; -Pin_Keep_Segment = No; -Group_Assignments = No; -Macrocell_Assignments = No; -Macrocell_Keep_Block = No; -Macrocell_Keep_Segment = No; -Pin_Reservation = No; -Block_Reservation = No; -Segment_Reservation = No; -Timing_Constraints = No; - -[BACKANNOTATE ASSIGNMENTS] -Pin_Block = No; -Pin_Macrocell_Block = No; -Routing = No; - -[GLOBAL PROJECT OPTIMIZATION] -Balanced_Partitioning = Yes; -Spread_Placement = Yes; -Max_Pin_Percent = 100; -Max_Macrocell_Percent = 100; -Max_Blk_In_Percent = 100; - -[OPTIMIZATION OPTIONS] -Logic_Reduction = Yes; -Max_PTerm_Split = 16; -Max_PTerm_Collapse = 16; -XOR_Synthesis = Yes; -EN_XOR_Synthesis = Yes; -XOR_Gate = Yes; -Node_Collapse = Yes; -Keep_XOR = Yes; -DT_Synthesis = Yes; -Clock_PTerm = Min; -Reset_PTerm = On; -Preset_PTerm = On; -Clock_Enable_PTerm = On; -Output_Enable_PTerm = On; -EN_DT_Synthesis = Yes; -Cluster_PTerm = 5; -FF_inv = No; -EN_Use_CE = No; -Use_CE = No; -Use_Internal_COM_FB = Yes; -EN_use_Internal_COM_FB = Yes; -Set_Reset_Swap = No; -EN_Set_Reset_Swap = No; -Density = No; -DeMorgan = Yes; -T_FF = Yes; -Max_Symbols = 32; - -[FITTER GLOBAL OPTIONS] -Run_Time = 0; -Set_Reset_Dont_Care = Yes; -EN_Set_Reset_Dont_Care = Yes; -In_Reg_Optimize = Yes; -EN_In_Reg_Optimize = No; -Clock_Optimize = No; -Global_Clock_As_Pterm = No; -Show_Iterations = No; -Routing_Attempts = 2; -Conf_Unused_IOs = Out_Low; - -[HARDWARE DEVICE OPTIONS] -Zero_Hold_Time = Yes; -Signature_Word = 0; -Pull_up = Yes; -Out_Slew_Rate = SLOW,FAST,0; -Device_max_fanin = 33; -Device_max_pterms = 20; -Usercode_Format = Hex; - -[PIN RESERVATIONS] -Layer = OFF; - -[LOCATION ASSIGNMENT] -Layer = OFF; -AS_030 = input,82,H,-; -A_16_ = input,96,A,-; -A_17_ = input,59,F,-; -A_18_ = input,95,A,-; -A_19_ = input,97,A,-; -BGACK_000 = input,28,D,-; -BG_030 = input,21,C,-; -CLK_000 = input,11,-,-; -CLK_030 = input,64,-,-; -CLK_OSZI = input,61,-,-; -FC_0_ = input,57,F,-; -FC_1_ = input,58,F,-; -IPL_0_ = input,67,G,-; -IPL_1_ = input,56,F,-; -IPL_2_ = input,68,G,-; -RST = input,86,-,-; -RW = input,71,G,-; -SIZE_1_ = input,79,H,-; -SIZE_0_ = input,70,G,-; -VPA = input,36,-,-; -AVEC = input,92,A,-; -BGACK_030 = input,83,H,-; -BG_000 = input,29,D,-; -CLK_DIV_OUT = input,65,G,-; -CLK_EXP = input,10,B,-; -E = input,66,G,-; -FPU_CS = input,78,H,-; -IPL_030_0_ = input,8,B,-; -IPL_030_1_ = input,7,B,-; -IPL_030_2_ = input,9,B,-; -LDS_000 = input,31,D,-; -UDS_000 = input,32,D,-; -VMA = input,35,D,-; -AS_000 = input,33,D,-; -DTACK = input,30,D,-; -RESET = input,3,B,-; -AMIGA_BUS_DATA_DIR = input,48,E,-; -AMIGA_BUS_ENABLE = input,34,D,-; -AMIGA_BUS_ENABLE_LOW = input,20,C,-; -CIIN = input,47,E,-; -A_20_ = input,93,A,-; -A_21_ = input,94,A,-; -A_22_ = input,85,H,-; -A_23_ = input,84,H,-; -A_24_ = input,19,C,-; -A_25_ = input,18,C,-; -A_26_ = input,17,C,-; -A_27_ = input,16,C,-; -A_28_ = input,15,C,-; -A_29_ = input,6,B,-; -A_30_ = input,5,B,-; -A_31_ = input,4,B,-; -DS_030 = input,98,A,-; -AVEC_EXP = input,22,C,-; -BERR = input,41,E,-; -nEXP_SPACE = input,14,-,-; -A0 = input,69,G,-; -DSACK1 = input,81,H,-; -RW_000 = input,80,H,-; - -[GROUP ASSIGNMENT] -Layer = OFF; - -[SPACE RESERVATIONS] -Layer = OFF; - -[BACKANNOTATE NETLIST] -Delay_File = SDF; -Netlist = VHDL; -VCC_GND = Cell; - -[FITTER REPORT FORMAT] -Fitter_Options = Yes; -Pinout_Diagram = No; -Pinout_Listing = Yes; -Detailed_Block_Segment_Summary = Yes; -Input_Signal_List = Yes; -Output_Signal_List = Yes; -Bidir_Signal_List = Yes; -Node_Signal_List = Yes; -Signal_Fanout_List = Yes; -Block_Segment_Fanin_List = Yes; -Postfit_Eqn = Yes; -Page_Break = Yes; - -[POWER] -Powerlevel = Low,High; -Default = High; -Type = GLB; - -[SOURCE CONSTRAINT OPTION] -Import_source_constraint = Yes; -Disable_warning_message = No; - -[TIMING ANALYZER] -Last_source=; -Last_source_type=Fmax; - -[INPUT REGISTERS] - diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf deleted file mode 100644 index d58c424..0000000 --- a/Logic/68030_tk.xrf +++ /dev/null @@ -1,16 +0,0 @@ -Signal Name Cross Reference File - -ispLEVER Classic 1.7.00.05.28.13 - -Design '68030_tk' created Sun Jun 22 21:24:20 2014 - - - LEGEND: '>' Functional Block Port Separator - '/' Hierarchy Path Separator - '@' Automatically Generated Node - - -Short Name Hierarchical Name ----------- ----------------- - - *** Shortened names not required for this design. *** diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 deleted file mode 100644 index db19275..0000000 --- a/Logic/BUS68030.bl0 +++ /dev/null @@ -1,1565 +0,0 @@ -#$ DATE Sun Jun 22 21:24:20 2014 -#$ TOOL EDIF2BLIF version IspLever 1.0 -#$ MODULE bus68030 -#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 SIZE_0_ DS_030 A_30_ UDS_000 A_29_ LDS_000 A_28_ A0 A_27_ nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ BG_000 A_23_ BGACK_030 A_22_ BGACK_000 A_21_ CLK_030 A_20_ CLK_000 A_19_ CLK_OSZI A_18_ CLK_DIV_OUT A_17_ CLK_EXP A_16_ FPU_CS IPL_030_1_ DSACK1 IPL_030_0_ DTACK IPL_1_ AVEC IPL_0_ AVEC_EXP FC_0_ E VPA VMA RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN -#$ NODES 489 DSACK1_INT_0_sqmuxa_i un1_bgack_030_int_d_0_1 N_99_i un12_ciin_1 DTACK_i un12_ciin_2 CLK_000_D0_i un12_ciin_3 a_i_19__n un12_ciin_4 \ -# a_i_16__n un12_ciin_5 inst_BGACK_030_INTreg a_i_18__n un12_ciin_6 vcc_n_n state_machine_un28_as_030_i_n un5_ciin_1 inst_avec_expreg AS_030_000_SYNC_0_sqmuxa_i \ -# un5_ciin_2 inst_VMA_INTreg clk_cnt_n_i_0__n un5_ciin_3 inst_AMIGA_BUS_ENABLE_INTreg RST_i un5_ciin_4 inst_CLK_OUT_PRE_33reg un5_ciin_5 inst_AS_030_000_SYNC \ -# un5_ciin_6 inst_BGACK_030_INT_D un5_ciin_7 inst_AS_000_DMA CLK_OSZI_i un5_ciin_8 inst_VPA_D un5_ciin_9 inst_CLK_OUT_PRE_50_D un16_ciin_i \ -# un5_ciin_10 CLK_CNT_N_0_ CLK_OUT_PRE_50_D_i un5_ciin_11 inst_CLK_OUT_PRE_50 AS_030_c amiga_bus_enable_int_0_un3_n inst_CLK_OUT_PRE_25 amiga_bus_enable_int_0_un1_n inst_CLK_000_D1 \ -# AS_000_c amiga_bus_enable_int_0_un0_n inst_CLK_000_D2 ds_000_dma_0_un3_n inst_CLK_000_D3 RW_000_c ds_000_dma_0_un1_n inst_CLK_000_D0 ds_000_dma_0_un0_n inst_CLK_000_NE \ -# DS_030_c as_000_dma_0_un3_n inst_CLK_OUT_PRE_D as_000_dma_0_un1_n inst_CLK_OUT_PRE UDS_000_c as_000_dma_0_un0_n CLK_000_P_SYNC_9_ cpu_estse_2_un3_n CLK_000_N_SYNC_11_ \ -# LDS_000_c cpu_estse_2_un1_n inst_AS_000_INT cpu_estse_2_un0_n SM_AMIGA_7_ size_c_0__n cpu_estse_1_un3_n SM_AMIGA_6_ cpu_estse_1_un1_n SM_AMIGA_1_ \ -# size_c_1__n cpu_estse_1_un0_n SM_AMIGA_0_ cpu_estse_0_un3_n SM_AMIGA_4_ a_c_16__n cpu_estse_0_un1_n CLK_000_N_SYNC_6_ cpu_estse_0_un0_n inst_CLK_030_H \ -# a_c_17__n vma_int_0_un3_n CLK_CNT_P_1_ vma_int_0_un1_n CLK_CNT_N_1_ a_c_18__n vma_int_0_un0_n inst_RW_000_INT clk_030_h_0_un3_n inst_DSACK1_INT \ -# a_c_19__n clk_030_h_0_un1_n state_machine_un3_clk_out_pre_50_n clk_030_h_0_un0_n state_machine_un4_bgack_000_n a_c_20__n rw_000_dma_0_un3_n CLK_CNT_P_0_ rw_000_dma_0_un1_n inst_RW_000_DMA \ -# a_c_21__n rw_000_dma_0_un0_n un1_LDS_000_INT rw_000_int_0_un3_n inst_LDS_000_INT a_c_22__n rw_000_int_0_un1_n inst_DS_000_ENABLE rw_000_int_0_un0_n un1_UDS_000_INT \ -# a_c_23__n ipl_030_0_2__un3_n inst_UDS_000_INT ipl_030_0_2__un1_n a_c_24__n ipl_030_0_2__un0_n ipl_030_0_1__un3_n a_c_25__n ipl_030_0_1__un1_n inst_DS_000_DMA \ -# ipl_030_0_1__un0_n SIZE_DMA_0_ a_c_26__n ipl_030_0_0__un3_n SIZE_DMA_1_ ipl_030_0_0__un1_n inst_A0_DMA a_c_27__n ipl_030_0_0__un0_n G_109 \ -# as_030_000_sync_0_un3_n G_115 a_c_28__n as_030_000_sync_0_un1_n CLK_000_P_SYNC_0_ as_030_000_sync_0_un0_n CLK_000_P_SYNC_1_ a_c_29__n as_000_int_0_un3_n CLK_000_P_SYNC_2_ \ -# as_000_int_0_un1_n CLK_000_P_SYNC_3_ a_c_30__n as_000_int_0_un0_n CLK_000_P_SYNC_4_ ds_000_enable_0_un3_n CLK_000_P_SYNC_5_ a_c_31__n ds_000_enable_0_un1_n CLK_000_P_SYNC_6_ \ -# ds_000_enable_0_un0_n CLK_000_P_SYNC_7_ A0_c dsack1_int_0_un3_n CLK_000_P_SYNC_8_ dsack1_int_0_un1_n CLK_000_N_SYNC_0_ nEXP_SPACE_c dsack1_int_0_un0_n CLK_000_N_SYNC_1_ \ -# bg_000_0_un3_n CLK_000_N_SYNC_2_ BERR_c bg_000_0_un1_n CLK_000_N_SYNC_3_ bg_000_0_un0_n CLK_000_N_SYNC_4_ BG_030_c lds_000_int_0_un3_n CLK_000_N_SYNC_5_ \ -# lds_000_int_0_un1_n CLK_000_N_SYNC_7_ BG_000DFFSHreg lds_000_int_0_un0_n CLK_000_N_SYNC_8_ uds_000_int_0_un3_n CLK_000_N_SYNC_9_ uds_000_int_0_un1_n CLK_000_N_SYNC_10_ BGACK_000_c \ -# uds_000_int_0_un0_n state_machine_un1_as_030_n bgack_030_int_0_un3_n un1_SM_AMIGA_0_sqmuxa_2 CLK_030_c bgack_030_int_0_un1_n state_machine_un8_bg_030_n bgack_030_int_0_un0_n un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_000_c \ -# un2_as_030 AS_000_INT_1_sqmuxa CLK_OSZI_c DSACK1_INT_1_sqmuxa un19_fpu_cs un5_ciin CLK_OUT_INTreg SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ \ -# IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg un16_ciin IPL_030DFFSH_2_reg ipl_c_0__n ipl_c_1__n ipl_c_2__n DSACK1_c DTACK_c CLK_OUT_PRE_25_0 \ -# VPA_c RST_c RESETDFFRHreg RW_c fc_c_0__n fc_c_1__n cpu_est_0_ cpu_est_1_ cpu_est_2_ AMIGA_BUS_DATA_DIR_c \ -# cpu_est_3_reg cpu_estse un8_ciin_i un14_ciin_0 un6_clk_pre_66 state_machine_un1_as_030_i_n un2_clk_pre_66 N_105_i un19_fpu_cs_5 N_106_i \ -# AS_030_000_SYNC_0_sqmuxa sm_amiga_ns_0_1__n state_machine_un28_as_030_n N_107_i DSACK1_INT_0_sqmuxa sm_amiga_ns_0_2__n state_machine_un5_clk_000_n_sync_n N_109_i state_machine_un9_clk_000_ne_n N_108_i \ -# state_machine_un6_bg_030_n sm_amiga_ns_0_3__n N_99 N_111_i state_machine_un11_clk_000_ne_n N_112_i state_machine_un15_clk_000_ne_n sm_amiga_ns_0_5__n SM_AMIGA_0_sqmuxa_1 N_114_i \ -# state_machine_un15_clk_000_ne_1_n N_113_i DS_000_ENABLE_0_sqmuxa sm_amiga_ns_0_6__n SM_AMIGA_0_sqmuxa N_91_i N_75 N_92_i state_machine_rw_000_int_3_n sm_amiga_i_5__n \ -# N_179_1 N_93_i N_179 N_95_0 N_178 un3_dtack_i cpu_est_ns_2__n state_machine_un3_bgack_030_int_d_i_n N_172 un1_bgack_030_int_d_0 \ -# N_171 AMIGA_BUS_ENABLE_INT_3_sqmuxa_i state_machine_un26_clk_000_pe_2_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i state_machine_un26_clk_000_pe_n sm_amiga_i_6__n state_machine_un26_clk_000_pe_4_n N_96_i state_machine_un5_clk_000_ne_n sm_amiga_i_2__n \ -# N_169 sm_amiga_i_4__n N_175 N_104_i N_167 N_102_i N_168 N_101_i N_173 N_103_i \ -# N_174 N_100_i cpu_est_ns_1__n N_115_i state_machine_un28_clk_000_pe_n state_machine_clk_030_h_2_n SM_AMIGA_0_sqmuxa_i DS_000_DMA_1_sqmuxa_1 N_110_i AS_000_DMA_1_sqmuxa \ -# sm_amiga_ns_0_4__n CLK_030_H_1_sqmuxa_1 sm_amiga_ns_0_0__n DS_000_DMA_1_sqmuxa AMIGA_BUS_ENABLE_INT_2_sqmuxa_i state_machine_un8_bgack_030_int_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i state_machine_un24_bgack_030_int_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 state_machine_un10_bgack_030_int_n \ -# state_machine_un5_bgack_030_int_d_i_n state_machine_un31_bgack_030_int_n CLK_030_H_i state_machine_clk_030_h_2_f1_n CLK_030_H_1_sqmuxa_i CLK_030_H_1_sqmuxa state_machine_clk_030_h_2_f1_0_n un1_bgack_030_int_d state_machine_size_dma_4_0_1__n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa \ -# state_machine_size_dma_4_0_0__n state_machine_un3_bgack_030_int_d_n state_machine_un10_bgack_030_int_0_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 state_machine_un26_clk_000_pe_i_n AMIGA_BUS_ENABLE_INT_3_sqmuxa state_machine_un5_clk_000_ne_i_n N_98 state_machine_un28_clk_000_pe_0_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 \ -# cpu_est_ns_0_1__n AMIGA_BUS_ENABLE_INT_2_sqmuxa N_174_i N_103 N_173_i N_91 N_164_i N_105 N_168_i N_115 \ -# N_167_i N_95 N_175_i N_110 N_169_i N_100 state_machine_un26_clk_000_pe_2_i_n N_102 N_171_i N_101 \ -# N_172_i N_104 cpu_est_ns_0_2__n N_96 N_179_i N_92 N_178_i N_93 AMIGA_BUS_DATA_DIR_c_0 N_107 \ -# DS_000_ENABLE_0_sqmuxa_i N_114_1 un1_SM_AMIGA_0_sqmuxa_2_i N_114 state_machine_rw_000_int_3_0_n N_113 N_75_0 N_111 state_machine_un9_clk_000_ne_i_n N_112 \ -# state_machine_un15_clk_000_ne_i_n N_108 state_machine_un11_clk_000_ne_i_n N_109 BG_030_c_i N_106 state_machine_un6_bg_030_i_n un12_ciin state_machine_un8_bg_030_0_n un14_ciin \ -# state_machine_un4_bgack_000_0_n un8_ciin un2_clk_pre_66_i un19_fpu_cs_i un6_clk_pre_66_i AS_030_i CLK_PRE_66_0 un12_ciin_i LDS_000_INT_i nEXP_SPACE_i \ -# un1_LDS_000_INT_0 un5_ciin_i UDS_000_INT_i a_i_24__n un1_UDS_000_INT_0 a_i_25__n state_machine_un7_ds_030_i_n a_i_26__n A0_c_i a_i_27__n \ -# size_c_i_1__n a_i_28__n cpu_est_ns_0_1_2__n a_i_29__n sm_amiga_ns_0_1_0__n a_i_30__n sm_amiga_ns_0_2_0__n a_i_31__n sm_amiga_ns_0_3_0__n BERR_i \ -# un3_dtack_i_1 CLK_000_NE_i state_machine_un7_ds_030_i_1_n sm_amiga_i_1__n un19_fpu_cs_5_1 sm_amiga_i_3__n un19_fpu_cs_5_2 sm_amiga_i_0__n un19_fpu_cs_1 SM_AMIGA_0_sqmuxa_1_i \ -# un19_fpu_cs_2 avec_exp_i un19_fpu_cs_3 sm_amiga_i_7__n AS_030_000_SYNC_0_sqmuxa_1 N_98_i AS_030_000_SYNC_0_sqmuxa_2 BGACK_030_INT_i state_machine_un28_as_030_1_n BGACK_030_INT_D_i \ -# state_machine_un28_as_030_2_n state_machine_un24_bgack_030_int_i_n state_machine_un15_clk_000_ne_1_0_n RW_000_i state_machine_un6_bg_030_1_n AS_000_i state_machine_clk_000_p_sync_3_1_0__n AS_000_DMA_i state_machine_clk_000_p_sync_3_2_0__n CLK_030_i \ -# state_machine_clk_000_n_sync_2_1_0__n state_machine_un8_bgack_030_int_i_n SM_AMIGA_0_sqmuxa_1_1 state_machine_un31_bgack_030_int_i_n DS_000_ENABLE_0_sqmuxa_1 UDS_000_i N_179_1_0 LDS_000_i state_machine_un26_clk_000_pe_1_n CLK_030_H_1_sqmuxa_1_i \ -# state_machine_un26_clk_000_pe_4_1_n DS_000_DMA_1_sqmuxa_1_i state_machine_un5_clk_000_ne_1_n cpu_est_i_3__n state_machine_un5_clk_000_ne_2_n cpu_est_i_2__n cpu_est_ns_0_1_1__n cpu_est_i_1__n cpu_est_ns_0_2_1__n cpu_est_i_0__n \ -# AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 state_machine_un15_clk_000_ne_1_i_n AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i N_96_i_1 RW_i N_110_1 AS_030_000_SYNC_i N_100_1 CLK_000_D2_i \ -# N_101_1 CLK_000_D3_i N_102_1 CLK_000_D1_i N_104_1 VMA_INT_i N_104_2 VPA_i N_104_3 -.model bus68030 -.inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ - CLK_OSZI.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF \ - A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF \ - IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF DSACK1.BLIF DTACK.BLIF RW.BLIF SIZE_0_.BLIF DSACK1_INT_0_sqmuxa_i.BLIF un1_bgack_030_int_d_0_1.BLIF N_99_i.BLIF un12_ciin_1.BLIF DTACK_i.BLIF un12_ciin_2.BLIF \ - CLK_000_D0_i.BLIF un12_ciin_3.BLIF a_i_19__n.BLIF un12_ciin_4.BLIF a_i_16__n.BLIF un12_ciin_5.BLIF inst_BGACK_030_INTreg.BLIF a_i_18__n.BLIF un12_ciin_6.BLIF \ - vcc_n_n.BLIF state_machine_un28_as_030_i_n.BLIF un5_ciin_1.BLIF inst_avec_expreg.BLIF AS_030_000_SYNC_0_sqmuxa_i.BLIF un5_ciin_2.BLIF inst_VMA_INTreg.BLIF clk_cnt_n_i_0__n.BLIF un5_ciin_3.BLIF \ - inst_AMIGA_BUS_ENABLE_INTreg.BLIF RST_i.BLIF un5_ciin_4.BLIF inst_CLK_OUT_PRE_33reg.BLIF un5_ciin_5.BLIF inst_AS_030_000_SYNC.BLIF un5_ciin_6.BLIF inst_BGACK_030_INT_D.BLIF un5_ciin_7.BLIF \ - inst_AS_000_DMA.BLIF CLK_OSZI_i.BLIF un5_ciin_8.BLIF inst_VPA_D.BLIF un5_ciin_9.BLIF inst_CLK_OUT_PRE_50_D.BLIF un16_ciin_i.BLIF un5_ciin_10.BLIF CLK_CNT_N_0_.BLIF \ - CLK_OUT_PRE_50_D_i.BLIF un5_ciin_11.BLIF inst_CLK_OUT_PRE_50.BLIF AS_030_c.BLIF amiga_bus_enable_int_0_un3_n.BLIF inst_CLK_OUT_PRE_25.BLIF amiga_bus_enable_int_0_un1_n.BLIF inst_CLK_000_D1.BLIF AS_000_c.BLIF \ - amiga_bus_enable_int_0_un0_n.BLIF inst_CLK_000_D2.BLIF ds_000_dma_0_un3_n.BLIF inst_CLK_000_D3.BLIF RW_000_c.BLIF ds_000_dma_0_un1_n.BLIF inst_CLK_000_D0.BLIF ds_000_dma_0_un0_n.BLIF inst_CLK_000_NE.BLIF \ - DS_030_c.BLIF as_000_dma_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF as_000_dma_0_un1_n.BLIF inst_CLK_OUT_PRE.BLIF UDS_000_c.BLIF as_000_dma_0_un0_n.BLIF CLK_000_P_SYNC_9_.BLIF cpu_estse_2_un3_n.BLIF \ - CLK_000_N_SYNC_11_.BLIF LDS_000_c.BLIF cpu_estse_2_un1_n.BLIF inst_AS_000_INT.BLIF cpu_estse_2_un0_n.BLIF SM_AMIGA_7_.BLIF size_c_0__n.BLIF cpu_estse_1_un3_n.BLIF SM_AMIGA_6_.BLIF \ - cpu_estse_1_un1_n.BLIF SM_AMIGA_1_.BLIF size_c_1__n.BLIF cpu_estse_1_un0_n.BLIF SM_AMIGA_0_.BLIF cpu_estse_0_un3_n.BLIF SM_AMIGA_4_.BLIF a_c_16__n.BLIF cpu_estse_0_un1_n.BLIF \ - CLK_000_N_SYNC_6_.BLIF cpu_estse_0_un0_n.BLIF inst_CLK_030_H.BLIF a_c_17__n.BLIF vma_int_0_un3_n.BLIF CLK_CNT_P_1_.BLIF vma_int_0_un1_n.BLIF CLK_CNT_N_1_.BLIF a_c_18__n.BLIF \ - vma_int_0_un0_n.BLIF inst_RW_000_INT.BLIF clk_030_h_0_un3_n.BLIF inst_DSACK1_INT.BLIF a_c_19__n.BLIF clk_030_h_0_un1_n.BLIF state_machine_un3_clk_out_pre_50_n.BLIF clk_030_h_0_un0_n.BLIF state_machine_un4_bgack_000_n.BLIF \ - a_c_20__n.BLIF rw_000_dma_0_un3_n.BLIF CLK_CNT_P_0_.BLIF rw_000_dma_0_un1_n.BLIF inst_RW_000_DMA.BLIF a_c_21__n.BLIF rw_000_dma_0_un0_n.BLIF un1_LDS_000_INT.BLIF rw_000_int_0_un3_n.BLIF \ - inst_LDS_000_INT.BLIF a_c_22__n.BLIF rw_000_int_0_un1_n.BLIF inst_DS_000_ENABLE.BLIF rw_000_int_0_un0_n.BLIF un1_UDS_000_INT.BLIF a_c_23__n.BLIF ipl_030_0_2__un3_n.BLIF inst_UDS_000_INT.BLIF \ - ipl_030_0_2__un1_n.BLIF a_c_24__n.BLIF ipl_030_0_2__un0_n.BLIF ipl_030_0_1__un3_n.BLIF a_c_25__n.BLIF ipl_030_0_1__un1_n.BLIF inst_DS_000_DMA.BLIF ipl_030_0_1__un0_n.BLIF SIZE_DMA_0_.BLIF \ - a_c_26__n.BLIF ipl_030_0_0__un3_n.BLIF SIZE_DMA_1_.BLIF ipl_030_0_0__un1_n.BLIF inst_A0_DMA.BLIF a_c_27__n.BLIF ipl_030_0_0__un0_n.BLIF G_109.BLIF as_030_000_sync_0_un3_n.BLIF \ - G_115.BLIF a_c_28__n.BLIF as_030_000_sync_0_un1_n.BLIF CLK_000_P_SYNC_0_.BLIF as_030_000_sync_0_un0_n.BLIF CLK_000_P_SYNC_1_.BLIF a_c_29__n.BLIF as_000_int_0_un3_n.BLIF CLK_000_P_SYNC_2_.BLIF \ - as_000_int_0_un1_n.BLIF CLK_000_P_SYNC_3_.BLIF a_c_30__n.BLIF as_000_int_0_un0_n.BLIF CLK_000_P_SYNC_4_.BLIF ds_000_enable_0_un3_n.BLIF CLK_000_P_SYNC_5_.BLIF a_c_31__n.BLIF ds_000_enable_0_un1_n.BLIF \ - CLK_000_P_SYNC_6_.BLIF ds_000_enable_0_un0_n.BLIF CLK_000_P_SYNC_7_.BLIF A0_c.BLIF dsack1_int_0_un3_n.BLIF CLK_000_P_SYNC_8_.BLIF dsack1_int_0_un1_n.BLIF CLK_000_N_SYNC_0_.BLIF nEXP_SPACE_c.BLIF \ - dsack1_int_0_un0_n.BLIF CLK_000_N_SYNC_1_.BLIF bg_000_0_un3_n.BLIF CLK_000_N_SYNC_2_.BLIF BERR_c.BLIF bg_000_0_un1_n.BLIF CLK_000_N_SYNC_3_.BLIF bg_000_0_un0_n.BLIF CLK_000_N_SYNC_4_.BLIF \ - BG_030_c.BLIF lds_000_int_0_un3_n.BLIF CLK_000_N_SYNC_5_.BLIF lds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_7_.BLIF BG_000DFFSHreg.BLIF lds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_8_.BLIF uds_000_int_0_un3_n.BLIF \ - CLK_000_N_SYNC_9_.BLIF uds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_10_.BLIF BGACK_000_c.BLIF uds_000_int_0_un0_n.BLIF state_machine_un1_as_030_n.BLIF bgack_030_int_0_un3_n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF CLK_030_c.BLIF \ - bgack_030_int_0_un1_n.BLIF state_machine_un8_bg_030_n.BLIF bgack_030_int_0_un0_n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF CLK_000_c.BLIF un2_as_030.BLIF AS_000_INT_1_sqmuxa.BLIF CLK_OSZI_c.BLIF DSACK1_INT_1_sqmuxa.BLIF \ - un19_fpu_cs.BLIF un5_ciin.BLIF CLK_OUT_INTreg.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_1_reg.BLIF un16_ciin.BLIF \ - IPL_030DFFSH_2_reg.BLIF ipl_c_0__n.BLIF ipl_c_1__n.BLIF ipl_c_2__n.BLIF DSACK1_c.BLIF DTACK_c.BLIF CLK_OUT_PRE_25_0.BLIF VPA_c.BLIF RST_c.BLIF \ - RESETDFFRHreg.BLIF RW_c.BLIF fc_c_0__n.BLIF fc_c_1__n.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF AMIGA_BUS_DATA_DIR_c.BLIF cpu_est_3_reg.BLIF \ - cpu_estse.BLIF un8_ciin_i.BLIF un14_ciin_0.BLIF un6_clk_pre_66.BLIF state_machine_un1_as_030_i_n.BLIF un2_clk_pre_66.BLIF N_105_i.BLIF un19_fpu_cs_5.BLIF N_106_i.BLIF \ - AS_030_000_SYNC_0_sqmuxa.BLIF sm_amiga_ns_0_1__n.BLIF state_machine_un28_as_030_n.BLIF N_107_i.BLIF DSACK1_INT_0_sqmuxa.BLIF sm_amiga_ns_0_2__n.BLIF state_machine_un5_clk_000_n_sync_n.BLIF N_109_i.BLIF state_machine_un9_clk_000_ne_n.BLIF \ - N_108_i.BLIF state_machine_un6_bg_030_n.BLIF sm_amiga_ns_0_3__n.BLIF N_99.BLIF N_111_i.BLIF state_machine_un11_clk_000_ne_n.BLIF N_112_i.BLIF state_machine_un15_clk_000_ne_n.BLIF sm_amiga_ns_0_5__n.BLIF \ - SM_AMIGA_0_sqmuxa_1.BLIF N_114_i.BLIF state_machine_un15_clk_000_ne_1_n.BLIF N_113_i.BLIF DS_000_ENABLE_0_sqmuxa.BLIF sm_amiga_ns_0_6__n.BLIF SM_AMIGA_0_sqmuxa.BLIF N_91_i.BLIF N_75.BLIF \ - N_92_i.BLIF state_machine_rw_000_int_3_n.BLIF sm_amiga_i_5__n.BLIF N_179_1.BLIF N_93_i.BLIF N_179.BLIF N_95_0.BLIF N_178.BLIF un3_dtack_i.BLIF \ - cpu_est_ns_2__n.BLIF state_machine_un3_bgack_030_int_d_i_n.BLIF N_172.BLIF un1_bgack_030_int_d_0.BLIF N_171.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF state_machine_un26_clk_000_pe_2_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF state_machine_un26_clk_000_pe_n.BLIF \ - sm_amiga_i_6__n.BLIF state_machine_un26_clk_000_pe_4_n.BLIF N_96_i.BLIF state_machine_un5_clk_000_ne_n.BLIF sm_amiga_i_2__n.BLIF N_169.BLIF sm_amiga_i_4__n.BLIF N_175.BLIF N_104_i.BLIF \ - N_167.BLIF N_102_i.BLIF N_168.BLIF N_101_i.BLIF N_173.BLIF N_103_i.BLIF N_174.BLIF N_100_i.BLIF cpu_est_ns_1__n.BLIF \ - N_115_i.BLIF state_machine_un28_clk_000_pe_n.BLIF state_machine_clk_030_h_2_n.BLIF SM_AMIGA_0_sqmuxa_i.BLIF DS_000_DMA_1_sqmuxa_1.BLIF N_110_i.BLIF AS_000_DMA_1_sqmuxa.BLIF sm_amiga_ns_0_4__n.BLIF CLK_030_H_1_sqmuxa_1.BLIF \ - sm_amiga_ns_0_0__n.BLIF DS_000_DMA_1_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF state_machine_un8_bgack_030_int_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF state_machine_un24_bgack_030_int_n.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF state_machine_un10_bgack_030_int_n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ - state_machine_un31_bgack_030_int_n.BLIF CLK_030_H_i.BLIF state_machine_clk_030_h_2_f1_n.BLIF CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_1_sqmuxa.BLIF state_machine_clk_030_h_2_f1_0_n.BLIF un1_bgack_030_int_d.BLIF state_machine_size_dma_4_0_1__n.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF \ - state_machine_size_dma_4_0_0__n.BLIF state_machine_un3_bgack_030_int_d_n.BLIF state_machine_un10_bgack_030_int_0_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF state_machine_un26_clk_000_pe_i_n.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF state_machine_un5_clk_000_ne_i_n.BLIF N_98.BLIF state_machine_un28_clk_000_pe_0_n.BLIF \ - AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF cpu_est_ns_0_1__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF N_174_i.BLIF N_103.BLIF N_173_i.BLIF N_91.BLIF N_164_i.BLIF N_105.BLIF \ - N_168_i.BLIF N_115.BLIF N_167_i.BLIF N_95.BLIF N_175_i.BLIF N_110.BLIF N_169_i.BLIF N_100.BLIF state_machine_un26_clk_000_pe_2_i_n.BLIF \ - N_102.BLIF N_171_i.BLIF N_101.BLIF N_172_i.BLIF N_104.BLIF cpu_est_ns_0_2__n.BLIF N_96.BLIF N_179_i.BLIF N_92.BLIF \ - N_178_i.BLIF N_93.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_107.BLIF DS_000_ENABLE_0_sqmuxa_i.BLIF N_114_1.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF N_114.BLIF state_machine_rw_000_int_3_0_n.BLIF \ - N_113.BLIF N_75_0.BLIF N_111.BLIF state_machine_un9_clk_000_ne_i_n.BLIF N_112.BLIF state_machine_un15_clk_000_ne_i_n.BLIF N_108.BLIF state_machine_un11_clk_000_ne_i_n.BLIF N_109.BLIF \ - BG_030_c_i.BLIF N_106.BLIF state_machine_un6_bg_030_i_n.BLIF un12_ciin.BLIF state_machine_un8_bg_030_0_n.BLIF un14_ciin.BLIF state_machine_un4_bgack_000_0_n.BLIF un8_ciin.BLIF un2_clk_pre_66_i.BLIF \ - un19_fpu_cs_i.BLIF un6_clk_pre_66_i.BLIF AS_030_i.BLIF CLK_PRE_66_0.BLIF un12_ciin_i.BLIF LDS_000_INT_i.BLIF nEXP_SPACE_i.BLIF un1_LDS_000_INT_0.BLIF un5_ciin_i.BLIF \ - UDS_000_INT_i.BLIF a_i_24__n.BLIF un1_UDS_000_INT_0.BLIF a_i_25__n.BLIF state_machine_un7_ds_030_i_n.BLIF a_i_26__n.BLIF A0_c_i.BLIF a_i_27__n.BLIF size_c_i_1__n.BLIF \ - a_i_28__n.BLIF cpu_est_ns_0_1_2__n.BLIF a_i_29__n.BLIF sm_amiga_ns_0_1_0__n.BLIF a_i_30__n.BLIF sm_amiga_ns_0_2_0__n.BLIF a_i_31__n.BLIF sm_amiga_ns_0_3_0__n.BLIF BERR_i.BLIF \ - un3_dtack_i_1.BLIF CLK_000_NE_i.BLIF state_machine_un7_ds_030_i_1_n.BLIF sm_amiga_i_1__n.BLIF un19_fpu_cs_5_1.BLIF sm_amiga_i_3__n.BLIF un19_fpu_cs_5_2.BLIF sm_amiga_i_0__n.BLIF un19_fpu_cs_1.BLIF \ - SM_AMIGA_0_sqmuxa_1_i.BLIF un19_fpu_cs_2.BLIF avec_exp_i.BLIF un19_fpu_cs_3.BLIF sm_amiga_i_7__n.BLIF AS_030_000_SYNC_0_sqmuxa_1.BLIF N_98_i.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF BGACK_030_INT_i.BLIF \ - state_machine_un28_as_030_1_n.BLIF BGACK_030_INT_D_i.BLIF state_machine_un28_as_030_2_n.BLIF state_machine_un24_bgack_030_int_i_n.BLIF state_machine_un15_clk_000_ne_1_0_n.BLIF RW_000_i.BLIF state_machine_un6_bg_030_1_n.BLIF AS_000_i.BLIF state_machine_clk_000_p_sync_3_1_0__n.BLIF \ - AS_000_DMA_i.BLIF state_machine_clk_000_p_sync_3_2_0__n.BLIF CLK_030_i.BLIF state_machine_clk_000_n_sync_2_1_0__n.BLIF state_machine_un8_bgack_030_int_i_n.BLIF SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un31_bgack_030_int_i_n.BLIF DS_000_ENABLE_0_sqmuxa_1.BLIF UDS_000_i.BLIF \ - N_179_1_0.BLIF LDS_000_i.BLIF state_machine_un26_clk_000_pe_1_n.BLIF CLK_030_H_1_sqmuxa_1_i.BLIF state_machine_un26_clk_000_pe_4_1_n.BLIF DS_000_DMA_1_sqmuxa_1_i.BLIF state_machine_un5_clk_000_ne_1_n.BLIF cpu_est_i_3__n.BLIF state_machine_un5_clk_000_ne_2_n.BLIF \ - cpu_est_i_2__n.BLIF cpu_est_ns_0_1_1__n.BLIF cpu_est_i_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF state_machine_un15_clk_000_ne_1_i_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF VPA_D_i.BLIF \ - N_96_i_1.BLIF RW_i.BLIF N_110_1.BLIF AS_030_000_SYNC_i.BLIF N_100_1.BLIF CLK_000_D2_i.BLIF N_101_1.BLIF CLK_000_D3_i.BLIF N_102_1.BLIF \ - CLK_000_D1_i.BLIF N_104_1.BLIF VMA_INT_i.BLIF N_104_2.BLIF VPA_i.BLIF N_104_3.BLIF AS_030.PIN AS_000.PIN RW_000.PIN \ - DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN -.outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC AVEC_EXP E VMA RESET \ - AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C \ - cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D \ - IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR \ - SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ - SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D \ - CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_7_.AR \ - CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C \ - CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D \ - CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D SIZE_DMA_1_.C SIZE_DMA_1_.AP CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR \ - CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C \ - CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D \ - CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR \ - CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR inst_RW_000_INT.D inst_RW_000_INT.C inst_RW_000_INT.AP inst_VMA_INTreg.D inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C \ - inst_CLK_OUT_PRE_25.AR inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_000_INT.AP inst_DS_000_ENABLE.D \ - inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_LDS_000_INT.D inst_LDS_000_INT.C inst_LDS_000_INT.AP \ - inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP inst_A0_DMA.D inst_A0_DMA.C inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_DMA.AP \ - inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_000_DMA.AP inst_AMIGA_BUS_ENABLE_INTreg.D inst_AMIGA_BUS_ENABLE_INTreg.C inst_AMIGA_BUS_ENABLE_INTreg.AP inst_CLK_OUT_PRE_33reg.D inst_CLK_OUT_PRE_33reg.C \ - inst_CLK_OUT_PRE_33reg.AR inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE_50.D \ - inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP \ - inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C \ - inst_CLK_000_D0.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_avec_expreg.D inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_000_NE.AR CLK_OUT_PRE_25_0.X1 \ - CLK_OUT_PRE_25_0.X2 G_109.X1 G_109.X2 G_115.X1 G_115.X2 cpu_estse.X1 cpu_estse.X2 SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 DSACK1 DTACK RW SIZE_0_ DSACK1_INT_0_sqmuxa_i un1_bgack_030_int_d_0_1 N_99_i un12_ciin_1 \ - DTACK_i un12_ciin_2 CLK_000_D0_i un12_ciin_3 a_i_19__n un12_ciin_4 a_i_16__n un12_ciin_5 a_i_18__n un12_ciin_6 vcc_n_n \ - state_machine_un28_as_030_i_n un5_ciin_1 AS_030_000_SYNC_0_sqmuxa_i un5_ciin_2 clk_cnt_n_i_0__n un5_ciin_3 RST_i un5_ciin_4 un5_ciin_5 un5_ciin_6 un5_ciin_7 \ - CLK_OSZI_i un5_ciin_8 un5_ciin_9 un16_ciin_i un5_ciin_10 CLK_OUT_PRE_50_D_i un5_ciin_11 AS_030_c amiga_bus_enable_int_0_un3_n amiga_bus_enable_int_0_un1_n AS_000_c \ - amiga_bus_enable_int_0_un0_n ds_000_dma_0_un3_n RW_000_c ds_000_dma_0_un1_n ds_000_dma_0_un0_n DS_030_c as_000_dma_0_un3_n as_000_dma_0_un1_n UDS_000_c as_000_dma_0_un0_n cpu_estse_2_un3_n \ - LDS_000_c cpu_estse_2_un1_n cpu_estse_2_un0_n size_c_0__n cpu_estse_1_un3_n cpu_estse_1_un1_n size_c_1__n cpu_estse_1_un0_n cpu_estse_0_un3_n a_c_16__n cpu_estse_0_un1_n \ - cpu_estse_0_un0_n a_c_17__n vma_int_0_un3_n vma_int_0_un1_n a_c_18__n vma_int_0_un0_n clk_030_h_0_un3_n a_c_19__n clk_030_h_0_un1_n state_machine_un3_clk_out_pre_50_n clk_030_h_0_un0_n \ - state_machine_un4_bgack_000_n a_c_20__n rw_000_dma_0_un3_n rw_000_dma_0_un1_n a_c_21__n rw_000_dma_0_un0_n un1_LDS_000_INT rw_000_int_0_un3_n a_c_22__n rw_000_int_0_un1_n rw_000_int_0_un0_n \ - un1_UDS_000_INT a_c_23__n ipl_030_0_2__un3_n ipl_030_0_2__un1_n a_c_24__n ipl_030_0_2__un0_n ipl_030_0_1__un3_n a_c_25__n ipl_030_0_1__un1_n ipl_030_0_1__un0_n a_c_26__n \ - ipl_030_0_0__un3_n ipl_030_0_0__un1_n a_c_27__n ipl_030_0_0__un0_n as_030_000_sync_0_un3_n a_c_28__n as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n a_c_29__n as_000_int_0_un3_n as_000_int_0_un1_n \ - a_c_30__n as_000_int_0_un0_n ds_000_enable_0_un3_n a_c_31__n ds_000_enable_0_un1_n ds_000_enable_0_un0_n A0_c dsack1_int_0_un3_n dsack1_int_0_un1_n nEXP_SPACE_c dsack1_int_0_un0_n \ - bg_000_0_un3_n BERR_c bg_000_0_un1_n bg_000_0_un0_n BG_030_c lds_000_int_0_un3_n lds_000_int_0_un1_n lds_000_int_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n BGACK_000_c \ - uds_000_int_0_un0_n state_machine_un1_as_030_n bgack_030_int_0_un3_n un1_SM_AMIGA_0_sqmuxa_2 CLK_030_c bgack_030_int_0_un1_n state_machine_un8_bg_030_n bgack_030_int_0_un0_n un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_000_c un2_as_030 \ - AS_000_INT_1_sqmuxa CLK_OSZI_c DSACK1_INT_1_sqmuxa un19_fpu_cs un5_ciin un16_ciin ipl_c_0__n ipl_c_1__n ipl_c_2__n DSACK1_c DTACK_c \ - VPA_c RST_c RW_c fc_c_0__n fc_c_1__n AMIGA_BUS_DATA_DIR_c un8_ciin_i un14_ciin_0 un6_clk_pre_66 state_machine_un1_as_030_i_n un2_clk_pre_66 \ - N_105_i un19_fpu_cs_5 N_106_i AS_030_000_SYNC_0_sqmuxa sm_amiga_ns_0_1__n state_machine_un28_as_030_n N_107_i DSACK1_INT_0_sqmuxa sm_amiga_ns_0_2__n state_machine_un5_clk_000_n_sync_n N_109_i \ - state_machine_un9_clk_000_ne_n N_108_i state_machine_un6_bg_030_n sm_amiga_ns_0_3__n N_99 N_111_i state_machine_un11_clk_000_ne_n N_112_i state_machine_un15_clk_000_ne_n sm_amiga_ns_0_5__n SM_AMIGA_0_sqmuxa_1 \ - N_114_i state_machine_un15_clk_000_ne_1_n N_113_i DS_000_ENABLE_0_sqmuxa sm_amiga_ns_0_6__n SM_AMIGA_0_sqmuxa N_91_i N_75 N_92_i state_machine_rw_000_int_3_n sm_amiga_i_5__n \ - N_179_1 N_93_i N_179 N_95_0 N_178 un3_dtack_i cpu_est_ns_2__n state_machine_un3_bgack_030_int_d_i_n N_172 un1_bgack_030_int_d_0 N_171 \ - AMIGA_BUS_ENABLE_INT_3_sqmuxa_i state_machine_un26_clk_000_pe_2_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i state_machine_un26_clk_000_pe_n sm_amiga_i_6__n state_machine_un26_clk_000_pe_4_n N_96_i state_machine_un5_clk_000_ne_n sm_amiga_i_2__n N_169 sm_amiga_i_4__n \ - N_175 N_104_i N_167 N_102_i N_168 N_101_i N_173 N_103_i N_174 N_100_i cpu_est_ns_1__n \ - N_115_i state_machine_un28_clk_000_pe_n state_machine_clk_030_h_2_n SM_AMIGA_0_sqmuxa_i DS_000_DMA_1_sqmuxa_1 N_110_i AS_000_DMA_1_sqmuxa sm_amiga_ns_0_4__n CLK_030_H_1_sqmuxa_1 sm_amiga_ns_0_0__n DS_000_DMA_1_sqmuxa \ - AMIGA_BUS_ENABLE_INT_2_sqmuxa_i state_machine_un8_bgack_030_int_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i state_machine_un24_bgack_030_int_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 state_machine_un10_bgack_030_int_n state_machine_un5_bgack_030_int_d_i_n state_machine_un31_bgack_030_int_n CLK_030_H_i state_machine_clk_030_h_2_f1_n CLK_030_H_1_sqmuxa_i \ - CLK_030_H_1_sqmuxa state_machine_clk_030_h_2_f1_0_n un1_bgack_030_int_d state_machine_size_dma_4_0_1__n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_size_dma_4_0_0__n state_machine_un3_bgack_030_int_d_n state_machine_un10_bgack_030_int_0_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 state_machine_un26_clk_000_pe_i_n AMIGA_BUS_ENABLE_INT_3_sqmuxa \ - state_machine_un5_clk_000_ne_i_n N_98 state_machine_un28_clk_000_pe_0_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 cpu_est_ns_0_1__n AMIGA_BUS_ENABLE_INT_2_sqmuxa N_174_i N_103 N_173_i N_91 N_164_i \ - N_105 N_168_i N_115 N_167_i N_95 N_175_i N_110 N_169_i N_100 state_machine_un26_clk_000_pe_2_i_n N_102 \ - N_171_i N_101 N_172_i N_104 cpu_est_ns_0_2__n N_96 N_179_i N_92 N_178_i N_93 AMIGA_BUS_DATA_DIR_c_0 \ - N_107 DS_000_ENABLE_0_sqmuxa_i N_114_1 un1_SM_AMIGA_0_sqmuxa_2_i N_114 state_machine_rw_000_int_3_0_n N_113 N_75_0 N_111 state_machine_un9_clk_000_ne_i_n N_112 \ - state_machine_un15_clk_000_ne_i_n N_108 state_machine_un11_clk_000_ne_i_n N_109 BG_030_c_i N_106 state_machine_un6_bg_030_i_n un12_ciin state_machine_un8_bg_030_0_n un14_ciin state_machine_un4_bgack_000_0_n \ - un8_ciin un2_clk_pre_66_i un19_fpu_cs_i un6_clk_pre_66_i AS_030_i CLK_PRE_66_0 un12_ciin_i LDS_000_INT_i nEXP_SPACE_i un1_LDS_000_INT_0 un5_ciin_i \ - UDS_000_INT_i a_i_24__n un1_UDS_000_INT_0 a_i_25__n state_machine_un7_ds_030_i_n a_i_26__n A0_c_i a_i_27__n size_c_i_1__n a_i_28__n cpu_est_ns_0_1_2__n \ - a_i_29__n sm_amiga_ns_0_1_0__n a_i_30__n sm_amiga_ns_0_2_0__n a_i_31__n sm_amiga_ns_0_3_0__n BERR_i un3_dtack_i_1 CLK_000_NE_i state_machine_un7_ds_030_i_1_n sm_amiga_i_1__n \ - un19_fpu_cs_5_1 sm_amiga_i_3__n un19_fpu_cs_5_2 sm_amiga_i_0__n un19_fpu_cs_1 SM_AMIGA_0_sqmuxa_1_i un19_fpu_cs_2 avec_exp_i un19_fpu_cs_3 sm_amiga_i_7__n AS_030_000_SYNC_0_sqmuxa_1 \ - N_98_i AS_030_000_SYNC_0_sqmuxa_2 BGACK_030_INT_i state_machine_un28_as_030_1_n BGACK_030_INT_D_i state_machine_un28_as_030_2_n state_machine_un24_bgack_030_int_i_n state_machine_un15_clk_000_ne_1_0_n RW_000_i state_machine_un6_bg_030_1_n AS_000_i \ - state_machine_clk_000_p_sync_3_1_0__n AS_000_DMA_i state_machine_clk_000_p_sync_3_2_0__n CLK_030_i state_machine_clk_000_n_sync_2_1_0__n state_machine_un8_bgack_030_int_i_n SM_AMIGA_0_sqmuxa_1_1 state_machine_un31_bgack_030_int_i_n DS_000_ENABLE_0_sqmuxa_1 UDS_000_i N_179_1_0 \ - LDS_000_i state_machine_un26_clk_000_pe_1_n CLK_030_H_1_sqmuxa_1_i state_machine_un26_clk_000_pe_4_1_n DS_000_DMA_1_sqmuxa_1_i state_machine_un5_clk_000_ne_1_n cpu_est_i_3__n state_machine_un5_clk_000_ne_2_n cpu_est_i_2__n cpu_est_ns_0_1_1__n cpu_est_i_1__n \ - cpu_est_ns_0_2_1__n cpu_est_i_0__n AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 state_machine_un15_clk_000_ne_1_i_n AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i N_96_i_1 RW_i N_110_1 AS_030_000_SYNC_i N_100_1 \ - CLK_000_D2_i N_101_1 CLK_000_D3_i N_102_1 CLK_000_D1_i N_104_1 VMA_INT_i N_104_2 VPA_i N_104_3 \ - AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE \ - DSACK1.OE DTACK.OE RW.OE CIIN.OE -.names inst_AS_000_DMA.BLIF AS_030 -1 1 -.names AS_030.PIN AS_030_c -1 1 -.names un3_dtack_i.BLIF AS_030.OE -1 1 -.names inst_AS_000_INT.BLIF AS_000 -1 1 -.names AS_000.PIN AS_000_c -1 1 -.names inst_BGACK_030_INTreg.BLIF AS_000.OE -1 1 -.names inst_RW_000_INT.BLIF RW_000 -1 1 -.names RW_000.PIN RW_000_c -1 1 -.names inst_BGACK_030_INTreg.BLIF RW_000.OE -1 1 -.names inst_DS_000_DMA.BLIF DS_030 -1 1 -.names DS_030.PIN DS_030_c -1 1 -.names un3_dtack_i.BLIF DS_030.OE -1 1 -.names un1_UDS_000_INT.BLIF UDS_000 -1 1 -.names UDS_000.PIN UDS_000_c -1 1 -.names inst_BGACK_030_INTreg.BLIF UDS_000.OE -1 1 -.names un1_LDS_000_INT.BLIF LDS_000 -1 1 -.names LDS_000.PIN LDS_000_c -1 1 -.names inst_BGACK_030_INTreg.BLIF LDS_000.OE -1 1 -.names SIZE_DMA_0_.BLIF SIZE_0_ -1 1 -.names SIZE_0_.PIN size_c_0__n -1 1 -.names un3_dtack_i.BLIF SIZE_0_.OE -1 1 -.names SIZE_DMA_1_.BLIF SIZE_1_ -1 1 -.names SIZE_1_.PIN size_c_1__n -1 1 -.names un3_dtack_i.BLIF SIZE_1_.OE -1 1 -.names inst_A0_DMA.BLIF A0 -1 1 -.names A0.PIN A0_c -1 1 -.names un3_dtack_i.BLIF A0.OE -1 1 -.names inst_DSACK1_INT.BLIF DSACK1 -1 1 -.names DSACK1.PIN DSACK1_c -1 1 -.names nEXP_SPACE_c.BLIF DSACK1.OE -1 1 -.names DSACK1_c.BLIF DTACK -1 1 -.names DTACK.PIN DTACK_c -1 1 -.names un3_dtack_i.BLIF DTACK.OE -1 1 -.names inst_RW_000_DMA.BLIF RW -1 1 -.names RW.PIN RW_c -1 1 -.names BGACK_030_INT_i.BLIF RW.OE -1 1 -.names un5_ciin.BLIF CIIN -1 1 -.names un16_ciin_i.BLIF CIIN.OE -1 1 -.names a_i_19__n.BLIF un19_fpu_cs_5.BLIF state_machine_un28_as_030_2_n -11 1 -.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names un6_clk_pre_66.BLIF un6_clk_pre_66_i -0 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C -1 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -.names CLK_PRE_66_0.BLIF inst_CLK_OUT_PRE_33reg.C -0 1 -.names inst_avec_expreg.BLIF ipl_030_0_1__un3_n -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names ipl_c_1__n.BLIF inst_avec_expreg.BLIF ipl_030_0_1__un1_n -11 1 -.names RST_i.BLIF CLK_CNT_P_0_.AR -1 1 -.names RST_i.BLIF inst_BGACK_030_INT_D.AP -1 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names IPL_030DFFSH_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF IPL_030DFFSH_1_reg.D -1- 1 --1 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names inst_avec_expreg.BLIF ipl_030_0_0__un3_n -0 1 -.names CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.D -1 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50_D.D -1 1 -.names A0_c.BLIF A0_c_i -0 1 -.names ipl_c_0__n.BLIF inst_avec_expreg.BLIF ipl_030_0_0__un1_n -11 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_CNT_P_1_.C -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50_D.C -1 1 -.names N_172_i.BLIF N_171_i.BLIF cpu_est_ns_0_1_2__n -11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF IPL_030DFFSH_0_reg.D -1- 1 --1 1 -.names cpu_est_ns_0_1_2__n.BLIF state_machine_un26_clk_000_pe_2_i_n.BLIF cpu_est_ns_0_2__n -11 1 -.names AS_030_000_SYNC_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names RST_i.BLIF CLK_CNT_P_1_.AR -1 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_50_D.AR -1 1 -.names N_101_i.BLIF N_102_i.BLIF sm_amiga_ns_0_1_0__n -11 1 -.names state_machine_un28_as_030_n.BLIF state_machine_un28_as_030_i_n -0 1 -.names N_104_i.BLIF N_100_i.BLIF sm_amiga_ns_0_2_0__n -11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names sm_amiga_ns_0_1_0__n.BLIF sm_amiga_ns_0_2_0__n.BLIF sm_amiga_ns_0_3_0__n -11 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names sm_amiga_ns_0_3_0__n.BLIF N_103_i.BLIF sm_amiga_ns_0_0__n -11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -.names nEXP_SPACE_i.BLIF AS_000_DMA_i.BLIF un3_dtack_i_1 -11 1 -.names CLK_000_N_SYNC_6_.BLIF SM_AMIGA_1_.BLIF DSACK1_INT_0_sqmuxa -11 1 -.names N_179.BLIF N_179_i -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names RST_i.BLIF SIZE_DMA_0_.AP -1 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_D.AR -1 1 -.names N_178.BLIF N_178_i -0 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF state_machine_un5_clk_000_n_sync_n -11 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names BGACK_000_c.BLIF avec_exp_i.BLIF state_machine_un4_bgack_000_0_n -11 1 -.names DS_000_ENABLE_0_sqmuxa.BLIF DS_000_ENABLE_0_sqmuxa_i -0 1 -.names DTACK_c.BLIF DTACK_i -0 1 -.names CLK_000_c.BLIF inst_CLK_000_D0.D -1 1 -.names un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un1_SM_AMIGA_0_sqmuxa_2 -0 1 -.names DTACK_i.BLIF VPA_c.BLIF state_machine_un9_clk_000_ne_n -11 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -.names state_machine_rw_000_int_3_0_n.BLIF state_machine_rw_000_int_3_n -0 1 -.names BG_030_c_i.BLIF state_machine_un6_bg_030_i_n.BLIF state_machine_un8_bg_030_0_n -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C -1 1 -.names N_75_0.BLIF N_75 -0 1 -.names N_99.BLIF N_99_i -0 1 -.names RST_i.BLIF SIZE_DMA_1_.AP -1 1 -.names state_machine_un9_clk_000_ne_n.BLIF state_machine_un9_clk_000_ne_i_n -0 1 -.names N_99_i.BLIF state_machine_un1_as_030_i_n.BLIF AS_000_INT_1_sqmuxa -11 1 -.names RST_i.BLIF inst_CLK_000_D0.AP -1 1 -.names state_machine_un15_clk_000_ne_n.BLIF state_machine_un15_clk_000_ne_i_n -0 1 -.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i -0 1 -.names state_machine_un11_clk_000_ne_i_n.BLIF state_machine_un11_clk_000_ne_n -0 1 -.names RST_c.BLIF RST_i -0 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C -1 1 -.names VPA_c.BLIF inst_VPA_D.D -1 1 -.names state_machine_un6_bg_030_n.BLIF state_machine_un6_bg_030_i_n -0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 -11 1 -.names state_machine_un8_bg_030_0_n.BLIF state_machine_un8_bg_030_n -0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names RST_i.BLIF CLK_000_P_SYNC_0_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -.names state_machine_un4_bgack_000_0_n.BLIF state_machine_un4_bgack_000_n -0 1 -.names CLK_CNT_N_1_.BLIF CLK_CNT_P_1_.BLIF un6_clk_pre_66 -11 1 -.names un2_clk_pre_66.BLIF un2_clk_pre_66_i -0 1 -.names CLK_CNT_N_0_.BLIF clk_cnt_n_i_0__n -0 1 -.names RST_i.BLIF inst_VPA_D.AP -1 1 -.names state_machine_un10_bgack_030_int_0_n.BLIF state_machine_un10_bgack_030_int_n -0 1 -.names clk_cnt_n_i_0__n.BLIF CLK_CNT_P_0_.BLIF un2_clk_pre_66 -11 1 -.names state_machine_un26_clk_000_pe_n.BLIF state_machine_un26_clk_000_pe_i_n -0 1 -.names un2_clk_pre_66_i.BLIF un6_clk_pre_66_i.BLIF CLK_PRE_66_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -.names state_machine_un5_clk_000_ne_n.BLIF state_machine_un5_clk_000_ne_i_n -0 1 -.names state_machine_un28_clk_000_pe_0_n.BLIF state_machine_un28_clk_000_pe_n -0 1 -.names RST_i.BLIF CLK_000_P_SYNC_1_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_avec_expreg.C -1 1 -.names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n -0 1 -.names AS_030_000_SYNC_0_sqmuxa.BLIF AS_030_000_SYNC_0_sqmuxa_i -0 1 -.names N_174.BLIF N_174_i -0 1 -.names inst_CLK_OUT_PRE_33reg.BLIF inst_CLK_OUT_PRE_33reg.D -0 1 -.names RST_i.BLIF inst_avec_expreg.AR -1 1 -.names N_173.BLIF N_173_i -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -.names N_168.BLIF N_168_i -0 1 -.names G_109.BLIF CLK_CNT_N_0_.D -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -.names N_167.BLIF N_167_i -0 1 -.names CLK_OSZI_c.BLIF CLK_OSZI_i -0 1 -.names N_175.BLIF N_175_i -0 1 -.names G_115.BLIF CLK_CNT_P_0_.D -0 1 -.names RST_i.BLIF CLK_000_P_SYNC_2_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -.names N_169.BLIF N_169_i -0 1 -.names inst_CLK_OUT_PRE_50_D.BLIF CLK_OUT_PRE_50_D_i -0 1 -.names state_machine_un26_clk_000_pe_2_n.BLIF state_machine_un26_clk_000_pe_2_i_n -0 1 -.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF state_machine_un3_clk_out_pre_50_n -11 1 -.names RST_i.BLIF inst_CLK_000_NE.AR -1 1 -.names N_171.BLIF N_171_i -0 1 -.names un16_ciin.BLIF un16_ciin_i -0 1 -.names N_172.BLIF N_172_i -0 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n -0 1 -.names inst_AS_030_000_SYNC.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un1_n -11 1 -.names inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0.X1 -1 1 -.names N_103.BLIF N_103_i -0 1 -.names state_machine_un1_as_030_n.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names RST_i.BLIF CLK_000_P_SYNC_3_.AR -1 1 -.names N_100.BLIF N_100_i -0 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF inst_AS_030_000_SYNC.D -1- 1 --1 1 -.names state_machine_un3_clk_out_pre_50_n.BLIF CLK_OUT_PRE_25_0.X2 -1 1 -.names N_115.BLIF N_115_i -0 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names SM_AMIGA_0_sqmuxa.BLIF SM_AMIGA_0_sqmuxa_i -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n -11 1 -.names N_110.BLIF N_110_i -0 1 -.names N_99_i.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -.names CLK_CNT_N_0_.BLIF G_109.X1 -1 1 -.names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D -0 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INT.D -1- 1 --1 1 -.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D -0 1 -.names un2_as_030.BLIF ds_000_enable_0_un3_n -0 1 -.names RST_i.BLIF CLK_000_P_SYNC_4_.AR -1 1 -.names CLK_CNT_N_1_.BLIF G_109.X2 -1 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i -0 1 -.names inst_DS_000_ENABLE.BLIF un2_as_030.BLIF ds_000_enable_0_un1_n -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i -0 1 -.names un1_SM_AMIGA_0_sqmuxa_2.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa -0 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF inst_DS_000_ENABLE.D -1- 1 --1 1 -.names CLK_CNT_P_0_.BLIF G_115.X1 -1 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -.names CLK_030_H_1_sqmuxa.BLIF CLK_030_H_1_sqmuxa_i -0 1 -.names inst_DSACK1_INT.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n -11 1 -.names CLK_CNT_P_1_.BLIF G_115.X2 -1 1 -.names state_machine_clk_030_h_2_f1_0_n.BLIF state_machine_clk_030_h_2_f1_n -0 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names RST_i.BLIF CLK_000_P_SYNC_5_.AR -1 1 -.names state_machine_size_dma_4_0_1__n.BLIF SIZE_DMA_1_.D -0 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF inst_DSACK1_INT.D -1- 1 --1 1 -.names state_machine_size_dma_4_0_0__n.BLIF SIZE_DMA_0_.D -0 1 -.names state_machine_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names inst_avec_expreg.BLIF cpu_estse.X1 -1 1 -.names N_92_i.BLIF N_92 -0 1 -.names BG_030_c.BLIF state_machine_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -.names cpu_est_0_.BLIF cpu_estse.X2 -1 1 -.names N_93_i.BLIF N_93 -0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D -1- 1 --1 1 -.names N_95_0.BLIF N_95 -0 1 -.names DS_030_c.BLIF lds_000_int_0_un3_n -0 1 -.names RST_i.BLIF CLK_000_P_SYNC_6_.AR -1 1 -.names state_machine_un3_bgack_030_int_d_n.BLIF state_machine_un3_bgack_030_int_d_i_n -0 1 -.names inst_LDS_000_INT.BLIF DS_030_c.BLIF lds_000_int_0_un1_n -11 1 -.names cpu_estse.BLIF cpu_est_0_.D -1 1 -.names un1_bgack_030_int_d_0.BLIF un1_bgack_030_int_d -0 1 -.names state_machine_un7_ds_030_i_n.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i -0 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INT.D -1- 1 --1 1 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i -0 1 -.names DS_030_c.BLIF uds_000_int_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names inst_UDS_000_INT.BLIF DS_030_c.BLIF uds_000_int_0_un1_n -11 1 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -.names N_96_i.BLIF N_96 -0 1 -.names A0_c.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names RST_i.BLIF CLK_000_P_SYNC_7_.AR -1 1 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INT.D -1- 1 --1 1 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -.names N_104.BLIF N_104_i -0 1 -.names BGACK_000_c.BLIF state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -.names N_102.BLIF N_102_i -0 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C -1 1 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -.names N_101.BLIF N_101_i -0 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.D -1- 1 --1 1 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -.names N_105.BLIF N_105_i -0 1 -.names vcc_n_n -1 -.names RST_i.BLIF CLK_000_P_SYNC_8_.AR -1 1 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -.names N_106.BLIF N_106_i -0 1 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -.names sm_amiga_ns_0_1__n.BLIF SM_AMIGA_6_.D -0 1 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -.names N_107.BLIF N_107_i -0 1 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -.names sm_amiga_ns_0_2__n.BLIF SM_AMIGA_5_.D -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C -1 1 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -.names N_109.BLIF N_109_i -0 1 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -.names N_108.BLIF N_108_i -0 1 -.names RST_i.BLIF CLK_000_P_SYNC_9_.AR -1 1 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -.names sm_amiga_ns_0_3__n.BLIF SM_AMIGA_4_.D -0 1 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -.names N_111.BLIF N_111_i -0 1 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -.names N_112.BLIF N_112_i -0 1 -.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D -1 1 -.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C -1 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D -1 1 -.names N_114.BLIF N_114_i -0 1 -.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_PRE_D.D -1 1 -.names N_113.BLIF N_113_i -0 1 -.names RST_i.BLIF CLK_000_N_SYNC_0_.AR -1 1 -.names CLK_000_P_SYNC_9_.BLIF inst_avec_expreg.D -1 1 -.names sm_amiga_ns_0_6__n.BLIF SM_AMIGA_1_.D -0 1 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -.names N_91_i.BLIF N_91 -0 1 -.names un8_ciin.BLIF un8_ciin_i -0 1 -.names un14_ciin_0.BLIF un14_ciin -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C -1 1 -.names state_machine_un1_as_030_i_n.BLIF state_machine_un1_as_030_n -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names RST_i.BLIF CLK_000_N_SYNC_1_.AR -1 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C -1 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -.names un5_ciin_i.BLIF un14_ciin.BLIF un16_ciin -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_2_.AR -1 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_i -0 1 -.names RST_i.BLIF cpu_est_0_.AR -1 1 -.names nEXP_SPACE_c.BLIF un8_ciin_i.BLIF un14_ciin_0 -11 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names un12_ciin.BLIF un12_ciin_i -0 1 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -.names AS_030_i.BLIF un12_ciin_i.BLIF un8_ciin -11 1 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -.names un19_fpu_cs.BLIF un19_fpu_cs_i -0 1 -.names RST_i.BLIF inst_RW_000_INT.AP -1 1 -.names N_111_i.BLIF N_112_i.BLIF sm_amiga_ns_0_5__n -11 1 -.names RST_i.BLIF cpu_est_1_.AR -1 1 -.names N_108_i.BLIF N_109_i.BLIF sm_amiga_ns_0_3__n -11 1 -.names N_99_i.BLIF N_107_i.BLIF sm_amiga_ns_0_2__n -11 1 -.names N_105_i.BLIF N_106_i.BLIF sm_amiga_ns_0_1__n -11 1 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -.names SM_AMIGA_2_.BLIF inst_avec_expreg.BLIF N_113 -11 1 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -.names N_91_i.BLIF SM_AMIGA_2_.BLIF N_112 -11 1 -.names RST_i.BLIF inst_VMA_INTreg.AP -1 1 -.names N_92_i.BLIF SM_AMIGA_3_.BLIF N_111 -11 1 -.names RST_i.BLIF cpu_est_2_.AR -1 1 -.names N_91_i.BLIF SM_AMIGA_4_.BLIF N_109 -11 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_5_.BLIF N_108 -11 1 -.names N_91_i.BLIF SM_AMIGA_6_.BLIF N_106 -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_25.C -1 1 -.names BERR_c.BLIF BERR_i -0 1 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C -1 1 -.names AS_030_i.BLIF BERR_c.BLIF state_machine_un1_as_030_i_n -11 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_25.AR -1 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names RST_i.BLIF cpu_est_3_reg.AR -1 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n -0 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -.names inst_avec_expreg.BLIF avec_exp_i -0 1 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C -1 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names RST_i.BLIF inst_BGACK_030_INTreg.AP -1 1 -.names SM_AMIGA_0_sqmuxa_1.BLIF SM_AMIGA_0_sqmuxa_1_i -0 1 -.names RST_i.BLIF IPL_030DFFSH_0_reg.AP -1 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names A_16_.BLIF a_c_16__n -1 1 -.names N_114_1.BLIF SM_AMIGA_5_.BLIF N_107 -11 1 -.names A_17_.BLIF a_c_17__n -1 1 -.names BERR_c.BLIF CLK_000_NE_i.BLIF N_114_1 -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -.names A_18_.BLIF a_c_18__n -1 1 -.names N_114_1.BLIF SM_AMIGA_1_.BLIF N_114 -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C -1 1 -.names A_19_.BLIF a_c_19__n -1 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names RST_i.BLIF inst_AS_030_000_SYNC.AP -1 1 -.names A_20_.BLIF a_c_20__n -1 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_95_0 -11 1 -.names RST_i.BLIF IPL_030DFFSH_1_reg.AP -1 1 -.names A_21_.BLIF a_c_21__n -1 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_93_i -11 1 -.names A_22_.BLIF a_c_22__n -1 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names A_23_.BLIF a_c_23__n -1 1 -.names inst_CLK_000_NE.BLIF state_machine_un11_clk_000_ne_n.BLIF N_92_i -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -.names A_24_.BLIF a_c_24__n -1 1 -.names BERR_c.BLIF avec_exp_i.BLIF N_91_i -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C -1 1 -.names A_25_.BLIF a_c_25__n -1 1 -.names N_113_i.BLIF N_114_i.BLIF sm_amiga_ns_0_6__n -11 1 -.names RST_i.BLIF inst_AS_000_INT.AP -1 1 -.names A_26_.BLIF a_c_26__n -1 1 -.names inst_BGACK_030_INT_D.BLIF BGACK_030_INT_D_i -0 1 -.names RST_i.BLIF IPL_030DFFSH_2_reg.AP -1 1 -.names A_27_.BLIF a_c_27__n -1 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF state_machine_un5_bgack_030_int_d_i_n -11 1 -.names A_28_.BLIF a_c_28__n -1 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 -11 1 -.names A_29_.BLIF a_c_29__n -1 1 -.names N_98.BLIF N_98_i -0 1 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -.names A_30_.BLIF a_c_30__n -1 1 -.names N_98_i.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C -1 1 -.names A_31_.BLIF a_c_31__n -1 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AS_030_i.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 -11 1 -.names RST_i.BLIF inst_DS_000_ENABLE.AR -1 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 -11 1 -.names RST_i.BLIF SM_AMIGA_7_.AP -1 1 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -.names SM_AMIGA_4_.BLIF inst_avec_expreg.BLIF SM_AMIGA_0_sqmuxa -11 1 -.names BERR.BLIF BERR_c -1 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_1__n.BLIF N_98 -11 1 -.names BG_030.BLIF BG_030_c -1 1 -.names SM_AMIGA_6_.BLIF inst_avec_expreg.BLIF N_99 -11 1 -.names CLK_OSZI_c.BLIF inst_DSACK1_INT.C -1 1 -.names BG_000DFFSHreg.BLIF BG_000 -1 1 -.names N_91.BLIF SM_AMIGA_0_.BLIF N_103 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -.names SM_AMIGA_7_.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_105 -11 1 -.names RST_i.BLIF inst_DSACK1_INT.AP -1 1 -.names BGACK_000.BLIF BGACK_000_c -1 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF N_115 -11 1 -.names RST_i.BLIF SM_AMIGA_6_.AR -1 1 -.names CLK_030.BLIF CLK_030_c -1 1 -.names N_110_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF sm_amiga_ns_0_4__n -11 1 -.names CLK_000.BLIF CLK_000_c -1 1 -.names N_103_i.BLIF N_115_i.BLIF SM_AMIGA_0_.D -11 1 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -.names state_machine_clk_030_h_2_f1_n.BLIF state_machine_un8_bgack_030_int_n.BLIF state_machine_clk_030_h_2_n -11 1 -.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C -1 1 -.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT -1 1 -.names AS_000_DMA_i.BLIF state_machine_un8_bgack_030_int_n.BLIF CLK_030_H_1_sqmuxa_1 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -.names CLK_OUT_INTreg.BLIF CLK_EXP -1 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names RST_i.BLIF BG_000DFFSHreg.AP -1 1 -.names un19_fpu_cs_i.BLIF FPU_CS -1 1 -.names CLK_030_c.BLIF CLK_030_i -0 1 -.names RST_i.BLIF SM_AMIGA_5_.AR -1 1 -.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ -1 1 -.names AS_000_DMA_i.BLIF CLK_030_i.BLIF CLK_030_H_1_sqmuxa -11 1 -.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ -1 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ -1 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_179_1 -11 1 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -.names RW_000_i.BLIF state_machine_un8_bgack_030_int_n.BLIF DS_000_DMA_1_sqmuxa_1 -11 1 -.names RST_i.BLIF inst_LDS_000_INT.AP -1 1 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -.names state_machine_un24_bgack_030_int_n.BLIF state_machine_un24_bgack_030_int_i_n -0 1 -.names RST_i.BLIF SM_AMIGA_4_.AR -1 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF state_machine_un24_bgack_030_int_i_n.BLIF DS_000_DMA_1_sqmuxa -11 1 -.names N_179_1.BLIF state_machine_un10_bgack_030_int_n.BLIF state_machine_un8_bgack_030_int_n -11 1 -.names vcc_n_n.BLIF AVEC -1 1 -.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -.names inst_avec_expreg.BLIF AVEC_EXP -1 1 -.names inst_AMIGA_BUS_ENABLE_INTreg.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -.names cpu_est_3_reg.BLIF E -1 1 -.names un1_bgack_030_int_d.BLIF amiga_bus_enable_int_0_un3_n.BLIF amiga_bus_enable_int_0_un0_n -11 1 -.names RST_i.BLIF inst_UDS_000_INT.AP -1 1 -.names VPA.BLIF VPA_c -1 1 -.names amiga_bus_enable_int_0_un1_n.BLIF amiga_bus_enable_int_0_un0_n.BLIF inst_AMIGA_BUS_ENABLE_INTreg.D -1- 1 --1 1 -.names RST_i.BLIF SM_AMIGA_3_.AR -1 1 -.names inst_VMA_INTreg.BLIF VMA -1 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_D_i.BLIF state_machine_un3_bgack_030_int_d_n -11 1 -.names RST.BLIF RST_c -1 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names RESETDFFRHreg.BLIF RESET -1 1 -.names CLK_030_H_1_sqmuxa_1.BLIF CLK_030_H_1_sqmuxa_1_i -0 1 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -.names DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -.names FC_0_.BLIF fc_c_0__n -1 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un1_n -11 1 -.names RST_i.BLIF inst_A0_DMA.AP -1 1 -.names FC_1_.BLIF fc_c_1__n -1 1 -.names CLK_030_H_1_sqmuxa_1_i.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names RST_i.BLIF SM_AMIGA_2_.AR -1 1 -.names inst_AMIGA_BUS_ENABLE_INTreg.BLIF AMIGA_BUS_ENABLE -1 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF inst_DS_000_DMA.D -1- 1 --1 1 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n -0 1 -.names inst_CLK_OUT_PRE_33reg.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -.names state_machine_un8_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF inst_AS_000_DMA.D -1- 1 --1 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF state_machine_un24_bgack_030_int_n -11 1 -.names RST_i.BLIF SM_AMIGA_1_.AR -1 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF state_machine_un10_bgack_030_int_0_n -11 1 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names a_i_24__n.BLIF a_i_25__n.BLIF un12_ciin_1 -11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names RST_i.BLIF inst_RW_000_DMA.AP -1 1 -.names a_i_26__n.BLIF a_i_27__n.BLIF un12_ciin_2 -11 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF state_machine_un31_bgack_030_int_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un12_ciin_3 -11 1 -.names CLK_030_i.BLIF state_machine_un8_bgack_030_int_n.BLIF AS_000_DMA_1_sqmuxa -11 1 -.names a_i_30__n.BLIF a_i_31__n.BLIF un12_ciin_4 -11 1 -.names UDS_000_c.BLIF state_machine_un8_bgack_030_int_n.BLIF inst_A0_DMA.D -11 1 -.names RST_i.BLIF SM_AMIGA_0_.AR -1 1 -.names un12_ciin_1.BLIF un12_ciin_2.BLIF un12_ciin_5 -11 1 -.names state_machine_un31_bgack_030_int_n.BLIF state_machine_un31_bgack_030_int_i_n -0 1 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -.names un12_ciin_3.BLIF un12_ciin_4.BLIF un12_ciin_6 -11 1 -.names state_machine_un8_bgack_030_int_n.BLIF state_machine_un31_bgack_030_int_n.BLIF state_machine_size_dma_4_0_0__n -11 1 -.names un12_ciin_5.BLIF un12_ciin_6.BLIF un12_ciin -11 1 -.names state_machine_un8_bgack_030_int_n.BLIF state_machine_un8_bgack_030_int_i_n -0 1 -.names RST_i.BLIF inst_DS_000_DMA.AP -1 1 -.names AS_030_i.BLIF a_c_20__n.BLIF un5_ciin_1 -11 1 -.names state_machine_un8_bgack_030_int_n.BLIF state_machine_un31_bgack_030_int_i_n.BLIF state_machine_size_dma_4_0_1__n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C -1 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 -11 1 -.names CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_i.BLIF state_machine_clk_030_h_2_f1_0_n -11 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF state_machine_un26_clk_000_pe_2_n -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_3_.AR -1 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_169 -11 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_168 -11 1 -.names RST_i.BLIF inst_AS_000_DMA.AP -1 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 -11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 -11 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names N_96_i_1.BLIF sm_amiga_i_6__n.BLIF N_96_i -11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_167 -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_4_.AR -1 1 -.names BERR_c.BLIF N_92.BLIF N_110_1 -11 1 -.names state_machine_un5_clk_000_ne_i_n.BLIF state_machine_un26_clk_000_pe_i_n.BLIF state_machine_un28_clk_000_pe_0_n -11 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C -1 1 -.names N_110_1.BLIF SM_AMIGA_3_.BLIF N_110 -11 1 -.names inst_avec_expreg.BLIF cpu_estse_2_un3_n -0 1 -.names BERR_i.BLIF N_96.BLIF N_100_1 -11 1 -.names N_164_i.BLIF inst_avec_expreg.BLIF cpu_estse_2_un1_n -11 1 -.names RST_i.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP -1 1 -.names N_100_1.BLIF avec_exp_i.BLIF N_100 -11 1 -.names cpu_est_3_reg.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -.names N_93.BLIF BERR_i.BLIF N_101_1 -11 1 -.names cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF cpu_est_3_reg.D -1- 1 --1 1 -.names N_101_1.BLIF CLK_000_NE_i.BLIF N_101 -11 1 -.names inst_avec_expreg.BLIF cpu_estse_1_un3_n -0 1 -.names RST_i.BLIF CLK_000_N_SYNC_5_.AR -1 1 -.names BERR_i.BLIF N_92.BLIF N_102_1 -11 1 -.names cpu_est_ns_2__n.BLIF inst_avec_expreg.BLIF cpu_estse_1_un1_n -11 1 -.names N_102_1.BLIF SM_AMIGA_3_.BLIF N_102 -11 1 -.names cpu_est_2_.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n -11 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_33reg.AR -1 1 -.names N_93_i.BLIF N_96_i.BLIF N_104_1 -11 1 -.names cpu_estse_1_un1_n.BLIF cpu_estse_1_un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names SM_AMIGA_0_sqmuxa_1_i.BLIF sm_amiga_i_0__n.BLIF N_104_2 -11 1 -.names inst_avec_expreg.BLIF cpu_estse_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -.names N_104_1.BLIF N_104_2.BLIF N_104_3 -11 1 -.names cpu_est_ns_1__n.BLIF inst_avec_expreg.BLIF cpu_estse_0_un1_n -11 1 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE.D -1 1 -.names N_104_3.BLIF sm_amiga_i_3__n.BLIF N_104 -11 1 -.names cpu_est_1_.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_6_.AR -1 1 -.names state_machine_un3_bgack_030_int_d_i_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 -11 1 -.names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C -1 1 -.names un1_bgack_030_int_d_0_1.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF un1_bgack_030_int_d_0 -11 1 -.names state_machine_un28_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names N_179_1_0.BLIF nEXP_SPACE_i.BLIF N_179 -11 1 -.names state_machine_un26_clk_000_pe_4_n.BLIF state_machine_un28_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -.names RST_i.BLIF inst_CLK_OUT_PRE.AR -1 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF state_machine_un26_clk_000_pe_1_n -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names state_machine_un26_clk_000_pe_1_n.BLIF state_machine_un26_clk_000_pe_4_n.BLIF state_machine_un26_clk_000_pe_n -11 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -.names state_machine_un26_clk_000_pe_2_n.BLIF inst_AS_000_INT.BLIF state_machine_un26_clk_000_pe_4_1_n -11 1 -.names RST_c.BLIF clk_030_h_0_un3_n -0 1 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.D -1 1 -.names state_machine_un26_clk_000_pe_4_1_n.BLIF inst_avec_expreg.BLIF state_machine_un26_clk_000_pe_4_n -11 1 -.names state_machine_clk_030_h_2_n.BLIF RST_c.BLIF clk_030_h_0_un1_n -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_7_.AR -1 1 -.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF state_machine_un5_clk_000_ne_1_n -11 1 -.names inst_CLK_030_H.BLIF clk_030_h_0_un3_n.BLIF clk_030_h_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D3.C -1 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF state_machine_un5_clk_000_ne_2_n -11 1 -.names clk_030_h_0_un1_n.BLIF clk_030_h_0_un0_n.BLIF inst_CLK_030_H.D -1- 1 --1 1 -.names state_machine_un5_clk_000_ne_1_n.BLIF state_machine_un5_clk_000_ne_2_n.BLIF state_machine_un5_clk_000_ne_n -11 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF DS_000_DMA_1_sqmuxa_1_i -0 1 -.names RST_i.BLIF inst_CLK_000_D3.AP -1 1 -.names N_167_i.BLIF N_168_i.BLIF cpu_est_ns_0_1_1__n -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -.names N_169_i.BLIF N_175_i.BLIF cpu_est_ns_0_2_1__n -11 1 -.names inst_RW_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un1_n -11 1 -.names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n -11 1 -.names DS_000_DMA_1_sqmuxa_1_i.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_8_.AR -1 1 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D -1 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF inst_RW_000_DMA.D -1- 1 --1 1 -.names sm_amiga_i_7__n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 -11 1 -.names N_75.BLIF rw_000_int_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D2.C -1 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa -11 1 -.names state_machine_rw_000_int_3_n.BLIF N_75.BLIF rw_000_int_0_un1_n -11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_96_i_1 -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -.names RST_i.BLIF inst_CLK_000_D2.AP -1 1 -.names state_machine_un28_as_030_1_n.BLIF state_machine_un28_as_030_2_n.BLIF state_machine_un28_as_030_n -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF inst_RW_000_INT.D -1- 1 --1 1 -.names state_machine_un15_clk_000_ne_1_n.BLIF VMA_INT_i.BLIF state_machine_un15_clk_000_ne_1_0_n -11 1 -.names N_178_i.BLIF N_179_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_9_.AR -1 1 -.names state_machine_un15_clk_000_ne_1_0_n.BLIF VPA_i.BLIF state_machine_un15_clk_000_ne_n -11 1 -.names RW_c.BLIF RW_i -0 1 -.names nEXP_SPACE_c.BLIF AS_030_c.BLIF state_machine_un6_bg_030_1_n -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_i.BLIF N_178 -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -.names state_machine_un6_bg_030_1_n.BLIF CLK_000_c.BLIF state_machine_un6_bg_030_n -11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF state_machine_clk_000_p_sync_3_1_0__n -11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_50.AR -1 1 -.names CLK_000_D2_i.BLIF CLK_000_D3_i.BLIF state_machine_clk_000_p_sync_3_2_0__n -11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF state_machine_un15_clk_000_ne_1_n -11 1 -.names state_machine_clk_000_p_sync_3_1_0__n.BLIF state_machine_clk_000_p_sync_3_2_0__n.BLIF CLK_000_P_SYNC_0_.D -11 1 -.names N_173_i.BLIF N_174_i.BLIF N_164_i -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_10_.AR -1 1 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF state_machine_clk_000_n_sync_2_1_0__n -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_175 -11 1 -.names vcc_n_n.BLIF RESETDFFRHreg.D -1 1 -.names state_machine_clk_000_n_sync_2_1_0__n.BLIF state_machine_un5_clk_000_n_sync_n.BLIF CLK_000_N_SYNC_0_.D -11 1 -.names N_175.BLIF cpu_est_i_3__n.BLIF N_174 -11 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF SM_AMIGA_0_sqmuxa_1_1 -11 1 -.names state_machine_un15_clk_000_ne_1_n.BLIF state_machine_un15_clk_000_ne_1_i_n -0 1 -.names CLK_OSZI_c.BLIF RESETDFFRHreg.C -1 1 -.names SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un5_clk_000_n_sync_n.BLIF SM_AMIGA_0_sqmuxa_1 -11 1 -.names cpu_est_2_.BLIF state_machine_un15_clk_000_ne_1_i_n.BLIF N_173 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -.names RW_c.BLIF SM_AMIGA_6_.BLIF DS_000_ENABLE_0_sqmuxa_1 -11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names RST_i.BLIF RESETDFFRHreg.AR -1 1 -.names DS_000_ENABLE_0_sqmuxa_1.BLIF inst_avec_expreg.BLIF DS_000_ENABLE_0_sqmuxa -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_172 -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_11_.AR -1 1 -.names N_179_1.BLIF RW_c.BLIF N_179_1_0 -11 1 -.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_171 -11 1 -.names un3_dtack_i_1.BLIF BGACK_030_INT_i.BLIF un3_dtack_i -11 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF DSACK1_INT_1_sqmuxa -11 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF state_machine_un7_ds_030_i_1_n -11 1 -.names state_machine_un9_clk_000_ne_i_n.BLIF state_machine_un15_clk_000_ne_i_n.BLIF state_machine_un11_clk_000_ne_i_n -11 1 -.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C -1 1 -.names state_machine_un7_ds_030_i_1_n.BLIF size_c_0__n.BLIF state_machine_un7_ds_030_i_n -11 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C -1 1 -.names a_c_17__n.BLIF BGACK_000_c.BLIF un19_fpu_cs_5_1 -11 1 -.names VPA_c.BLIF VPA_i -0 1 -.names RST_i.BLIF CLK_OUT_INTreg.AR -1 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF un19_fpu_cs_5_2 -11 1 -.names state_machine_un1_as_030_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un2_as_030 -11 1 -.names RST_i.BLIF CLK_CNT_N_0_.AR -1 1 -.names un19_fpu_cs_5_1.BLIF un19_fpu_cs_5_2.BLIF un19_fpu_cs_5 -11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names AS_030_i.BLIF a_i_16__n.BLIF un19_fpu_cs_1 -11 1 -.names inst_CLK_000_D2.BLIF CLK_000_D2_i -0 1 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF un19_fpu_cs_2 -11 1 -.names inst_CLK_000_D3.BLIF CLK_000_D3_i -0 1 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D -1 1 -.names un19_fpu_cs_1.BLIF un19_fpu_cs_2.BLIF un19_fpu_cs_3 -11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -.names un19_fpu_cs_3.BLIF un19_fpu_cs_5.BLIF un19_fpu_cs -11 1 -.names N_99_i.BLIF sm_amiga_i_7__n.BLIF N_75_0 -11 1 -.names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C -1 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_7_.BLIF AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names RW_i.BLIF sm_amiga_i_7__n.BLIF state_machine_rw_000_int_3_0_n -11 1 -.names RST_i.BLIF inst_CLK_000_D1.AP -1 1 -.names nEXP_SPACE_c.BLIF state_machine_un28_as_030_i_n.BLIF AS_030_000_SYNC_0_sqmuxa_2 -11 1 -.names DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF un1_SM_AMIGA_0_sqmuxa_2_i -11 1 -.names RST_i.BLIF CLK_CNT_N_1_.AP -1 1 -.names AS_030_000_SYNC_0_sqmuxa_1.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF AS_030_000_SYNC_0_sqmuxa -11 1 -.names inst_avec_expreg.BLIF ipl_030_0_2__un3_n -0 1 -.names a_i_16__n.BLIF a_i_18__n.BLIF state_machine_un28_as_030_1_n -11 1 -.names ipl_c_2__n.BLIF inst_avec_expreg.BLIF ipl_030_0_2__un1_n -11 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D -1 1 -.end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 deleted file mode 100644 index dab23b2..0000000 --- a/Logic/BUS68030.bl1 +++ /dev/null @@ -1,2199 +0,0 @@ -#$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sun Jun 22 21:24:20 2014 -#$ MODULE bus68030 -#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 SIZE_0_ DS_030 \ -# A_30_ UDS_000 A_29_ LDS_000 A_28_ A0 A_27_ nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ BG_000 \ -# A_23_ BGACK_030 A_22_ BGACK_000 A_21_ CLK_030 A_20_ CLK_000 A_19_ CLK_OSZI A_18_ \ -# CLK_DIV_OUT A_17_ CLK_EXP A_16_ FPU_CS IPL_030_1_ DSACK1 IPL_030_0_ DTACK IPL_1_ AVEC \ -# IPL_0_ AVEC_EXP FC_0_ E VPA VMA RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ -# AMIGA_BUS_ENABLE_LOW CIIN -#$ NODES 489 DSACK1_INT_0_sqmuxa_i un1_bgack_030_int_d_0_1 N_99_i un12_ciin_1 \ -# DTACK_i un12_ciin_2 CLK_000_D0_i un12_ciin_3 a_i_19__n un12_ciin_4 a_i_16__n \ -# un12_ciin_5 inst_BGACK_030_INTreg a_i_18__n un12_ciin_6 vcc_n_n \ -# state_machine_un28_as_030_i_n un5_ciin_1 inst_avec_expreg \ -# AS_030_000_SYNC_0_sqmuxa_i un5_ciin_2 inst_VMA_INTreg clk_cnt_n_i_0__n un5_ciin_3 \ -# inst_AMIGA_BUS_ENABLE_INTreg RST_i un5_ciin_4 inst_CLK_OUT_PRE_33reg un5_ciin_5 \ -# inst_AS_030_000_SYNC un5_ciin_6 inst_BGACK_030_INT_D un5_ciin_7 inst_AS_000_DMA \ -# CLK_OSZI_i un5_ciin_8 inst_VPA_D un5_ciin_9 inst_CLK_OUT_PRE_50_D un16_ciin_i \ -# un5_ciin_10 CLK_CNT_N_0_ CLK_OUT_PRE_50_D_i un5_ciin_11 inst_CLK_OUT_PRE_50 \ -# AS_030_c amiga_bus_enable_int_0_un3_n inst_CLK_OUT_PRE_25 \ -# amiga_bus_enable_int_0_un1_n inst_CLK_000_D1 AS_000_c \ -# amiga_bus_enable_int_0_un0_n inst_CLK_000_D2 ds_000_dma_0_un3_n inst_CLK_000_D3 \ -# RW_000_c ds_000_dma_0_un1_n inst_CLK_000_D0 ds_000_dma_0_un0_n inst_CLK_000_NE \ -# DS_030_c as_000_dma_0_un3_n inst_CLK_OUT_PRE_D as_000_dma_0_un1_n inst_CLK_OUT_PRE \ -# UDS_000_c as_000_dma_0_un0_n CLK_000_P_SYNC_9_ cpu_estse_2_un3_n \ -# CLK_000_N_SYNC_11_ LDS_000_c cpu_estse_2_un1_n inst_AS_000_INT cpu_estse_2_un0_n \ -# SM_AMIGA_7_ size_c_0__n cpu_estse_1_un3_n SM_AMIGA_6_ cpu_estse_1_un1_n SM_AMIGA_1_ \ -# size_c_1__n cpu_estse_1_un0_n SM_AMIGA_0_ cpu_estse_0_un3_n SM_AMIGA_4_ a_c_16__n \ -# cpu_estse_0_un1_n CLK_000_N_SYNC_6_ cpu_estse_0_un0_n inst_CLK_030_H a_c_17__n \ -# vma_int_0_un3_n CLK_CNT_P_1_ vma_int_0_un1_n CLK_CNT_N_1_ a_c_18__n vma_int_0_un0_n \ -# inst_RW_000_INT clk_030_h_0_un3_n inst_DSACK1_INT a_c_19__n clk_030_h_0_un1_n \ -# state_machine_un3_clk_out_pre_50_n clk_030_h_0_un0_n \ -# state_machine_un4_bgack_000_n a_c_20__n rw_000_dma_0_un3_n CLK_CNT_P_0_ \ -# rw_000_dma_0_un1_n inst_RW_000_DMA a_c_21__n rw_000_dma_0_un0_n un1_LDS_000_INT \ -# rw_000_int_0_un3_n inst_LDS_000_INT a_c_22__n rw_000_int_0_un1_n \ -# inst_DS_000_ENABLE rw_000_int_0_un0_n un1_UDS_000_INT a_c_23__n ipl_030_0_2__un3_n \ -# inst_UDS_000_INT ipl_030_0_2__un1_n a_c_24__n ipl_030_0_2__un0_n \ -# ipl_030_0_1__un3_n a_c_25__n ipl_030_0_1__un1_n inst_DS_000_DMA ipl_030_0_1__un0_n \ -# SIZE_DMA_0_ a_c_26__n ipl_030_0_0__un3_n SIZE_DMA_1_ ipl_030_0_0__un1_n inst_A0_DMA \ -# a_c_27__n ipl_030_0_0__un0_n G_109 as_030_000_sync_0_un3_n G_115 a_c_28__n \ -# as_030_000_sync_0_un1_n CLK_000_P_SYNC_0_ as_030_000_sync_0_un0_n \ -# CLK_000_P_SYNC_1_ a_c_29__n as_000_int_0_un3_n CLK_000_P_SYNC_2_ \ -# as_000_int_0_un1_n CLK_000_P_SYNC_3_ a_c_30__n as_000_int_0_un0_n \ -# CLK_000_P_SYNC_4_ ds_000_enable_0_un3_n CLK_000_P_SYNC_5_ a_c_31__n \ -# ds_000_enable_0_un1_n CLK_000_P_SYNC_6_ ds_000_enable_0_un0_n CLK_000_P_SYNC_7_ \ -# A0_c dsack1_int_0_un3_n CLK_000_P_SYNC_8_ dsack1_int_0_un1_n CLK_000_N_SYNC_0_ \ -# nEXP_SPACE_c dsack1_int_0_un0_n CLK_000_N_SYNC_1_ bg_000_0_un3_n CLK_000_N_SYNC_2_ \ -# BERR_c bg_000_0_un1_n CLK_000_N_SYNC_3_ bg_000_0_un0_n CLK_000_N_SYNC_4_ BG_030_c \ -# lds_000_int_0_un3_n CLK_000_N_SYNC_5_ lds_000_int_0_un1_n CLK_000_N_SYNC_7_ \ -# BG_000DFFSHreg lds_000_int_0_un0_n CLK_000_N_SYNC_8_ uds_000_int_0_un3_n \ -# CLK_000_N_SYNC_9_ uds_000_int_0_un1_n CLK_000_N_SYNC_10_ BGACK_000_c \ -# uds_000_int_0_un0_n state_machine_un1_as_030_n bgack_030_int_0_un3_n \ -# un1_SM_AMIGA_0_sqmuxa_2 CLK_030_c bgack_030_int_0_un1_n \ -# state_machine_un8_bg_030_n bgack_030_int_0_un0_n un1_AS_030_000_SYNC_0_sqmuxa_1 \ -# CLK_000_c un2_as_030 AS_000_INT_1_sqmuxa CLK_OSZI_c DSACK1_INT_1_sqmuxa un19_fpu_cs \ -# un5_ciin CLK_OUT_INTreg SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ IPL_030DFFSH_0_reg \ -# IPL_030DFFSH_1_reg un16_ciin IPL_030DFFSH_2_reg ipl_c_0__n ipl_c_1__n ipl_c_2__n \ -# DSACK1_c DTACK_c CLK_OUT_PRE_25_0 VPA_c RST_c RESETDFFRHreg RW_c fc_c_0__n fc_c_1__n \ -# cpu_est_0_ cpu_est_1_ cpu_est_2_ AMIGA_BUS_DATA_DIR_c cpu_est_3_reg cpu_estse \ -# un8_ciin_i un14_ciin_0 un6_clk_pre_66 state_machine_un1_as_030_i_n un2_clk_pre_66 \ -# N_105_i un19_fpu_cs_5 N_106_i AS_030_000_SYNC_0_sqmuxa sm_amiga_ns_0_1__n \ -# state_machine_un28_as_030_n N_107_i DSACK1_INT_0_sqmuxa sm_amiga_ns_0_2__n \ -# state_machine_un5_clk_000_n_sync_n N_109_i state_machine_un9_clk_000_ne_n N_108_i \ -# state_machine_un6_bg_030_n sm_amiga_ns_0_3__n N_99 N_111_i \ -# state_machine_un11_clk_000_ne_n N_112_i state_machine_un15_clk_000_ne_n \ -# sm_amiga_ns_0_5__n SM_AMIGA_0_sqmuxa_1 N_114_i state_machine_un15_clk_000_ne_1_n \ -# N_113_i DS_000_ENABLE_0_sqmuxa sm_amiga_ns_0_6__n SM_AMIGA_0_sqmuxa N_91_i N_75 \ -# N_92_i state_machine_rw_000_int_3_n sm_amiga_i_5__n N_179_1 N_93_i N_179 N_95_0 N_178 \ -# un3_dtack_i cpu_est_ns_2__n state_machine_un3_bgack_030_int_d_i_n N_172 \ -# un1_bgack_030_int_d_0 N_171 AMIGA_BUS_ENABLE_INT_3_sqmuxa_i \ -# state_machine_un26_clk_000_pe_2_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i \ -# state_machine_un26_clk_000_pe_n sm_amiga_i_6__n \ -# state_machine_un26_clk_000_pe_4_n N_96_i state_machine_un5_clk_000_ne_n \ -# sm_amiga_i_2__n N_169 sm_amiga_i_4__n N_175 N_104_i N_167 N_102_i N_168 N_101_i N_173 \ -# N_103_i N_174 N_100_i cpu_est_ns_1__n N_115_i state_machine_un28_clk_000_pe_n \ -# state_machine_clk_030_h_2_n SM_AMIGA_0_sqmuxa_i DS_000_DMA_1_sqmuxa_1 N_110_i \ -# AS_000_DMA_1_sqmuxa sm_amiga_ns_0_4__n CLK_030_H_1_sqmuxa_1 sm_amiga_ns_0_0__n \ -# DS_000_DMA_1_sqmuxa AMIGA_BUS_ENABLE_INT_2_sqmuxa_i \ -# state_machine_un8_bgack_030_int_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i \ -# state_machine_un24_bgack_030_int_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 \ -# state_machine_un10_bgack_030_int_n state_machine_un5_bgack_030_int_d_i_n \ -# state_machine_un31_bgack_030_int_n CLK_030_H_i state_machine_clk_030_h_2_f1_n \ -# CLK_030_H_1_sqmuxa_i CLK_030_H_1_sqmuxa state_machine_clk_030_h_2_f1_0_n \ -# un1_bgack_030_int_d state_machine_size_dma_4_0_1__n \ -# un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_size_dma_4_0_0__n \ -# state_machine_un3_bgack_030_int_d_n state_machine_un10_bgack_030_int_0_n \ -# AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 state_machine_un26_clk_000_pe_i_n \ -# AMIGA_BUS_ENABLE_INT_3_sqmuxa state_machine_un5_clk_000_ne_i_n N_98 \ -# state_machine_un28_clk_000_pe_0_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 \ -# cpu_est_ns_0_1__n AMIGA_BUS_ENABLE_INT_2_sqmuxa N_174_i N_103 N_173_i N_91 N_164_i \ -# N_105 N_168_i N_115 N_167_i N_95 N_175_i N_110 N_169_i N_100 \ -# state_machine_un26_clk_000_pe_2_i_n N_102 N_171_i N_101 N_172_i N_104 \ -# cpu_est_ns_0_2__n N_96 N_179_i N_92 N_178_i N_93 AMIGA_BUS_DATA_DIR_c_0 N_107 \ -# DS_000_ENABLE_0_sqmuxa_i N_114_1 un1_SM_AMIGA_0_sqmuxa_2_i N_114 \ -# state_machine_rw_000_int_3_0_n N_113 N_75_0 N_111 state_machine_un9_clk_000_ne_i_n \ -# N_112 state_machine_un15_clk_000_ne_i_n N_108 state_machine_un11_clk_000_ne_i_n \ -# N_109 BG_030_c_i N_106 state_machine_un6_bg_030_i_n un12_ciin \ -# state_machine_un8_bg_030_0_n un14_ciin state_machine_un4_bgack_000_0_n un8_ciin \ -# un2_clk_pre_66_i un19_fpu_cs_i un6_clk_pre_66_i AS_030_i CLK_PRE_66_0 un12_ciin_i \ -# LDS_000_INT_i nEXP_SPACE_i un1_LDS_000_INT_0 un5_ciin_i UDS_000_INT_i a_i_24__n \ -# un1_UDS_000_INT_0 a_i_25__n state_machine_un7_ds_030_i_n a_i_26__n A0_c_i a_i_27__n \ -# size_c_i_1__n a_i_28__n cpu_est_ns_0_1_2__n a_i_29__n sm_amiga_ns_0_1_0__n \ -# a_i_30__n sm_amiga_ns_0_2_0__n a_i_31__n sm_amiga_ns_0_3_0__n BERR_i un3_dtack_i_1 \ -# CLK_000_NE_i state_machine_un7_ds_030_i_1_n sm_amiga_i_1__n un19_fpu_cs_5_1 \ -# sm_amiga_i_3__n un19_fpu_cs_5_2 sm_amiga_i_0__n un19_fpu_cs_1 \ -# SM_AMIGA_0_sqmuxa_1_i un19_fpu_cs_2 avec_exp_i un19_fpu_cs_3 sm_amiga_i_7__n \ -# AS_030_000_SYNC_0_sqmuxa_1 N_98_i AS_030_000_SYNC_0_sqmuxa_2 BGACK_030_INT_i \ -# state_machine_un28_as_030_1_n BGACK_030_INT_D_i state_machine_un28_as_030_2_n \ -# state_machine_un24_bgack_030_int_i_n state_machine_un15_clk_000_ne_1_0_n \ -# RW_000_i state_machine_un6_bg_030_1_n AS_000_i \ -# state_machine_clk_000_p_sync_3_1_0__n AS_000_DMA_i \ -# state_machine_clk_000_p_sync_3_2_0__n CLK_030_i \ -# state_machine_clk_000_n_sync_2_1_0__n state_machine_un8_bgack_030_int_i_n \ -# SM_AMIGA_0_sqmuxa_1_1 state_machine_un31_bgack_030_int_i_n \ -# DS_000_ENABLE_0_sqmuxa_1 UDS_000_i N_179_1_0 LDS_000_i \ -# state_machine_un26_clk_000_pe_1_n CLK_030_H_1_sqmuxa_1_i \ -# state_machine_un26_clk_000_pe_4_1_n DS_000_DMA_1_sqmuxa_1_i \ -# state_machine_un5_clk_000_ne_1_n cpu_est_i_3__n state_machine_un5_clk_000_ne_2_n \ -# cpu_est_i_2__n cpu_est_ns_0_1_1__n cpu_est_i_1__n cpu_est_ns_0_2_1__n \ -# cpu_est_i_0__n AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 \ -# state_machine_un15_clk_000_ne_1_i_n AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i \ -# N_96_i_1 RW_i N_110_1 AS_030_000_SYNC_i N_100_1 CLK_000_D2_i N_101_1 CLK_000_D3_i \ -# N_102_1 CLK_000_D1_i N_104_1 VMA_INT_i N_104_2 VPA_i N_104_3 -.model bus68030 -.inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF \ -BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF VPA.BLIF \ -RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF \ -A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ -A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF SIZE_1_.BLIF \ -AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF \ -A0.BLIF DSACK1.BLIF DTACK.BLIF RW.BLIF SIZE_0_.BLIF DSACK1_INT_0_sqmuxa_i.BLIF \ -un1_bgack_030_int_d_0_1.BLIF N_99_i.BLIF un12_ciin_1.BLIF DTACK_i.BLIF \ -un12_ciin_2.BLIF CLK_000_D0_i.BLIF un12_ciin_3.BLIF a_i_19__n.BLIF \ -un12_ciin_4.BLIF a_i_16__n.BLIF un12_ciin_5.BLIF inst_BGACK_030_INTreg.BLIF \ -a_i_18__n.BLIF un12_ciin_6.BLIF vcc_n_n.BLIF \ -state_machine_un28_as_030_i_n.BLIF un5_ciin_1.BLIF inst_avec_expreg.BLIF \ -AS_030_000_SYNC_0_sqmuxa_i.BLIF un5_ciin_2.BLIF inst_VMA_INTreg.BLIF \ -clk_cnt_n_i_0__n.BLIF un5_ciin_3.BLIF inst_AMIGA_BUS_ENABLE_INTreg.BLIF \ -RST_i.BLIF un5_ciin_4.BLIF inst_CLK_OUT_PRE_33reg.BLIF un5_ciin_5.BLIF \ -inst_AS_030_000_SYNC.BLIF un5_ciin_6.BLIF inst_BGACK_030_INT_D.BLIF \ -un5_ciin_7.BLIF inst_AS_000_DMA.BLIF CLK_OSZI_i.BLIF un5_ciin_8.BLIF \ -inst_VPA_D.BLIF un5_ciin_9.BLIF inst_CLK_OUT_PRE_50_D.BLIF un16_ciin_i.BLIF \ -un5_ciin_10.BLIF CLK_CNT_N_0_.BLIF CLK_OUT_PRE_50_D_i.BLIF un5_ciin_11.BLIF \ -inst_CLK_OUT_PRE_50.BLIF AS_030_c.BLIF amiga_bus_enable_int_0_un3_n.BLIF \ -inst_CLK_OUT_PRE_25.BLIF amiga_bus_enable_int_0_un1_n.BLIF \ -inst_CLK_000_D1.BLIF AS_000_c.BLIF amiga_bus_enable_int_0_un0_n.BLIF \ -inst_CLK_000_D2.BLIF ds_000_dma_0_un3_n.BLIF inst_CLK_000_D3.BLIF \ -RW_000_c.BLIF ds_000_dma_0_un1_n.BLIF inst_CLK_000_D0.BLIF \ -ds_000_dma_0_un0_n.BLIF inst_CLK_000_NE.BLIF DS_030_c.BLIF \ -as_000_dma_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF as_000_dma_0_un1_n.BLIF \ -inst_CLK_OUT_PRE.BLIF UDS_000_c.BLIF as_000_dma_0_un0_n.BLIF \ -CLK_000_P_SYNC_9_.BLIF cpu_estse_2_un3_n.BLIF CLK_000_N_SYNC_11_.BLIF \ -LDS_000_c.BLIF cpu_estse_2_un1_n.BLIF inst_AS_000_INT.BLIF \ -cpu_estse_2_un0_n.BLIF SM_AMIGA_7_.BLIF size_c_0__n.BLIF \ -cpu_estse_1_un3_n.BLIF SM_AMIGA_6_.BLIF cpu_estse_1_un1_n.BLIF \ -SM_AMIGA_1_.BLIF size_c_1__n.BLIF cpu_estse_1_un0_n.BLIF SM_AMIGA_0_.BLIF \ -cpu_estse_0_un3_n.BLIF SM_AMIGA_4_.BLIF a_c_16__n.BLIF cpu_estse_0_un1_n.BLIF \ -CLK_000_N_SYNC_6_.BLIF cpu_estse_0_un0_n.BLIF inst_CLK_030_H.BLIF \ -a_c_17__n.BLIF vma_int_0_un3_n.BLIF CLK_CNT_P_1_.BLIF vma_int_0_un1_n.BLIF \ -CLK_CNT_N_1_.BLIF a_c_18__n.BLIF vma_int_0_un0_n.BLIF inst_RW_000_INT.BLIF \ -clk_030_h_0_un3_n.BLIF inst_DSACK1_INT.BLIF a_c_19__n.BLIF \ -clk_030_h_0_un1_n.BLIF state_machine_un3_clk_out_pre_50_n.BLIF \ -clk_030_h_0_un0_n.BLIF state_machine_un4_bgack_000_n.BLIF a_c_20__n.BLIF \ -rw_000_dma_0_un3_n.BLIF CLK_CNT_P_0_.BLIF rw_000_dma_0_un1_n.BLIF \ -inst_RW_000_DMA.BLIF a_c_21__n.BLIF rw_000_dma_0_un0_n.BLIF \ -un1_LDS_000_INT.BLIF rw_000_int_0_un3_n.BLIF inst_LDS_000_INT.BLIF \ -a_c_22__n.BLIF rw_000_int_0_un1_n.BLIF inst_DS_000_ENABLE.BLIF \ -rw_000_int_0_un0_n.BLIF un1_UDS_000_INT.BLIF a_c_23__n.BLIF \ -ipl_030_0_2__un3_n.BLIF inst_UDS_000_INT.BLIF ipl_030_0_2__un1_n.BLIF \ -a_c_24__n.BLIF ipl_030_0_2__un0_n.BLIF ipl_030_0_1__un3_n.BLIF a_c_25__n.BLIF \ -ipl_030_0_1__un1_n.BLIF inst_DS_000_DMA.BLIF ipl_030_0_1__un0_n.BLIF \ -SIZE_DMA_0_.BLIF a_c_26__n.BLIF ipl_030_0_0__un3_n.BLIF SIZE_DMA_1_.BLIF \ -ipl_030_0_0__un1_n.BLIF inst_A0_DMA.BLIF a_c_27__n.BLIF \ -ipl_030_0_0__un0_n.BLIF G_109.BLIF as_030_000_sync_0_un3_n.BLIF G_115.BLIF \ -a_c_28__n.BLIF as_030_000_sync_0_un1_n.BLIF CLK_000_P_SYNC_0_.BLIF \ -as_030_000_sync_0_un0_n.BLIF CLK_000_P_SYNC_1_.BLIF a_c_29__n.BLIF \ -as_000_int_0_un3_n.BLIF CLK_000_P_SYNC_2_.BLIF as_000_int_0_un1_n.BLIF \ -CLK_000_P_SYNC_3_.BLIF a_c_30__n.BLIF as_000_int_0_un0_n.BLIF \ -CLK_000_P_SYNC_4_.BLIF ds_000_enable_0_un3_n.BLIF CLK_000_P_SYNC_5_.BLIF \ -a_c_31__n.BLIF ds_000_enable_0_un1_n.BLIF CLK_000_P_SYNC_6_.BLIF \ -ds_000_enable_0_un0_n.BLIF CLK_000_P_SYNC_7_.BLIF A0_c.BLIF \ -dsack1_int_0_un3_n.BLIF CLK_000_P_SYNC_8_.BLIF dsack1_int_0_un1_n.BLIF \ -CLK_000_N_SYNC_0_.BLIF nEXP_SPACE_c.BLIF dsack1_int_0_un0_n.BLIF \ -CLK_000_N_SYNC_1_.BLIF bg_000_0_un3_n.BLIF CLK_000_N_SYNC_2_.BLIF BERR_c.BLIF \ -bg_000_0_un1_n.BLIF CLK_000_N_SYNC_3_.BLIF bg_000_0_un0_n.BLIF \ -CLK_000_N_SYNC_4_.BLIF BG_030_c.BLIF lds_000_int_0_un3_n.BLIF \ -CLK_000_N_SYNC_5_.BLIF lds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_7_.BLIF \ -BG_000DFFSHreg.BLIF lds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_8_.BLIF \ -uds_000_int_0_un3_n.BLIF CLK_000_N_SYNC_9_.BLIF uds_000_int_0_un1_n.BLIF \ -CLK_000_N_SYNC_10_.BLIF BGACK_000_c.BLIF uds_000_int_0_un0_n.BLIF \ -state_machine_un1_as_030_n.BLIF bgack_030_int_0_un3_n.BLIF \ -un1_SM_AMIGA_0_sqmuxa_2.BLIF CLK_030_c.BLIF bgack_030_int_0_un1_n.BLIF \ -state_machine_un8_bg_030_n.BLIF bgack_030_int_0_un0_n.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF CLK_000_c.BLIF un2_as_030.BLIF \ -AS_000_INT_1_sqmuxa.BLIF CLK_OSZI_c.BLIF DSACK1_INT_1_sqmuxa.BLIF \ -un19_fpu_cs.BLIF un5_ciin.BLIF CLK_OUT_INTreg.BLIF SM_AMIGA_5_.BLIF \ -SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF IPL_030DFFSH_0_reg.BLIF \ -IPL_030DFFSH_1_reg.BLIF un16_ciin.BLIF IPL_030DFFSH_2_reg.BLIF ipl_c_0__n.BLIF \ -ipl_c_1__n.BLIF ipl_c_2__n.BLIF DSACK1_c.BLIF DTACK_c.BLIF \ -CLK_OUT_PRE_25_0.BLIF VPA_c.BLIF RST_c.BLIF RESETDFFRHreg.BLIF RW_c.BLIF \ -fc_c_0__n.BLIF fc_c_1__n.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -AMIGA_BUS_DATA_DIR_c.BLIF cpu_est_3_reg.BLIF cpu_estse.BLIF un8_ciin_i.BLIF \ -un14_ciin_0.BLIF un6_clk_pre_66.BLIF state_machine_un1_as_030_i_n.BLIF \ -un2_clk_pre_66.BLIF N_105_i.BLIF un19_fpu_cs_5.BLIF N_106_i.BLIF \ -AS_030_000_SYNC_0_sqmuxa.BLIF sm_amiga_ns_0_1__n.BLIF \ -state_machine_un28_as_030_n.BLIF N_107_i.BLIF DSACK1_INT_0_sqmuxa.BLIF \ -sm_amiga_ns_0_2__n.BLIF state_machine_un5_clk_000_n_sync_n.BLIF N_109_i.BLIF \ -state_machine_un9_clk_000_ne_n.BLIF N_108_i.BLIF \ -state_machine_un6_bg_030_n.BLIF sm_amiga_ns_0_3__n.BLIF N_99.BLIF N_111_i.BLIF \ -state_machine_un11_clk_000_ne_n.BLIF N_112_i.BLIF \ -state_machine_un15_clk_000_ne_n.BLIF sm_amiga_ns_0_5__n.BLIF \ -SM_AMIGA_0_sqmuxa_1.BLIF N_114_i.BLIF state_machine_un15_clk_000_ne_1_n.BLIF \ -N_113_i.BLIF DS_000_ENABLE_0_sqmuxa.BLIF sm_amiga_ns_0_6__n.BLIF \ -SM_AMIGA_0_sqmuxa.BLIF N_91_i.BLIF N_75.BLIF N_92_i.BLIF \ -state_machine_rw_000_int_3_n.BLIF sm_amiga_i_5__n.BLIF N_179_1.BLIF \ -N_93_i.BLIF N_179.BLIF N_95_0.BLIF N_178.BLIF un3_dtack_i.BLIF \ -cpu_est_ns_2__n.BLIF state_machine_un3_bgack_030_int_d_i_n.BLIF N_172.BLIF \ -un1_bgack_030_int_d_0.BLIF N_171.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ -state_machine_un26_clk_000_pe_2_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF \ -state_machine_un26_clk_000_pe_n.BLIF sm_amiga_i_6__n.BLIF \ -state_machine_un26_clk_000_pe_4_n.BLIF N_96_i.BLIF \ -state_machine_un5_clk_000_ne_n.BLIF sm_amiga_i_2__n.BLIF N_169.BLIF \ -sm_amiga_i_4__n.BLIF N_175.BLIF N_104_i.BLIF N_167.BLIF N_102_i.BLIF \ -N_168.BLIF N_101_i.BLIF N_173.BLIF N_103_i.BLIF N_174.BLIF N_100_i.BLIF \ -cpu_est_ns_1__n.BLIF N_115_i.BLIF state_machine_un28_clk_000_pe_n.BLIF \ -state_machine_clk_030_h_2_n.BLIF SM_AMIGA_0_sqmuxa_i.BLIF \ -DS_000_DMA_1_sqmuxa_1.BLIF N_110_i.BLIF AS_000_DMA_1_sqmuxa.BLIF \ -sm_amiga_ns_0_4__n.BLIF CLK_030_H_1_sqmuxa_1.BLIF sm_amiga_ns_0_0__n.BLIF \ -DS_000_DMA_1_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF \ -state_machine_un8_bgack_030_int_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ -state_machine_un24_bgack_030_int_n.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ -state_machine_un10_bgack_030_int_n.BLIF \ -state_machine_un5_bgack_030_int_d_i_n.BLIF \ -state_machine_un31_bgack_030_int_n.BLIF CLK_030_H_i.BLIF \ -state_machine_clk_030_h_2_f1_n.BLIF CLK_030_H_1_sqmuxa_i.BLIF \ -CLK_030_H_1_sqmuxa.BLIF state_machine_clk_030_h_2_f1_0_n.BLIF \ -un1_bgack_030_int_d.BLIF state_machine_size_dma_4_0_1__n.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF state_machine_size_dma_4_0_0__n.BLIF \ -state_machine_un3_bgack_030_int_d_n.BLIF \ -state_machine_un10_bgack_030_int_0_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF \ -state_machine_un26_clk_000_pe_i_n.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF \ -state_machine_un5_clk_000_ne_i_n.BLIF N_98.BLIF \ -state_machine_un28_clk_000_pe_0_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF \ -cpu_est_ns_0_1__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF N_174_i.BLIF \ -N_103.BLIF N_173_i.BLIF N_91.BLIF N_164_i.BLIF N_105.BLIF N_168_i.BLIF \ -N_115.BLIF N_167_i.BLIF N_95.BLIF N_175_i.BLIF N_110.BLIF N_169_i.BLIF \ -N_100.BLIF state_machine_un26_clk_000_pe_2_i_n.BLIF N_102.BLIF N_171_i.BLIF \ -N_101.BLIF N_172_i.BLIF N_104.BLIF cpu_est_ns_0_2__n.BLIF N_96.BLIF \ -N_179_i.BLIF N_92.BLIF N_178_i.BLIF N_93.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ -N_107.BLIF DS_000_ENABLE_0_sqmuxa_i.BLIF N_114_1.BLIF \ -un1_SM_AMIGA_0_sqmuxa_2_i.BLIF N_114.BLIF state_machine_rw_000_int_3_0_n.BLIF \ -N_113.BLIF N_75_0.BLIF N_111.BLIF state_machine_un9_clk_000_ne_i_n.BLIF \ -N_112.BLIF state_machine_un15_clk_000_ne_i_n.BLIF N_108.BLIF \ -state_machine_un11_clk_000_ne_i_n.BLIF N_109.BLIF BG_030_c_i.BLIF N_106.BLIF \ -state_machine_un6_bg_030_i_n.BLIF un12_ciin.BLIF \ -state_machine_un8_bg_030_0_n.BLIF un14_ciin.BLIF \ -state_machine_un4_bgack_000_0_n.BLIF un8_ciin.BLIF un2_clk_pre_66_i.BLIF \ -un19_fpu_cs_i.BLIF un6_clk_pre_66_i.BLIF AS_030_i.BLIF CLK_PRE_66_0.BLIF \ -un12_ciin_i.BLIF LDS_000_INT_i.BLIF nEXP_SPACE_i.BLIF un1_LDS_000_INT_0.BLIF \ -un5_ciin_i.BLIF UDS_000_INT_i.BLIF a_i_24__n.BLIF un1_UDS_000_INT_0.BLIF \ -a_i_25__n.BLIF state_machine_un7_ds_030_i_n.BLIF a_i_26__n.BLIF A0_c_i.BLIF \ -a_i_27__n.BLIF size_c_i_1__n.BLIF a_i_28__n.BLIF cpu_est_ns_0_1_2__n.BLIF \ -a_i_29__n.BLIF sm_amiga_ns_0_1_0__n.BLIF a_i_30__n.BLIF \ -sm_amiga_ns_0_2_0__n.BLIF a_i_31__n.BLIF sm_amiga_ns_0_3_0__n.BLIF BERR_i.BLIF \ -un3_dtack_i_1.BLIF CLK_000_NE_i.BLIF state_machine_un7_ds_030_i_1_n.BLIF \ -sm_amiga_i_1__n.BLIF un19_fpu_cs_5_1.BLIF sm_amiga_i_3__n.BLIF \ -un19_fpu_cs_5_2.BLIF sm_amiga_i_0__n.BLIF un19_fpu_cs_1.BLIF \ -SM_AMIGA_0_sqmuxa_1_i.BLIF un19_fpu_cs_2.BLIF avec_exp_i.BLIF \ -un19_fpu_cs_3.BLIF sm_amiga_i_7__n.BLIF AS_030_000_SYNC_0_sqmuxa_1.BLIF \ -N_98_i.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF BGACK_030_INT_i.BLIF \ -state_machine_un28_as_030_1_n.BLIF BGACK_030_INT_D_i.BLIF \ -state_machine_un28_as_030_2_n.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ -state_machine_un15_clk_000_ne_1_0_n.BLIF RW_000_i.BLIF \ -state_machine_un6_bg_030_1_n.BLIF AS_000_i.BLIF \ -state_machine_clk_000_p_sync_3_1_0__n.BLIF AS_000_DMA_i.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n.BLIF CLK_030_i.BLIF \ -state_machine_clk_000_n_sync_2_1_0__n.BLIF \ -state_machine_un8_bgack_030_int_i_n.BLIF SM_AMIGA_0_sqmuxa_1_1.BLIF \ -state_machine_un31_bgack_030_int_i_n.BLIF DS_000_ENABLE_0_sqmuxa_1.BLIF \ -UDS_000_i.BLIF N_179_1_0.BLIF LDS_000_i.BLIF \ -state_machine_un26_clk_000_pe_1_n.BLIF CLK_030_H_1_sqmuxa_1_i.BLIF \ -state_machine_un26_clk_000_pe_4_1_n.BLIF DS_000_DMA_1_sqmuxa_1_i.BLIF \ -state_machine_un5_clk_000_ne_1_n.BLIF cpu_est_i_3__n.BLIF \ -state_machine_un5_clk_000_ne_2_n.BLIF cpu_est_i_2__n.BLIF \ -cpu_est_ns_0_1_1__n.BLIF cpu_est_i_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF \ -cpu_est_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ -state_machine_un15_clk_000_ne_1_i_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF \ -VPA_D_i.BLIF N_96_i_1.BLIF RW_i.BLIF N_110_1.BLIF AS_030_000_SYNC_i.BLIF \ -N_100_1.BLIF CLK_000_D2_i.BLIF N_101_1.BLIF CLK_000_D3_i.BLIF N_102_1.BLIF \ -CLK_000_D1_i.BLIF N_104_1.BLIF VMA_INT_i.BLIF N_104_2.BLIF VPA_i.BLIF \ -N_104_3.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF DS_030.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ -A0.PIN.BLIF DSACK1.PIN.BLIF DTACK.PIN.BLIF RW.PIN.BLIF -.outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC AVEC_EXP \ -E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ -IPL_030_1_ IPL_030_0_ cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D \ -cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR \ -cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR IPL_030DFFSH_0_reg.D \ -IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D \ -IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D \ -IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C \ -SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR \ -SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ -SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D \ -SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ -CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ -CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C \ -CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C \ -CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ -CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C \ -CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR \ -CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ -CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_DMA_0_.D \ -SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D SIZE_DMA_1_.C SIZE_DMA_1_.AP \ -CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR \ -CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR \ -CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR \ -CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR \ -CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR \ -CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR \ -CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR \ -CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR \ -CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR inst_RW_000_INT.D \ -inst_RW_000_INT.C inst_RW_000_INT.AP inst_VMA_INTreg.D inst_VMA_INTreg.C \ -inst_VMA_INTreg.AP inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C \ -inst_CLK_OUT_PRE_25.AR inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ -inst_BGACK_030_INTreg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ -inst_AS_030_000_SYNC.AP inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_000_INT.AP \ -inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR \ -inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP BG_000DFFSHreg.D \ -BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_LDS_000_INT.AP inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP \ -inst_A0_DMA.D inst_A0_DMA.C inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_DMA.AP inst_DS_000_DMA.D \ -inst_DS_000_DMA.C inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C \ -inst_AS_000_DMA.AP inst_AMIGA_BUS_ENABLE_INTreg.D \ -inst_AMIGA_BUS_ENABLE_INTreg.C inst_AMIGA_BUS_ENABLE_INTreg.AP \ -inst_CLK_OUT_PRE_33reg.D inst_CLK_OUT_PRE_33reg.C inst_CLK_OUT_PRE_33reg.AR \ -inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D \ -inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.D inst_CLK_000_D2.C \ -inst_CLK_000_D2.AP inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_OUT_PRE_50.AR RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ -CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_CLK_000_D1.D \ -inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.D \ -inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.D \ -inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.D \ -inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C \ -inst_CLK_000_D0.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_avec_expreg.D \ -inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_000_NE.D inst_CLK_000_NE.C \ -inst_CLK_000_NE.AR SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 \ -DSACK1 DTACK RW SIZE_0_ DSACK1_INT_0_sqmuxa_i un1_bgack_030_int_d_0_1 N_99_i \ -un12_ciin_1 DTACK_i un12_ciin_2 CLK_000_D0_i un12_ciin_3 a_i_19__n un12_ciin_4 \ -a_i_16__n un12_ciin_5 a_i_18__n un12_ciin_6 vcc_n_n \ -state_machine_un28_as_030_i_n un5_ciin_1 AS_030_000_SYNC_0_sqmuxa_i un5_ciin_2 \ -clk_cnt_n_i_0__n un5_ciin_3 RST_i un5_ciin_4 un5_ciin_5 un5_ciin_6 un5_ciin_7 \ -CLK_OSZI_i un5_ciin_8 un5_ciin_9 un16_ciin_i un5_ciin_10 CLK_OUT_PRE_50_D_i \ -un5_ciin_11 AS_030_c amiga_bus_enable_int_0_un3_n amiga_bus_enable_int_0_un1_n \ -AS_000_c amiga_bus_enable_int_0_un0_n ds_000_dma_0_un3_n RW_000_c \ -ds_000_dma_0_un1_n ds_000_dma_0_un0_n DS_030_c as_000_dma_0_un3_n \ -as_000_dma_0_un1_n UDS_000_c as_000_dma_0_un0_n cpu_estse_2_un3_n LDS_000_c \ -cpu_estse_2_un1_n cpu_estse_2_un0_n size_c_0__n cpu_estse_1_un3_n \ -cpu_estse_1_un1_n size_c_1__n cpu_estse_1_un0_n cpu_estse_0_un3_n a_c_16__n \ -cpu_estse_0_un1_n cpu_estse_0_un0_n a_c_17__n vma_int_0_un3_n vma_int_0_un1_n \ -a_c_18__n vma_int_0_un0_n clk_030_h_0_un3_n a_c_19__n clk_030_h_0_un1_n \ -state_machine_un3_clk_out_pre_50_n clk_030_h_0_un0_n \ -state_machine_un4_bgack_000_n a_c_20__n rw_000_dma_0_un3_n rw_000_dma_0_un1_n \ -a_c_21__n rw_000_dma_0_un0_n un1_LDS_000_INT rw_000_int_0_un3_n a_c_22__n \ -rw_000_int_0_un1_n rw_000_int_0_un0_n un1_UDS_000_INT a_c_23__n \ -ipl_030_0_2__un3_n ipl_030_0_2__un1_n a_c_24__n ipl_030_0_2__un0_n \ -ipl_030_0_1__un3_n a_c_25__n ipl_030_0_1__un1_n ipl_030_0_1__un0_n a_c_26__n \ -ipl_030_0_0__un3_n ipl_030_0_0__un1_n a_c_27__n ipl_030_0_0__un0_n \ -as_030_000_sync_0_un3_n a_c_28__n as_030_000_sync_0_un1_n \ -as_030_000_sync_0_un0_n a_c_29__n as_000_int_0_un3_n as_000_int_0_un1_n \ -a_c_30__n as_000_int_0_un0_n ds_000_enable_0_un3_n a_c_31__n \ -ds_000_enable_0_un1_n ds_000_enable_0_un0_n A0_c dsack1_int_0_un3_n \ -dsack1_int_0_un1_n nEXP_SPACE_c dsack1_int_0_un0_n bg_000_0_un3_n BERR_c \ -bg_000_0_un1_n bg_000_0_un0_n BG_030_c lds_000_int_0_un3_n lds_000_int_0_un1_n \ -lds_000_int_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n BGACK_000_c \ -uds_000_int_0_un0_n state_machine_un1_as_030_n bgack_030_int_0_un3_n \ -un1_SM_AMIGA_0_sqmuxa_2 CLK_030_c bgack_030_int_0_un1_n \ -state_machine_un8_bg_030_n bgack_030_int_0_un0_n \ -un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_000_c un2_as_030 AS_000_INT_1_sqmuxa \ -CLK_OSZI_c DSACK1_INT_1_sqmuxa un19_fpu_cs un5_ciin un16_ciin ipl_c_0__n \ -ipl_c_1__n ipl_c_2__n DSACK1_c DTACK_c VPA_c RST_c RW_c fc_c_0__n fc_c_1__n \ -AMIGA_BUS_DATA_DIR_c un8_ciin_i un14_ciin_0 un6_clk_pre_66 \ -state_machine_un1_as_030_i_n un2_clk_pre_66 N_105_i un19_fpu_cs_5 N_106_i \ -AS_030_000_SYNC_0_sqmuxa sm_amiga_ns_0_1__n state_machine_un28_as_030_n \ -N_107_i DSACK1_INT_0_sqmuxa sm_amiga_ns_0_2__n \ -state_machine_un5_clk_000_n_sync_n N_109_i state_machine_un9_clk_000_ne_n \ -N_108_i state_machine_un6_bg_030_n sm_amiga_ns_0_3__n N_99 N_111_i \ -state_machine_un11_clk_000_ne_n N_112_i state_machine_un15_clk_000_ne_n \ -sm_amiga_ns_0_5__n SM_AMIGA_0_sqmuxa_1 N_114_i \ -state_machine_un15_clk_000_ne_1_n N_113_i DS_000_ENABLE_0_sqmuxa \ -sm_amiga_ns_0_6__n SM_AMIGA_0_sqmuxa N_91_i N_75 N_92_i \ -state_machine_rw_000_int_3_n sm_amiga_i_5__n N_179_1 N_93_i N_179 N_95_0 N_178 \ -un3_dtack_i cpu_est_ns_2__n state_machine_un3_bgack_030_int_d_i_n N_172 \ -un1_bgack_030_int_d_0 N_171 AMIGA_BUS_ENABLE_INT_3_sqmuxa_i \ -state_machine_un26_clk_000_pe_2_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i \ -state_machine_un26_clk_000_pe_n sm_amiga_i_6__n \ -state_machine_un26_clk_000_pe_4_n N_96_i state_machine_un5_clk_000_ne_n \ -sm_amiga_i_2__n N_169 sm_amiga_i_4__n N_175 N_104_i N_167 N_102_i N_168 \ -N_101_i N_173 N_103_i N_174 N_100_i cpu_est_ns_1__n N_115_i \ -state_machine_un28_clk_000_pe_n state_machine_clk_030_h_2_n \ -SM_AMIGA_0_sqmuxa_i DS_000_DMA_1_sqmuxa_1 N_110_i AS_000_DMA_1_sqmuxa \ -sm_amiga_ns_0_4__n CLK_030_H_1_sqmuxa_1 sm_amiga_ns_0_0__n DS_000_DMA_1_sqmuxa \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_i state_machine_un8_bgack_030_int_n \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i state_machine_un24_bgack_030_int_n \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 state_machine_un10_bgack_030_int_n \ -state_machine_un5_bgack_030_int_d_i_n state_machine_un31_bgack_030_int_n \ -CLK_030_H_i state_machine_clk_030_h_2_f1_n CLK_030_H_1_sqmuxa_i \ -CLK_030_H_1_sqmuxa state_machine_clk_030_h_2_f1_0_n un1_bgack_030_int_d \ -state_machine_size_dma_4_0_1__n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa \ -state_machine_size_dma_4_0_0__n state_machine_un3_bgack_030_int_d_n \ -state_machine_un10_bgack_030_int_0_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 \ -state_machine_un26_clk_000_pe_i_n AMIGA_BUS_ENABLE_INT_3_sqmuxa \ -state_machine_un5_clk_000_ne_i_n N_98 state_machine_un28_clk_000_pe_0_n \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 cpu_est_ns_0_1__n \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa N_174_i N_103 N_173_i N_91 N_164_i N_105 N_168_i \ -N_115 N_167_i N_95 N_175_i N_110 N_169_i N_100 \ -state_machine_un26_clk_000_pe_2_i_n N_102 N_171_i N_101 N_172_i N_104 \ -cpu_est_ns_0_2__n N_96 N_179_i N_92 N_178_i N_93 AMIGA_BUS_DATA_DIR_c_0 N_107 \ -DS_000_ENABLE_0_sqmuxa_i N_114_1 un1_SM_AMIGA_0_sqmuxa_2_i N_114 \ -state_machine_rw_000_int_3_0_n N_113 N_75_0 N_111 \ -state_machine_un9_clk_000_ne_i_n N_112 state_machine_un15_clk_000_ne_i_n N_108 \ -state_machine_un11_clk_000_ne_i_n N_109 BG_030_c_i N_106 \ -state_machine_un6_bg_030_i_n un12_ciin state_machine_un8_bg_030_0_n un14_ciin \ -state_machine_un4_bgack_000_0_n un8_ciin un2_clk_pre_66_i un19_fpu_cs_i \ -un6_clk_pre_66_i AS_030_i CLK_PRE_66_0 un12_ciin_i LDS_000_INT_i nEXP_SPACE_i \ -un1_LDS_000_INT_0 un5_ciin_i UDS_000_INT_i a_i_24__n un1_UDS_000_INT_0 \ -a_i_25__n state_machine_un7_ds_030_i_n a_i_26__n A0_c_i a_i_27__n \ -size_c_i_1__n a_i_28__n cpu_est_ns_0_1_2__n a_i_29__n sm_amiga_ns_0_1_0__n \ -a_i_30__n sm_amiga_ns_0_2_0__n a_i_31__n sm_amiga_ns_0_3_0__n BERR_i \ -un3_dtack_i_1 CLK_000_NE_i state_machine_un7_ds_030_i_1_n sm_amiga_i_1__n \ -un19_fpu_cs_5_1 sm_amiga_i_3__n un19_fpu_cs_5_2 sm_amiga_i_0__n un19_fpu_cs_1 \ -SM_AMIGA_0_sqmuxa_1_i un19_fpu_cs_2 avec_exp_i un19_fpu_cs_3 sm_amiga_i_7__n \ -AS_030_000_SYNC_0_sqmuxa_1 N_98_i AS_030_000_SYNC_0_sqmuxa_2 BGACK_030_INT_i \ -state_machine_un28_as_030_1_n BGACK_030_INT_D_i state_machine_un28_as_030_2_n \ -state_machine_un24_bgack_030_int_i_n state_machine_un15_clk_000_ne_1_0_n \ -RW_000_i state_machine_un6_bg_030_1_n AS_000_i \ -state_machine_clk_000_p_sync_3_1_0__n AS_000_DMA_i \ -state_machine_clk_000_p_sync_3_2_0__n CLK_030_i \ -state_machine_clk_000_n_sync_2_1_0__n state_machine_un8_bgack_030_int_i_n \ -SM_AMIGA_0_sqmuxa_1_1 state_machine_un31_bgack_030_int_i_n \ -DS_000_ENABLE_0_sqmuxa_1 UDS_000_i N_179_1_0 LDS_000_i \ -state_machine_un26_clk_000_pe_1_n CLK_030_H_1_sqmuxa_1_i \ -state_machine_un26_clk_000_pe_4_1_n DS_000_DMA_1_sqmuxa_1_i \ -state_machine_un5_clk_000_ne_1_n cpu_est_i_3__n \ -state_machine_un5_clk_000_ne_2_n cpu_est_i_2__n cpu_est_ns_0_1_1__n \ -cpu_est_i_1__n cpu_est_ns_0_2_1__n cpu_est_i_0__n \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 state_machine_un15_clk_000_ne_1_i_n \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i N_96_i_1 RW_i N_110_1 \ -AS_030_000_SYNC_i N_100_1 CLK_000_D2_i N_101_1 CLK_000_D3_i N_102_1 \ -CLK_000_D1_i N_104_1 VMA_INT_i N_104_2 VPA_i N_104_3 AS_030.OE AS_000.OE \ -RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE \ -DSACK1.OE DTACK.OE RW.OE CIIN.OE G_109 G_115 CLK_OUT_PRE_25_0 cpu_estse -.names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names cpu_estse_1_un1_n.BLIF cpu_estse_1_un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF cpu_est_3_reg.D -1- 1 --1 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF IPL_030DFFSH_0_reg.D -1- 1 --1 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF IPL_030DFFSH_1_reg.D -1- 1 --1 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D -1- 1 --1 1 -.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D -0 1 -.names sm_amiga_ns_0_1__n.BLIF SM_AMIGA_6_.D -0 1 -.names sm_amiga_ns_0_2__n.BLIF SM_AMIGA_5_.D -0 1 -.names sm_amiga_ns_0_3__n.BLIF SM_AMIGA_4_.D -0 1 -.names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D -0 1 -.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D -0 1 -.names sm_amiga_ns_0_6__n.BLIF SM_AMIGA_1_.D -0 1 -.names N_103_i.BLIF N_115_i.BLIF SM_AMIGA_0_.D -11 1 -.names G_109.BLIF CLK_CNT_N_0_.D -0 1 -.names G_115.BLIF CLK_CNT_P_0_.D -0 1 -.names state_machine_size_dma_4_0_0__n.BLIF SIZE_DMA_0_.D -0 1 -.names state_machine_size_dma_4_0_1__n.BLIF SIZE_DMA_1_.D -0 1 -.names state_machine_clk_000_p_sync_3_1_0__n.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n.BLIF CLK_000_P_SYNC_0_.D -11 1 -.names state_machine_clk_000_n_sync_2_1_0__n.BLIF \ -state_machine_un5_clk_000_n_sync_n.BLIF CLK_000_N_SYNC_0_.D -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF inst_RW_000_INT.D -1- 1 --1 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D -1- 1 --1 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF \ -inst_BGACK_030_INTreg.D -1- 1 --1 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ -inst_AS_030_000_SYNC.D -1- 1 --1 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INT.D -1- 1 --1 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF \ -inst_DS_000_ENABLE.D -1- 1 --1 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF inst_DSACK1_INT.D -1- 1 --1 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D -1- 1 --1 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INT.D -1- 1 --1 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INT.D -1- 1 --1 1 -.names UDS_000_c.BLIF state_machine_un8_bgack_030_int_n.BLIF inst_A0_DMA.D -11 1 -.names clk_030_h_0_un1_n.BLIF clk_030_h_0_un0_n.BLIF inst_CLK_030_H.D -1- 1 --1 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF inst_RW_000_DMA.D -1- 1 --1 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF inst_DS_000_DMA.D -1- 1 --1 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF inst_AS_000_DMA.D -1- 1 --1 1 -.names amiga_bus_enable_int_0_un1_n.BLIF amiga_bus_enable_int_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_INTreg.D -1- 1 --1 1 -.names inst_CLK_OUT_PRE_33reg.BLIF inst_CLK_OUT_PRE_33reg.D -0 1 -.names CLK_PRE_66_0.BLIF inst_CLK_OUT_PRE_33reg.C -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i -0 1 -.names state_machine_un3_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 -11 1 -.names N_99.BLIF N_99_i -0 1 -.names a_i_24__n.BLIF a_i_25__n.BLIF un12_ciin_1 -11 1 -.names DTACK_c.BLIF DTACK_i -0 1 -.names a_i_26__n.BLIF a_i_27__n.BLIF un12_ciin_2 -11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un12_ciin_3 -11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names a_i_30__n.BLIF a_i_31__n.BLIF un12_ciin_4 -11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names un12_ciin_1.BLIF un12_ciin_2.BLIF un12_ciin_5 -11 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names un12_ciin_3.BLIF un12_ciin_4.BLIF un12_ciin_6 -11 1 -.names vcc_n_n - 1 -.names state_machine_un28_as_030_n.BLIF state_machine_un28_as_030_i_n -0 1 -.names AS_030_i.BLIF a_c_20__n.BLIF un5_ciin_1 -11 1 -.names AS_030_000_SYNC_0_sqmuxa.BLIF AS_030_000_SYNC_0_sqmuxa_i -0 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 -11 1 -.names CLK_CNT_N_0_.BLIF clk_cnt_n_i_0__n -0 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 -11 1 -.names RST_c.BLIF RST_i -0 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 -11 1 -.names CLK_OSZI_c.BLIF CLK_OSZI_i -0 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 -11 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names un16_ciin.BLIF un16_ciin_i -0 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names inst_CLK_OUT_PRE_50_D.BLIF CLK_OUT_PRE_50_D_i -0 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un3_n -0 1 -.names inst_AMIGA_BUS_ENABLE_INTreg.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un1_n -11 1 -.names un1_bgack_030_int_d.BLIF amiga_bus_enable_int_0_un3_n.BLIF \ -amiga_bus_enable_int_0_un0_n -11 1 -.names DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un3_n -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un1_n -11 1 -.names CLK_030_H_1_sqmuxa_1_i.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n -11 1 -.names state_machine_un8_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ -as_000_dma_0_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_2_un3_n -0 1 -.names N_164_i.BLIF inst_avec_expreg.BLIF cpu_estse_2_un1_n -11 1 -.names cpu_est_3_reg.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_1_un3_n -0 1 -.names cpu_est_ns_2__n.BLIF inst_avec_expreg.BLIF cpu_estse_1_un1_n -11 1 -.names cpu_est_2_.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_0_un3_n -0 1 -.names cpu_est_ns_1__n.BLIF inst_avec_expreg.BLIF cpu_estse_0_un1_n -11 1 -.names cpu_est_1_.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n -11 1 -.names state_machine_un28_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names state_machine_un26_clk_000_pe_4_n.BLIF \ -state_machine_un28_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names RST_c.BLIF clk_030_h_0_un3_n -0 1 -.names state_machine_clk_030_h_2_n.BLIF RST_c.BLIF clk_030_h_0_un1_n -11 1 -.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF \ -state_machine_un3_clk_out_pre_50_n -11 1 -.names inst_CLK_030_H.BLIF clk_030_h_0_un3_n.BLIF clk_030_h_0_un0_n -11 1 -.names state_machine_un4_bgack_000_0_n.BLIF state_machine_un4_bgack_000_n -0 1 -.names AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un3_n -0 1 -.names inst_RW_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un1_n -11 1 -.names DS_000_DMA_1_sqmuxa_1_i.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names N_75.BLIF rw_000_int_0_un3_n -0 1 -.names state_machine_rw_000_int_3_n.BLIF N_75.BLIF rw_000_int_0_un1_n -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names inst_avec_expreg.BLIF ipl_030_0_2__un3_n -0 1 -.names ipl_c_2__n.BLIF inst_avec_expreg.BLIF ipl_030_0_2__un1_n -11 1 -.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names inst_avec_expreg.BLIF ipl_030_0_1__un3_n -0 1 -.names ipl_c_1__n.BLIF inst_avec_expreg.BLIF ipl_030_0_1__un1_n -11 1 -.names IPL_030DFFSH_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names inst_avec_expreg.BLIF ipl_030_0_0__un3_n -0 1 -.names ipl_c_0__n.BLIF inst_avec_expreg.BLIF ipl_030_0_0__un1_n -11 1 -.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un3_n -0 1 -.names inst_AS_030_000_SYNC.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF \ -as_030_000_sync_0_un1_n -11 1 -.names state_machine_un1_as_030_n.BLIF as_030_000_sync_0_un3_n.BLIF \ -as_030_000_sync_0_un0_n -11 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n -11 1 -.names N_99_i.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names un2_as_030.BLIF ds_000_enable_0_un3_n -0 1 -.names inst_DS_000_ENABLE.BLIF un2_as_030.BLIF ds_000_enable_0_un1_n -11 1 -.names un1_SM_AMIGA_0_sqmuxa_2.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n -0 1 -.names inst_DSACK1_INT.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n -11 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names state_machine_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names BG_030_c.BLIF state_machine_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names DS_030_c.BLIF lds_000_int_0_un3_n -0 1 -.names inst_LDS_000_INT.BLIF DS_030_c.BLIF lds_000_int_0_un1_n -11 1 -.names state_machine_un7_ds_030_i_n.BLIF lds_000_int_0_un3_n.BLIF \ -lds_000_int_0_un0_n -11 1 -.names DS_030_c.BLIF uds_000_int_0_un3_n -0 1 -.names inst_UDS_000_INT.BLIF DS_030_c.BLIF uds_000_int_0_un1_n -11 1 -.names A0_c.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names state_machine_un1_as_030_i_n.BLIF state_machine_un1_as_030_n -0 1 -.names state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un1_SM_AMIGA_0_sqmuxa_2 -0 1 -.names BGACK_000_c.BLIF state_machine_un4_bgack_000_n.BLIF \ -bgack_030_int_0_un1_n -11 1 -.names state_machine_un8_bg_030_0_n.BLIF state_machine_un8_bg_030_n -0 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ -bgack_030_int_0_un0_n -11 1 -.names AS_030_000_SYNC_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names state_machine_un1_as_030_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF \ -un2_as_030 -11 1 -.names N_99_i.BLIF state_machine_un1_as_030_i_n.BLIF AS_000_INT_1_sqmuxa -11 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF \ -DSACK1_INT_1_sqmuxa -11 1 -.names un19_fpu_cs_3.BLIF un19_fpu_cs_5.BLIF un19_fpu_cs -11 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names un5_ciin_i.BLIF un14_ciin.BLIF un16_ciin -11 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names un8_ciin.BLIF un8_ciin_i -0 1 -.names nEXP_SPACE_c.BLIF un8_ciin_i.BLIF un14_ciin_0 -11 1 -.names CLK_CNT_N_1_.BLIF CLK_CNT_P_1_.BLIF un6_clk_pre_66 -11 1 -.names AS_030_i.BLIF BERR_c.BLIF state_machine_un1_as_030_i_n -11 1 -.names clk_cnt_n_i_0__n.BLIF CLK_CNT_P_0_.BLIF un2_clk_pre_66 -11 1 -.names N_105.BLIF N_105_i -0 1 -.names un19_fpu_cs_5_1.BLIF un19_fpu_cs_5_2.BLIF un19_fpu_cs_5 -11 1 -.names N_106.BLIF N_106_i -0 1 -.names AS_030_000_SYNC_0_sqmuxa_1.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF \ -AS_030_000_SYNC_0_sqmuxa -11 1 -.names N_105_i.BLIF N_106_i.BLIF sm_amiga_ns_0_1__n -11 1 -.names state_machine_un28_as_030_1_n.BLIF state_machine_un28_as_030_2_n.BLIF \ -state_machine_un28_as_030_n -11 1 -.names N_107.BLIF N_107_i -0 1 -.names CLK_000_N_SYNC_6_.BLIF SM_AMIGA_1_.BLIF DSACK1_INT_0_sqmuxa -11 1 -.names N_99_i.BLIF N_107_i.BLIF sm_amiga_ns_0_2__n -11 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF \ -state_machine_un5_clk_000_n_sync_n -11 1 -.names N_109.BLIF N_109_i -0 1 -.names DTACK_i.BLIF VPA_c.BLIF state_machine_un9_clk_000_ne_n -11 1 -.names N_108.BLIF N_108_i -0 1 -.names state_machine_un6_bg_030_1_n.BLIF CLK_000_c.BLIF \ -state_machine_un6_bg_030_n -11 1 -.names N_108_i.BLIF N_109_i.BLIF sm_amiga_ns_0_3__n -11 1 -.names SM_AMIGA_6_.BLIF inst_avec_expreg.BLIF N_99 -11 1 -.names N_111.BLIF N_111_i -0 1 -.names state_machine_un11_clk_000_ne_i_n.BLIF state_machine_un11_clk_000_ne_n -0 1 -.names N_112.BLIF N_112_i -0 1 -.names state_machine_un15_clk_000_ne_1_0_n.BLIF VPA_i.BLIF \ -state_machine_un15_clk_000_ne_n -11 1 -.names N_111_i.BLIF N_112_i.BLIF sm_amiga_ns_0_5__n -11 1 -.names SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un5_clk_000_n_sync_n.BLIF \ -SM_AMIGA_0_sqmuxa_1 -11 1 -.names N_114.BLIF N_114_i -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF \ -state_machine_un15_clk_000_ne_1_n -11 1 -.names N_113.BLIF N_113_i -0 1 -.names DS_000_ENABLE_0_sqmuxa_1.BLIF inst_avec_expreg.BLIF \ -DS_000_ENABLE_0_sqmuxa -11 1 -.names N_113_i.BLIF N_114_i.BLIF sm_amiga_ns_0_6__n -11 1 -.names SM_AMIGA_4_.BLIF inst_avec_expreg.BLIF SM_AMIGA_0_sqmuxa -11 1 -.names BERR_c.BLIF avec_exp_i.BLIF N_91_i -11 1 -.names N_75_0.BLIF N_75 -0 1 -.names inst_CLK_000_NE.BLIF state_machine_un11_clk_000_ne_n.BLIF N_92_i -11 1 -.names state_machine_rw_000_int_3_0_n.BLIF state_machine_rw_000_int_3_n -0 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_179_1 -11 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_93_i -11 1 -.names N_179_1_0.BLIF nEXP_SPACE_i.BLIF N_179 -11 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_95_0 -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_i.BLIF N_178 -11 1 -.names un3_dtack_i_1.BLIF BGACK_030_INT_i.BLIF un3_dtack_i -11 1 -.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n -0 1 -.names state_machine_un3_bgack_030_int_d_n.BLIF \ -state_machine_un3_bgack_030_int_d_i_n -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_172 -11 1 -.names un1_bgack_030_int_d_0_1.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ -un1_bgack_030_int_d_0 -11 1 -.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_171 -11 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF state_machine_un26_clk_000_pe_2_n -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i -0 1 -.names state_machine_un26_clk_000_pe_1_n.BLIF \ -state_machine_un26_clk_000_pe_4_n.BLIF state_machine_un26_clk_000_pe_n -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names state_machine_un26_clk_000_pe_4_1_n.BLIF inst_avec_expreg.BLIF \ -state_machine_un26_clk_000_pe_4_n -11 1 -.names N_96_i_1.BLIF sm_amiga_i_6__n.BLIF N_96_i -11 1 -.names state_machine_un5_clk_000_ne_1_n.BLIF \ -state_machine_un5_clk_000_ne_2_n.BLIF state_machine_un5_clk_000_ne_n -11 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_169 -11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_175 -11 1 -.names N_104.BLIF N_104_i -0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_167 -11 1 -.names N_102.BLIF N_102_i -0 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_168 -11 1 -.names N_101.BLIF N_101_i -0 1 -.names cpu_est_2_.BLIF state_machine_un15_clk_000_ne_1_i_n.BLIF N_173 -11 1 -.names N_103.BLIF N_103_i -0 1 -.names N_175.BLIF cpu_est_i_3__n.BLIF N_174 -11 1 -.names N_100.BLIF N_100_i -0 1 -.names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n -0 1 -.names N_115.BLIF N_115_i -0 1 -.names state_machine_un28_clk_000_pe_0_n.BLIF state_machine_un28_clk_000_pe_n -0 1 -.names state_machine_clk_030_h_2_f1_n.BLIF \ -state_machine_un8_bgack_030_int_n.BLIF state_machine_clk_030_h_2_n -11 1 -.names SM_AMIGA_0_sqmuxa.BLIF SM_AMIGA_0_sqmuxa_i -0 1 -.names RW_000_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -DS_000_DMA_1_sqmuxa_1 -11 1 -.names N_110.BLIF N_110_i -0 1 -.names CLK_030_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -AS_000_DMA_1_sqmuxa -11 1 -.names N_110_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF sm_amiga_ns_0_4__n -11 1 -.names AS_000_DMA_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -CLK_030_H_1_sqmuxa_1 -11 1 -.names sm_amiga_ns_0_3_0__n.BLIF N_103_i.BLIF sm_amiga_ns_0_0__n -11 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ -DS_000_DMA_1_sqmuxa -11 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i -0 1 -.names N_179_1.BLIF state_machine_un10_bgack_030_int_n.BLIF \ -state_machine_un8_bgack_030_int_n -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i -0 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF state_machine_un24_bgack_030_int_n -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 -11 1 -.names state_machine_un10_bgack_030_int_0_n.BLIF \ -state_machine_un10_bgack_030_int_n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -state_machine_un5_bgack_030_int_d_i_n -11 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF state_machine_un31_bgack_030_int_n -11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names state_machine_clk_030_h_2_f1_0_n.BLIF state_machine_clk_030_h_2_f1_n -0 1 -.names CLK_030_H_1_sqmuxa.BLIF CLK_030_H_1_sqmuxa_i -0 1 -.names AS_000_DMA_i.BLIF CLK_030_i.BLIF CLK_030_H_1_sqmuxa -11 1 -.names CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_i.BLIF \ -state_machine_clk_030_h_2_f1_0_n -11 1 -.names un1_bgack_030_int_d_0.BLIF un1_bgack_030_int_d -0 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_i_n.BLIF state_machine_size_dma_4_0_1__n -11 1 -.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa -0 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_n.BLIF state_machine_size_dma_4_0_0__n -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_D_i.BLIF \ -state_machine_un3_bgack_030_int_d_n -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF state_machine_un10_bgack_030_int_0_n -11 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 -11 1 -.names state_machine_un26_clk_000_pe_n.BLIF state_machine_un26_clk_000_pe_i_n -0 1 -.names N_98_i.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_3_sqmuxa -11 1 -.names state_machine_un5_clk_000_ne_n.BLIF state_machine_un5_clk_000_ne_i_n -0 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_1__n.BLIF N_98 -11 1 -.names state_machine_un5_clk_000_ne_i_n.BLIF \ -state_machine_un26_clk_000_pe_i_n.BLIF state_machine_un28_clk_000_pe_0_n -11 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AS_030_i.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 -11 1 -.names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n -11 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa -11 1 -.names N_174.BLIF N_174_i -0 1 -.names N_91.BLIF SM_AMIGA_0_.BLIF N_103 -11 1 -.names N_173.BLIF N_173_i -0 1 -.names N_91_i.BLIF N_91 -0 1 -.names N_173_i.BLIF N_174_i.BLIF N_164_i -11 1 -.names SM_AMIGA_7_.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_105 -11 1 -.names N_168.BLIF N_168_i -0 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF N_115 -11 1 -.names N_167.BLIF N_167_i -0 1 -.names N_95_0.BLIF N_95 -0 1 -.names N_175.BLIF N_175_i -0 1 -.names N_110_1.BLIF SM_AMIGA_3_.BLIF N_110 -11 1 -.names N_169.BLIF N_169_i -0 1 -.names N_100_1.BLIF avec_exp_i.BLIF N_100 -11 1 -.names state_machine_un26_clk_000_pe_2_n.BLIF \ -state_machine_un26_clk_000_pe_2_i_n -0 1 -.names N_102_1.BLIF SM_AMIGA_3_.BLIF N_102 -11 1 -.names N_171.BLIF N_171_i -0 1 -.names N_101_1.BLIF CLK_000_NE_i.BLIF N_101 -11 1 -.names N_172.BLIF N_172_i -0 1 -.names N_104_3.BLIF sm_amiga_i_3__n.BLIF N_104 -11 1 -.names cpu_est_ns_0_1_2__n.BLIF state_machine_un26_clk_000_pe_2_i_n.BLIF \ -cpu_est_ns_0_2__n -11 1 -.names N_96_i.BLIF N_96 -0 1 -.names N_179.BLIF N_179_i -0 1 -.names N_92_i.BLIF N_92 -0 1 -.names N_178.BLIF N_178_i -0 1 -.names N_93_i.BLIF N_93 -0 1 -.names N_178_i.BLIF N_179_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names N_114_1.BLIF SM_AMIGA_5_.BLIF N_107 -11 1 -.names DS_000_ENABLE_0_sqmuxa.BLIF DS_000_ENABLE_0_sqmuxa_i -0 1 -.names BERR_c.BLIF CLK_000_NE_i.BLIF N_114_1 -11 1 -.names DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF \ -un1_SM_AMIGA_0_sqmuxa_2_i -11 1 -.names N_114_1.BLIF SM_AMIGA_1_.BLIF N_114 -11 1 -.names RW_i.BLIF sm_amiga_i_7__n.BLIF state_machine_rw_000_int_3_0_n -11 1 -.names SM_AMIGA_2_.BLIF inst_avec_expreg.BLIF N_113 -11 1 -.names N_99_i.BLIF sm_amiga_i_7__n.BLIF N_75_0 -11 1 -.names N_92_i.BLIF SM_AMIGA_3_.BLIF N_111 -11 1 -.names state_machine_un9_clk_000_ne_n.BLIF state_machine_un9_clk_000_ne_i_n -0 1 -.names N_91_i.BLIF SM_AMIGA_2_.BLIF N_112 -11 1 -.names state_machine_un15_clk_000_ne_n.BLIF state_machine_un15_clk_000_ne_i_n -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_5_.BLIF N_108 -11 1 -.names state_machine_un9_clk_000_ne_i_n.BLIF \ -state_machine_un15_clk_000_ne_i_n.BLIF state_machine_un11_clk_000_ne_i_n -11 1 -.names N_91_i.BLIF SM_AMIGA_4_.BLIF N_109 -11 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names N_91_i.BLIF SM_AMIGA_6_.BLIF N_106 -11 1 -.names state_machine_un6_bg_030_n.BLIF state_machine_un6_bg_030_i_n -0 1 -.names un12_ciin_5.BLIF un12_ciin_6.BLIF un12_ciin -11 1 -.names BG_030_c_i.BLIF state_machine_un6_bg_030_i_n.BLIF \ -state_machine_un8_bg_030_0_n -11 1 -.names un14_ciin_0.BLIF un14_ciin -0 1 -.names BGACK_000_c.BLIF avec_exp_i.BLIF state_machine_un4_bgack_000_0_n -11 1 -.names AS_030_i.BLIF un12_ciin_i.BLIF un8_ciin -11 1 -.names un2_clk_pre_66.BLIF un2_clk_pre_66_i -0 1 -.names un19_fpu_cs.BLIF un19_fpu_cs_i -0 1 -.names un6_clk_pre_66.BLIF un6_clk_pre_66_i -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names un2_clk_pre_66_i.BLIF un6_clk_pre_66_i.BLIF CLK_PRE_66_0 -11 1 -.names un12_ciin.BLIF un12_ciin_i -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_i -0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 -11 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names state_machine_un7_ds_030_i_1_n.BLIF size_c_0__n.BLIF \ -state_machine_un7_ds_030_i_n -11 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names A0_c.BLIF A0_c_i -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names N_172_i.BLIF N_171_i.BLIF cpu_est_ns_0_1_2__n -11 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names N_101_i.BLIF N_102_i.BLIF sm_amiga_ns_0_1_0__n -11 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names N_104_i.BLIF N_100_i.BLIF sm_amiga_ns_0_2_0__n -11 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names sm_amiga_ns_0_1_0__n.BLIF sm_amiga_ns_0_2_0__n.BLIF \ -sm_amiga_ns_0_3_0__n -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names nEXP_SPACE_i.BLIF AS_000_DMA_i.BLIF un3_dtack_i_1 -11 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF state_machine_un7_ds_030_i_1_n -11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names a_c_17__n.BLIF BGACK_000_c.BLIF un19_fpu_cs_5_1 -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF un19_fpu_cs_5_2 -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names AS_030_i.BLIF a_i_16__n.BLIF un19_fpu_cs_1 -11 1 -.names SM_AMIGA_0_sqmuxa_1.BLIF SM_AMIGA_0_sqmuxa_1_i -0 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF un19_fpu_cs_2 -11 1 -.names inst_avec_expreg.BLIF avec_exp_i -0 1 -.names un19_fpu_cs_1.BLIF un19_fpu_cs_2.BLIF un19_fpu_cs_3 -11 1 -.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n -0 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_7_.BLIF AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names N_98.BLIF N_98_i -0 1 -.names nEXP_SPACE_c.BLIF state_machine_un28_as_030_i_n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_2 -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names a_i_16__n.BLIF a_i_18__n.BLIF state_machine_un28_as_030_1_n -11 1 -.names inst_BGACK_030_INT_D.BLIF BGACK_030_INT_D_i -0 1 -.names a_i_19__n.BLIF un19_fpu_cs_5.BLIF state_machine_un28_as_030_2_n -11 1 -.names state_machine_un24_bgack_030_int_n.BLIF \ -state_machine_un24_bgack_030_int_i_n -0 1 -.names state_machine_un15_clk_000_ne_1_n.BLIF VMA_INT_i.BLIF \ -state_machine_un15_clk_000_ne_1_0_n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names nEXP_SPACE_c.BLIF AS_030_c.BLIF state_machine_un6_bg_030_1_n -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF \ -state_machine_clk_000_p_sync_3_1_0__n -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names CLK_000_D2_i.BLIF CLK_000_D3_i.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n -11 1 -.names CLK_030_c.BLIF CLK_030_i -0 1 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF \ -state_machine_clk_000_n_sync_2_1_0__n -11 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un8_bgack_030_int_i_n -0 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF SM_AMIGA_0_sqmuxa_1_1 -11 1 -.names state_machine_un31_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_i_n -0 1 -.names RW_c.BLIF SM_AMIGA_6_.BLIF DS_000_ENABLE_0_sqmuxa_1 -11 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names N_179_1.BLIF RW_c.BLIF N_179_1_0 -11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF \ -state_machine_un26_clk_000_pe_1_n -11 1 -.names CLK_030_H_1_sqmuxa_1.BLIF CLK_030_H_1_sqmuxa_1_i -0 1 -.names state_machine_un26_clk_000_pe_2_n.BLIF inst_AS_000_INT.BLIF \ -state_machine_un26_clk_000_pe_4_1_n -11 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF DS_000_DMA_1_sqmuxa_1_i -0 1 -.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF state_machine_un5_clk_000_ne_1_n -11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF state_machine_un5_clk_000_ne_2_n -11 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names N_167_i.BLIF N_168_i.BLIF cpu_est_ns_0_1_1__n -11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names N_169_i.BLIF N_175_i.BLIF cpu_est_ns_0_2_1__n -11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 -11 1 -.names state_machine_un15_clk_000_ne_1_n.BLIF \ -state_machine_un15_clk_000_ne_1_i_n -0 1 -.names sm_amiga_i_7__n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 -11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_96_i_1 -11 1 -.names RW_c.BLIF RW_i -0 1 -.names BERR_c.BLIF N_92.BLIF N_110_1 -11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names BERR_i.BLIF N_96.BLIF N_100_1 -11 1 -.names inst_CLK_000_D2.BLIF CLK_000_D2_i -0 1 -.names N_93.BLIF BERR_i.BLIF N_101_1 -11 1 -.names inst_CLK_000_D3.BLIF CLK_000_D3_i -0 1 -.names BERR_i.BLIF N_92.BLIF N_102_1 -11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names N_93_i.BLIF N_96_i.BLIF N_104_1 -11 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names SM_AMIGA_0_sqmuxa_1_i.BLIF sm_amiga_i_0__n.BLIF N_104_2 -11 1 -.names VPA_c.BLIF VPA_i -0 1 -.names N_104_1.BLIF N_104_2.BLIF N_104_3 -11 1 -.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ -1 1 -0 0 -.names BG_000DFFSHreg.BLIF BG_000 -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -0 0 -.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT -1 1 -0 0 -.names CLK_OUT_INTreg.BLIF CLK_EXP -1 1 -0 0 -.names un19_fpu_cs_i.BLIF FPU_CS -1 1 -0 0 -.names vcc_n_n.BLIF AVEC -1 1 -0 0 -.names inst_avec_expreg.BLIF AVEC_EXP -1 1 -0 0 -.names cpu_est_3_reg.BLIF E -1 1 -0 0 -.names inst_VMA_INTreg.BLIF VMA -1 1 -0 0 -.names RESETDFFRHreg.BLIF RESET -1 1 -0 0 -.names inst_AMIGA_BUS_ENABLE_INTreg.BLIF AMIGA_BUS_ENABLE -1 1 -0 0 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -0 0 -.names inst_CLK_OUT_PRE_33reg.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -0 0 -.names un5_ciin.BLIF CIIN -1 1 -0 0 -.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ -1 1 -0 0 -.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ -1 1 -0 0 -.names cpu_estse.BLIF cpu_est_0_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -0 0 -.names RST_i.BLIF cpu_est_0_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -0 0 -.names RST_i.BLIF cpu_est_1_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -0 0 -.names RST_i.BLIF cpu_est_2_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C -1 1 -0 0 -.names RST_i.BLIF cpu_est_3_reg.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C -1 1 -0 0 -.names RST_i.BLIF IPL_030DFFSH_0_reg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C -1 1 -0 0 -.names RST_i.BLIF IPL_030DFFSH_1_reg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C -1 1 -0 0 -.names RST_i.BLIF IPL_030DFFSH_2_reg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_7_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_6_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_5_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_4_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_3_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_2_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_1_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_0_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_3_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_4_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_5_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_6_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_7_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_8_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_9_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_10_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_11_.AR -1 1 -0 0 -.names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_N_0_.AR -1 1 -0 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D -1 1 -0 0 -.names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_N_1_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_P_0_.AR -1 1 -0 0 -.names CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_CNT_P_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_P_1_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C -1 1 -0 0 -.names RST_i.BLIF SIZE_DMA_0_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -0 0 -.names RST_i.BLIF SIZE_DMA_1_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_0_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_1_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_2_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_3_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_4_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_5_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_6_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_7_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_8_.AR -1 1 -0 0 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_P_SYNC_9_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_0_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_1_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_2_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_RW_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -0 0 -.names RST_i.BLIF inst_VMA_INTreg.AP -1 1 -0 0 -.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_25.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_25.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 -.names RST_i.BLIF inst_BGACK_030_INTreg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -0 0 -.names RST_i.BLIF inst_AS_030_000_SYNC.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_AS_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -0 0 -.names RST_i.BLIF inst_DS_000_ENABLE.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DSACK1_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_DSACK1_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C -1 1 -0 0 -.names RST_i.BLIF BG_000DFFSHreg.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_LDS_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_UDS_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -0 0 -.names RST_i.BLIF inst_A0_DMA.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -0 0 -.names RST_i.BLIF inst_RW_000_DMA.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -0 0 -.names RST_i.BLIF inst_DS_000_DMA.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -0 0 -.names RST_i.BLIF inst_AS_000_DMA.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C -1 1 -0 0 -.names RST_i.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_33reg.AR -1 1 -0 0 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE.AR -1 1 -0 0 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D3.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D3.AP -1 1 -0 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D2.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D2.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_50.AR -1 1 -0 0 -.names vcc_n_n.BLIF RESETDFFRHreg.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF RESETDFFRHreg.C -1 1 -0 0 -.names RST_i.BLIF RESETDFFRHreg.AR -1 1 -0 0 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C -1 1 -0 0 -.names RST_i.BLIF CLK_OUT_INTreg.AR -1 1 -0 0 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D1.AP -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -0 0 -.names RST_i.BLIF inst_BGACK_030_INT_D.AP -1 1 -0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50_D.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_50_D.AR -1 1 -0 0 -.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_PRE_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_OUT_PRE_D.AR -1 1 -0 0 -.names CLK_000_c.BLIF inst_CLK_000_D0.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D0.AP -1 1 -0 0 -.names VPA_c.BLIF inst_VPA_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -0 0 -.names RST_i.BLIF inst_VPA_D.AP -1 1 -0 0 -.names CLK_000_P_SYNC_9_.BLIF inst_avec_expreg.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_avec_expreg.C -1 1 -0 0 -.names RST_i.BLIF inst_avec_expreg.AR -1 1 -0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_NE.AR -1 1 -0 0 -.names SIZE_DMA_1_.BLIF SIZE_1_ -1 1 -0 0 -.names inst_AS_000_DMA.BLIF AS_030 -1 1 -0 0 -.names inst_AS_000_INT.BLIF AS_000 -1 1 -0 0 -.names inst_RW_000_INT.BLIF RW_000 -1 1 -0 0 -.names inst_DS_000_DMA.BLIF DS_030 -1 1 -0 0 -.names un1_UDS_000_INT.BLIF UDS_000 -1 1 -0 0 -.names un1_LDS_000_INT.BLIF LDS_000 -1 1 -0 0 -.names inst_A0_DMA.BLIF A0 -1 1 -0 0 -.names inst_DSACK1_INT.BLIF DSACK1 -1 1 -0 0 -.names DSACK1_c.BLIF DTACK -1 1 -0 0 -.names inst_RW_000_DMA.BLIF RW -1 1 -0 0 -.names SIZE_DMA_0_.BLIF SIZE_0_ -1 1 -0 0 -.names AS_030.PIN.BLIF AS_030_c -1 1 -0 0 -.names AS_000.PIN.BLIF AS_000_c -1 1 -0 0 -.names RW_000.PIN.BLIF RW_000_c -1 1 -0 0 -.names DS_030.PIN.BLIF DS_030_c -1 1 -0 0 -.names UDS_000.PIN.BLIF UDS_000_c -1 1 -0 0 -.names LDS_000.PIN.BLIF LDS_000_c -1 1 -0 0 -.names SIZE_0_.PIN.BLIF size_c_0__n -1 1 -0 0 -.names SIZE_1_.PIN.BLIF size_c_1__n -1 1 -0 0 -.names A_16_.BLIF a_c_16__n -1 1 -0 0 -.names A_17_.BLIF a_c_17__n -1 1 -0 0 -.names A_18_.BLIF a_c_18__n -1 1 -0 0 -.names A_19_.BLIF a_c_19__n -1 1 -0 0 -.names A_20_.BLIF a_c_20__n -1 1 -0 0 -.names A_21_.BLIF a_c_21__n -1 1 -0 0 -.names A_22_.BLIF a_c_22__n -1 1 -0 0 -.names A_23_.BLIF a_c_23__n -1 1 -0 0 -.names A_24_.BLIF a_c_24__n -1 1 -0 0 -.names A_25_.BLIF a_c_25__n -1 1 -0 0 -.names A_26_.BLIF a_c_26__n -1 1 -0 0 -.names A_27_.BLIF a_c_27__n -1 1 -0 0 -.names A_28_.BLIF a_c_28__n -1 1 -0 0 -.names A_29_.BLIF a_c_29__n -1 1 -0 0 -.names A_30_.BLIF a_c_30__n -1 1 -0 0 -.names A_31_.BLIF a_c_31__n -1 1 -0 0 -.names A0.PIN.BLIF A0_c -1 1 -0 0 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -0 0 -.names BERR.BLIF BERR_c -1 1 -0 0 -.names BG_030.BLIF BG_030_c -1 1 -0 0 -.names BGACK_000.BLIF BGACK_000_c -1 1 -0 0 -.names CLK_030.BLIF CLK_030_c -1 1 -0 0 -.names CLK_000.BLIF CLK_000_c -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -0 0 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -0 0 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -0 0 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -0 0 -.names DSACK1.PIN.BLIF DSACK1_c -1 1 -0 0 -.names DTACK.PIN.BLIF DTACK_c -1 1 -0 0 -.names VPA.BLIF VPA_c -1 1 -0 0 -.names RST.BLIF RST_c -1 1 -0 0 -.names RW.PIN.BLIF RW_c -1 1 -0 0 -.names FC_0_.BLIF fc_c_0__n -1 1 -0 0 -.names FC_1_.BLIF fc_c_1__n -1 1 -0 0 -.names un3_dtack_i.BLIF AS_030.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF AS_000.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF RW_000.OE -1 1 -0 0 -.names un3_dtack_i.BLIF DS_030.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF UDS_000.OE -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF LDS_000.OE -1 1 -0 0 -.names un3_dtack_i.BLIF SIZE_0_.OE -1 1 -0 0 -.names un3_dtack_i.BLIF SIZE_1_.OE -1 1 -0 0 -.names un3_dtack_i.BLIF A0.OE -1 1 -0 0 -.names nEXP_SPACE_c.BLIF DSACK1.OE -1 1 -0 0 -.names un3_dtack_i.BLIF DTACK.OE -1 1 -0 0 -.names BGACK_030_INT_i.BLIF RW.OE -1 1 -0 0 -.names un16_ciin_i.BLIF CIIN.OE -1 1 -0 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF G_109 -01 1 -10 1 -11 0 -00 0 -.names CLK_CNT_P_1_.BLIF CLK_CNT_P_0_.BLIF G_115 -01 1 -10 1 -11 0 -00 0 -.names inst_CLK_OUT_PRE_25.BLIF state_machine_un3_clk_out_pre_50_n.BLIF \ -CLK_OUT_PRE_25_0 -01 1 -10 1 -11 0 -00 0 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_estse -01 1 -10 1 -11 0 -00 0 -.end diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi deleted file mode 100644 index 47f68d4..0000000 --- a/Logic/BUS68030.edi +++ /dev/null @@ -1,3520 +0,0 @@ -(edif BUS68030 - (edifVersion 2 0 0) - (edifLevel 0) - (keywordMap (keywordLevel 0)) - (status - (written - (timeStamp 2014 6 22 21 24 16) - (author "Synopsys, Inc.") - (program "Synplify Pro" (version "G-2012.09LC-SP1 , mapper maplat, Build 621R")) - ) - ) - (external mach - (edifLevel 0) - (technology (numberDefinition )) - (cell AND2 (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port I1 (direction INPUT)) - ) - ) - ) - (cell BI_DIR (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port IO (direction INOUT)) - (port OE (direction INPUT)) - ) - ) - ) - (cell BUFTH (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port OE (direction INPUT)) - ) - ) - ) - (cell DFF (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port Q (direction OUTPUT)) - (port D (direction INPUT)) - (port CLK (direction INPUT)) - ) - ) - ) - (cell DFFRH (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port Q (direction OUTPUT)) - (port D (direction INPUT)) - (port CLK (direction INPUT)) - (port R (direction INPUT)) - ) - ) - ) - (cell DFFSH (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port Q (direction OUTPUT)) - (port D (direction INPUT)) - (port CLK (direction INPUT)) - (port S (direction INPUT)) - ) - ) - ) - (cell IBUF (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - ) - ) - ) - (cell INV (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - ) - ) - ) - (cell OBUF (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - ) - ) - ) - (cell OR2 (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port I1 (direction INPUT)) - ) - ) - ) - (cell XOR2 (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port I1 (direction INPUT)) - ) - ) - ) - ) - (library work - (edifLevel 0) - (technology (numberDefinition )) - (cell BUS68030 (cellType GENERIC) - (view behavioral (viewType NETLIST) - (interface - (port (array (rename size "SIZE(1:0)") 2) (direction INOUT)) - (port (array (rename a "A(31:16)") 16) (direction INPUT)) - (port (array (rename ipl_030 "IPL_030(2:0)") 3) (direction OUTPUT)) - (port (array (rename ipl "IPL(2:0)") 3) (direction INPUT)) - (port (array (rename fc "FC(1:0)") 2) (direction INPUT)) - (port AS_030 (direction INOUT)) - (port AS_000 (direction INOUT)) - (port RW_000 (direction INOUT)) - (port DS_030 (direction INOUT)) - (port UDS_000 (direction INOUT)) - (port LDS_000 (direction INOUT)) - (port A0 (direction INOUT)) - (port nEXP_SPACE (direction INPUT)) - (port BERR (direction INPUT)) - (port BG_030 (direction INPUT)) - (port BG_000 (direction OUTPUT)) - (port BGACK_030 (direction OUTPUT)) - (port BGACK_000 (direction INPUT)) - (port CLK_030 (direction INPUT)) - (port CLK_000 (direction INPUT)) - (port CLK_OSZI (direction INPUT)) - (port CLK_DIV_OUT (direction OUTPUT)) - (port CLK_EXP (direction OUTPUT)) - (port FPU_CS (direction OUTPUT)) - (port DSACK1 (direction INOUT)) - (port DTACK (direction INOUT)) - (port AVEC (direction OUTPUT)) - (port AVEC_EXP (direction OUTPUT)) - (port E (direction OUTPUT)) - (port VPA (direction INPUT)) - (port VMA (direction OUTPUT)) - (port RST (direction INPUT)) - (port RESET (direction OUTPUT)) - (port RW (direction INOUT)) - (port AMIGA_BUS_ENABLE (direction OUTPUT)) - (port AMIGA_BUS_DATA_DIR (direction OUTPUT)) - (port AMIGA_BUS_ENABLE_LOW (direction OUTPUT)) - (port CIIN (direction OUTPUT)) - ) - (contents - (instance (rename cpu_est_0 "cpu_est[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename cpu_est_1 "cpu_est[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename cpu_est_2 "cpu_est[2]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename cpu_est_3 "cpu_est[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename IPL_030DFFSH_0 "IPL_030DFFSH[0]") (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance (rename IPL_030DFFSH_1 "IPL_030DFFSH[1]") (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance (rename IPL_030DFFSH_2 "IPL_030DFFSH[2]") (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_7 "SM_AMIGA[7]") (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_6 "SM_AMIGA[6]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_5 "SM_AMIGA[5]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_4 "SM_AMIGA[4]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_3 "SM_AMIGA[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_2 "SM_AMIGA[2]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_1 "SM_AMIGA[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_0 "SM_AMIGA[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_3 "CLK_000_N_SYNC[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_4 "CLK_000_N_SYNC[4]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_5 "CLK_000_N_SYNC[5]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_6 "CLK_000_N_SYNC[6]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_7 "CLK_000_N_SYNC[7]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_CNT_N_0 "CLK_CNT_N[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_CNT_N_1 "CLK_CNT_N[1]") (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance (rename CLK_CNT_P_0 "CLK_CNT_P[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_CNT_P_1 "CLK_CNT_P[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SIZE_DMA_0 "SIZE_DMA[0]") (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance (rename SIZE_DMA_1 "SIZE_DMA[1]") (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_1 "CLK_000_P_SYNC[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_2 "CLK_000_P_SYNC[2]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_3 "CLK_000_P_SYNC[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_4 "CLK_000_P_SYNC[4]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_5 "CLK_000_P_SYNC[5]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_6 "CLK_000_P_SYNC[6]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_7 "CLK_000_P_SYNC[7]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_8 "CLK_000_P_SYNC[8]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_0 "CLK_000_N_SYNC[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_1 "CLK_000_N_SYNC[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_2 "CLK_000_N_SYNC[2]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance RW_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance VMA_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance CLK_OUT_PRE_25 (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance BGACK_030_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance AS_030_000_SYNC (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance AS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance DS_000_ENABLE (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance DSACK1_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance BG_000DFFSH (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance LDS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance UDS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance A0_DMA (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance CLK_030_H (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance RW_000_DMA (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance DS_000_DMA (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance AS_000_DMA (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance AMIGA_BUS_ENABLE_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance CLK_OUT_PRE_33 (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance CLK_OUT_PRE (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance CLK_000_D3 (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance CLK_000_D2 (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance CLK_OUT_PRE_50 (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance RESETDFFRH (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance CLK_OUT_INT (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance CLK_000_D1 (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance BGACK_030_INT_D (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance CLK_OUT_PRE_50_D (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance CLK_OUT_PRE_D (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance CLK_000_D0 (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance VPA_D (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance avec_exp (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance CLK_000_NE (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance AS_030 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance AS_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance RW_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance DS_030 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance UDS_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance LDS_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance (rename SIZE_0 "SIZE[0]") (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance (rename SIZE_1 "SIZE[1]") (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance (rename A_16 "A[16]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_17 "A[17]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_18 "A[18]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_19 "A[19]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_20 "A[20]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_21 "A[21]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_22 "A[22]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_23 "A[23]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_24 "A[24]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_25 "A[25]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_26 "A[26]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_27 "A[27]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_28 "A[28]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_29 "A[29]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_30 "A[30]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_31 "A[31]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance A0 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance nEXP_SPACE (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance BERR (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance BG_030 (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance BG_000 (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance BGACK_030 (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance BGACK_000 (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance CLK_030 (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance CLK_000 (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance CLK_OSZI (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance CLK_DIV_OUT (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance CLK_EXP (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance FPU_CS (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance (rename IPL_030_0 "IPL_030[0]") (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance (rename IPL_030_1 "IPL_030[1]") (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance (rename IPL_030_2 "IPL_030[2]") (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance (rename IPL_0 "IPL[0]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename IPL_1 "IPL[1]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename IPL_2 "IPL[2]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance DSACK1 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance DTACK (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance AVEC (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance (rename AVEC_EXPZ0 "AVEC_EXP") (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance E (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance VPA (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance VMA (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance RST (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance RESET (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance RW (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance (rename FC_0 "FC[0]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename FC_1 "FC[1]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) - (instance un5_ciin_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un12_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un12_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un12_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un12_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un12_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un12_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un12_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_2_0 "SM_AMIGA_ns_o4_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1_4 "SM_AMIGA_ns_a4_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_4 "SM_AMIGA_ns_a4[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1_0_0 "SM_AMIGA_ns_a4_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0 "SM_AMIGA_ns_a4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_1_0 "SM_AMIGA_ns_a4_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_0 "SM_AMIGA_ns_a4_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1_1_0 "SM_AMIGA_ns_a4_1_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1_0 "SM_AMIGA_ns_a4_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3_1_0 "SM_AMIGA_ns_a4_3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3_2_0 "SM_AMIGA_ns_a4_3_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3_3_0 "SM_AMIGA_ns_a4_3_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3_0 "SM_AMIGA_ns_a4_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_bgack_030_int_d_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_bgack_030_int_d (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un26_clk_000_pe_1 "state_machine.un26_clk_000_pe_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un26_clk_000_pe "state_machine.un26_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un26_clk_000_pe_4_1 "state_machine.un26_clk_000_pe_4_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un26_clk_000_pe_4 "state_machine.un26_clk_000_pe_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un5_clk_000_ne_1 "state_machine.un5_clk_000_ne_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un5_clk_000_ne_2 "state_machine.un5_clk_000_ne_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un5_clk_000_ne "state_machine.un5_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_1_1 "cpu_est_ns_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_2_1 "cpu_est_ns_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_1 "cpu_est_ns_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_2_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_2_1_0 "SM_AMIGA_ns_o4_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un28_as_030 "state_machine.un28_as_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un15_clk_000_ne_1_0 "state_machine.un15_clk_000_ne_1_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un15_clk_000_ne "state_machine.un15_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un6_bg_030_1 "state_machine.un6_bg_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un6_bg_030 "state_machine.un6_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_P_SYNC_3_1_0 "state_machine.CLK_000_P_SYNC_3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_P_SYNC_3_2_0 "state_machine.CLK_000_P_SYNC_3_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_P_SYNC_3_0 "state_machine.CLK_000_P_SYNC_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_N_SYNC_2_1_0 "state_machine.CLK_000_N_SYNC_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_N_SYNC_2_0 "state_machine.CLK_000_N_SYNC_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SM_AMIGA_0_sqmuxa_1_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SM_AMIGA_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3_0_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un3_dtack (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un7_ds_030_1 "state_machine.un7_ds_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un7_ds_030 "state_machine.un7_ds_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_5_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_5_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_0_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un28_as_030_1 "state_machine.un28_as_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un28_as_030_2 "state_machine.un28_as_030_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un6_clk_pre_66_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_PRE_66_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A0_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_1_2 "cpu_est_ns_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_2 "cpu_est_ns_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_1_0 "SM_AMIGA_ns_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_2_0 "SM_AMIGA_ns_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_3_0 "SM_AMIGA_ns_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_0 "SM_AMIGA_ns[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un3_dtack_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_179_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_178_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_2_i_0 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_RW_000_INT_3_i "state_machine.RW_000_INT_3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un9_clk_000_ne_i "state_machine.un9_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un15_clk_000_ne_i "state_machine.un15_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un11_clk_000_ne_i_0 "state_machine.un11_clk_000_ne_i_0") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un6_bg_030_i "state_machine.un6_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un8_bg_030_i "state_machine.un8_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un4_bgack_000_i "state_machine.un4_bgack_000_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un2_clk_pre_66_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un10_bgack_030_int_i "state_machine.un10_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un26_clk_000_pe_i "state_machine.un26_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un5_clk_000_ne_i "state_machine.un5_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un28_clk_000_pe_i "state_machine.un28_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_i_1 "cpu_est_ns_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_174_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_173_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_168_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_167_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_175_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_169_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un26_clk_000_pe_2_i "state_machine.un26_clk_000_pe_2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_171_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_172_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_i_2 "cpu_est_ns_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_103_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_100_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_115_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance SM_AMIGA_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_110_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_4 "SM_AMIGA_ns_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_0 "SM_AMIGA_ns_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_2_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_CLK_030_H_2_f1_i "state_machine.CLK_030_H_2_f1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_SIZE_DMA_4_i_1 "state_machine.SIZE_DMA_4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_SIZE_DMA_4_i_0 "state_machine.SIZE_DMA_4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_0_i_0 "SM_AMIGA_ns_o4_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_1_i_0 "SM_AMIGA_ns_o4_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_o4_i_7 "SM_AMIGA_ns_i_o4_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un3_bgack_030_int_d_i "state_machine.un3_bgack_030_int_d_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_bgack_030_int_d_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_3_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_2_i_0 "SM_AMIGA_ns_o4_2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_104_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_102_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_101_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_105_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_106_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_1 "SM_AMIGA_ns_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_107_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_2 "SM_AMIGA_ns_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_109_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_108_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_3 "SM_AMIGA_ns_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_111_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_112_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_5 "SM_AMIGA_ns_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_114_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_113_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_6 "SM_AMIGA_ns_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_i_0 "SM_AMIGA_ns_o4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un8_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un14_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un1_as_030_i_0 "state_machine.un1_as_030_i_0") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_27 "A_i[27]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_28 "A_i[28]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_25 "A_i[25]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_26 "A_i[26]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un5_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un16_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance nEXP_SPACE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un14_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_181 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un12_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un8_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_5 "SM_AMIGA_ns[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_3 "SM_AMIGA_ns[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_2 "SM_AMIGA_ns[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_1 "SM_AMIGA_ns[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_6 "SM_AMIGA_ns_a4[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_5 "SM_AMIGA_ns_a4_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_5 "SM_AMIGA_ns_a4[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_3 "SM_AMIGA_ns_a4_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3 "SM_AMIGA_ns_a4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_1 "SM_AMIGA_ns_a4_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BERR_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un1_as_030 "state_machine.un1_as_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_29 "A_i[29]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_30 "A_i[30]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance avec_exp_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance SM_AMIGA_0_sqmuxa_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_2 "SM_AMIGA_ns_a4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1_2 "SM_AMIGA_ns_a4_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_6 "SM_AMIGA_ns_a4_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_o4_7 "SM_AMIGA_ns_i_o4[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_1_0 "SM_AMIGA_ns_o4_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_NE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_0_0 "SM_AMIGA_ns_o4_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_0 "SM_AMIGA_ns_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_6 "SM_AMIGA_ns[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un5_bgack_030_int_d "state_machine.un5_bgack_030_int_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_98_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_3_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SM_AMIGA_0_sqmuxa_0_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_2_i_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_2_0 "SM_AMIGA_ns_a4_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1 "SM_AMIGA_ns_a4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_a4_7 "SM_AMIGA_ns_i_a4[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_4 "SM_AMIGA_ns[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_7 "SM_AMIGA_ns_i[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_030_H_2_f0 "state_machine.CLK_030_H_2_f0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_183 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_182 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un24_bgack_030_int_i "state_machine.un24_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un8_bgack_030_int "state_machine.un8_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_INT_0_r "AMIGA_BUS_ENABLE_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_INT_0_m "AMIGA_BUS_ENABLE_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_INT_0_n "AMIGA_BUS_ENABLE_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_INT_0_p "AMIGA_BUS_ENABLE_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename state_machine_un3_bgack_030_int_d "state_machine.un3_bgack_030_int_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_1_sqmuxa_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename state_machine_un24_bgack_030_int "state_machine.un24_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un10_bgack_030_int "state_machine.un10_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_184 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_185 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un31_bgack_030_int "state_machine.un31_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_A0_DMA_2 "state_machine.A0_DMA_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un31_bgack_030_int_i "state_machine.un31_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_SIZE_DMA_4_0 "state_machine.SIZE_DMA_4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un8_bgack_030_int_i "state_machine.un8_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_SIZE_DMA_4_1 "state_machine.SIZE_DMA_4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_030_H_2_f1 "state_machine.CLK_030_H_2_f1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_a3_2 "cpu_est_ns_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_a3_1_1 "cpu_est_ns_0_a3_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_a3_0_1 "cpu_est_ns_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_a3_1 "cpu_est_ns_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un28_clk_000_pe "state_machine.un28_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_2_r "cpu_estse_2.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_estse_2_m "cpu_estse_2.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_2_n "cpu_estse_2.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_2_p "cpu_estse_2.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename cpu_estse_1_r "cpu_estse_1.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_estse_1_m "cpu_estse_1.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_1_n "cpu_estse_1.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_1_p "cpu_estse_1.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename cpu_estse_0_r "cpu_estse_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_estse_0_m "cpu_estse_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_0_n "cpu_estse_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_0_p "cpu_estse_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename CLK_030_H_0_r "CLK_030_H_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename CLK_030_H_0_m "CLK_030_H_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CLK_030_H_0_n "CLK_030_H_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CLK_030_H_0_p "CLK_030_H_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance DS_000_DMA_1_sqmuxa_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_186 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance cpu_estse (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un15_clk_000_ne_1 "state_machine.un15_clk_000_ne_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_3 "cpu_est_ns_i[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_a2_1 "cpu_est_ns_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_a3_0_3 "cpu_est_ns_i_a3_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un15_clk_000_ne_1_i "state_machine.un15_clk_000_ne_1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_a3_3 "cpu_est_ns_i_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_a3_1_2 "cpu_est_ns_0_a3_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_a3_0_2 "cpu_est_ns_0_a3_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un11_clk_000_ne "state_machine.un11_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un2_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_D1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_D2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_D3_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_RW_000_INT_3 "state_machine.RW_000_INT_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__r "IPL_030_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__p "IPL_030_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance un1_AS_030_000_SYNC_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un28_as_030_i "state_machine.un28_as_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un5_clk_000_n_sync "state_machine.un5_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un4_bgack_000 "state_machine.un4_bgack_000") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_187 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un9_clk_000_ne "state_machine.un9_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un8_bg_030 "state_machine.un8_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_99_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_25_0 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance un1_UDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_LDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un6_clk_pre_66 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CLK_CNT_N_i_0 "CLK_CNT_N_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un2_clk_pre_66 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_PRE_66 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_109 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_115 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_33_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un4_clk_cnt_n_1_i_1 "un4_clk_cnt_n_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OSZI_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un2_clk_cnt_p_i_1 "un2_clk_cnt_p_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_50_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un3_clk_out_pre_50 "state_machine.un3_clk_out_pre_50") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un16_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_r "AS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_p "DSACK1_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (net BGACK_030_INT (joined - (portRef Q (instanceRef BGACK_030_INT)) - (portRef I0 (instanceRef BGACK_030_INT_0_n)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) - (portRef I0 (instanceRef BGACK_030_INT_i)) - (portRef I0 (instanceRef state_machine_un3_bgack_030_int_d)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_1)) - (portRef I0 (instanceRef state_machine_un5_bgack_030_int_d)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_sqmuxa_1)) - (portRef OE (instanceRef AS_000)) - (portRef I0 (instanceRef BGACK_030)) - (portRef D (instanceRef BGACK_030_INT_D)) - (portRef OE (instanceRef LDS_000)) - (portRef OE (instanceRef RW_000)) - (portRef OE (instanceRef UDS_000)) - )) - (net VCC (joined - (portRef I0 (instanceRef AVEC)) - (portRef D (instanceRef RESETDFFRH)) - )) - (net (rename avec_expZ0 "avec_exp") (joined - (portRef Q (instanceRef avec_exp)) - (portRef I1 (instanceRef IPL_030_0_0__m)) - (portRef I0 (instanceRef IPL_030_0_0__r)) - (portRef I1 (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__r)) - (portRef I1 (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__r)) - (portRef I0 (instanceRef cpu_estse)) - (portRef I1 (instanceRef cpu_estse_0_m)) - (portRef I0 (instanceRef cpu_estse_0_r)) - (portRef I1 (instanceRef cpu_estse_1_m)) - (portRef I0 (instanceRef cpu_estse_1_r)) - (portRef I1 (instanceRef cpu_estse_2_m)) - (portRef I0 (instanceRef cpu_estse_2_r)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_i_a4)) - (portRef I1 (instanceRef SM_AMIGA_0_sqmuxa_0_a4)) - (portRef I0 (instanceRef avec_exp_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_6)) - (portRef I1 (instanceRef DS_000_ENABLE_0_sqmuxa)) - (portRef I1 (instanceRef state_machine_un26_clk_000_pe_4)) - (portRef I0 (instanceRef AVEC_EXPZ0)) - )) - (net VMA_INT (joined - (portRef Q (instanceRef VMA_INT)) - (portRef I0 (instanceRef VMA_INT_i)) - (portRef I0 (instanceRef VMA_INT_0_n)) - (portRef I0 (instanceRef VMA)) - )) - (net AMIGA_BUS_ENABLE_INT (joined - (portRef Q (instanceRef AMIGA_BUS_ENABLE_INT)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE)) - )) - (net CLK_OUT_PRE_33 (joined - (portRef Q (instanceRef CLK_OUT_PRE_33)) - (portRef I0 (instanceRef CLK_OUT_PRE_33_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_LOW)) - )) - (net AS_030_000_SYNC (joined - (portRef Q (instanceRef AS_030_000_SYNC)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_i)) - )) - (net BGACK_030_INT_D (joined - (portRef Q (instanceRef BGACK_030_INT_D)) - (portRef I1 (instanceRef state_machine_un5_bgack_030_int_d)) - (portRef I0 (instanceRef BGACK_030_INT_D_i)) - )) - (net AS_000_DMA (joined - (portRef Q (instanceRef AS_000_DMA)) - (portRef I0 (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef AS_030)) - )) - (net VPA_D (joined - (portRef Q (instanceRef VPA_D)) - (portRef I0 (instanceRef VPA_D_i)) - )) - (net CLK_OUT_PRE_50_D (joined - (portRef Q (instanceRef CLK_OUT_PRE_50_D)) - (portRef I0 (instanceRef CLK_OUT_PRE_50_D_i)) - )) - (net (rename CLK_CNT_N_0 "CLK_CNT_N[0]") (joined - (portRef Q (instanceRef CLK_CNT_N_0)) - (portRef I0 (instanceRef G_109)) - (portRef I0 (instanceRef CLK_CNT_N_i_0)) - (portRef D (instanceRef CLK_CNT_N_1)) - )) - (net CLK_OUT_PRE_50 (joined - (portRef Q (instanceRef CLK_OUT_PRE_50)) - (portRef I0 (instanceRef state_machine_un3_clk_out_pre_50)) - (portRef I0 (instanceRef CLK_OUT_PRE_50_i)) - (portRef D (instanceRef CLK_OUT_PRE_50_D)) - )) - (net CLK_OUT_PRE_25 (joined - (portRef Q (instanceRef CLK_OUT_PRE_25)) - (portRef I0 (instanceRef CLK_OUT_PRE_25_0)) - (portRef D (instanceRef CLK_OUT_PRE)) - )) - (net CLK_000_D1 (joined - (portRef Q (instanceRef CLK_000_D1)) - (portRef I1 (instanceRef state_machine_un5_clk_000_n_sync)) - (portRef I0 (instanceRef CLK_000_D1_i)) - (portRef D (instanceRef CLK_000_D2)) - )) - (net CLK_000_D2 (joined - (portRef Q (instanceRef CLK_000_D2)) - (portRef I0 (instanceRef CLK_000_D2_i)) - (portRef I0 (instanceRef state_machine_CLK_000_N_SYNC_2_1_0)) - (portRef D (instanceRef CLK_000_D3)) - )) - (net CLK_000_D3 (joined - (portRef Q (instanceRef CLK_000_D3)) - (portRef I0 (instanceRef CLK_000_D3_i)) - (portRef I1 (instanceRef state_machine_CLK_000_N_SYNC_2_1_0)) - )) - (net CLK_000_D0 (joined - (portRef Q (instanceRef CLK_000_D0)) - (portRef I0 (instanceRef CLK_000_D0_i)) - (portRef I0 (instanceRef state_machine_CLK_000_P_SYNC_3_1_0)) - (portRef D (instanceRef CLK_000_D1)) - )) - (net CLK_000_NE (joined - (portRef Q (instanceRef CLK_000_NE)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_0_0)) - (portRef I0 (instanceRef CLK_000_NE_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_o4_7)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_3)) - (portRef I0 (instanceRef state_machine_un5_clk_000_ne_1)) - )) - (net CLK_OUT_PRE_D (joined - (portRef Q (instanceRef CLK_OUT_PRE_D)) - (portRef D (instanceRef CLK_OUT_INT)) - )) - (net CLK_OUT_PRE (joined - (portRef Q (instanceRef CLK_OUT_PRE)) - (portRef D (instanceRef CLK_OUT_PRE_D)) - )) - (net (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_9)) - (portRef D (instanceRef avec_exp)) - )) - (net (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_11)) - (portRef D (instanceRef CLK_000_NE)) - )) - (net AS_000_INT (joined - (portRef Q (instanceRef AS_000_INT)) - (portRef I0 (instanceRef AS_000_INT_0_m)) - (portRef I1 (instanceRef state_machine_un26_clk_000_pe_4_1)) - (portRef I0 (instanceRef AS_000)) - )) - (net (rename SM_AMIGA_7 "SM_AMIGA[7]") (joined - (portRef Q (instanceRef SM_AMIGA_7)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1)) - (portRef I0 (instanceRef SM_AMIGA_i_7)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_sqmuxa_1)) - )) - (net (rename SM_AMIGA_6 "SM_AMIGA[6]") (joined - (portRef Q (instanceRef SM_AMIGA_6)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_i_a4)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_1)) - (portRef I0 (instanceRef SM_AMIGA_i_6)) - (portRef I1 (instanceRef DS_000_ENABLE_0_sqmuxa_1)) - )) - (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined - (portRef Q (instanceRef SM_AMIGA_1)) - (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa)) - (portRef I1 (instanceRef SM_AMIGA_ns_i_o4_7)) - (portRef I0 (instanceRef SM_AMIGA_i_1)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_6)) - )) - (net (rename SM_AMIGA_0 "SM_AMIGA[0]") (joined - (portRef Q (instanceRef SM_AMIGA_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_2_0)) - (portRef I0 (instanceRef SM_AMIGA_i_0)) - )) - (net (rename SM_AMIGA_4 "SM_AMIGA[4]") (joined - (portRef Q (instanceRef SM_AMIGA_4)) - (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_0_a4)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_3)) - (portRef I0 (instanceRef SM_AMIGA_i_4)) - )) - (net (rename CLK_000_N_SYNC_6 "CLK_000_N_SYNC[6]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_6)) - (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa)) - (portRef D (instanceRef CLK_000_N_SYNC_7)) - )) - (net CLK_030_H (joined - (portRef Q (instanceRef CLK_030_H)) - (portRef I0 (instanceRef CLK_030_H_0_n)) - (portRef I0 (instanceRef state_machine_un24_bgack_030_int)) - (portRef I0 (instanceRef CLK_030_H_i)) - )) - (net (rename CLK_CNT_P_1 "CLK_CNT_P[1]") (joined - (portRef Q (instanceRef CLK_CNT_P_1)) - (portRef I1 (instanceRef G_115)) - (portRef I1 (instanceRef un6_clk_pre_66)) - )) - (net (rename CLK_CNT_N_1 "CLK_CNT_N[1]") (joined - (portRef Q (instanceRef CLK_CNT_N_1)) - (portRef I1 (instanceRef G_109)) - (portRef I0 (instanceRef un6_clk_pre_66)) - )) - (net RW_000_INT (joined - (portRef Q (instanceRef RW_000_INT)) - (portRef I0 (instanceRef RW_000_INT_0_n)) - (portRef I0 (instanceRef RW_000)) - )) - (net DSACK1_INT (joined - (portRef Q (instanceRef DSACK1_INT)) - (portRef I0 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1)) - )) - (net (rename state_machine_un3_clk_out_pre_50 "state_machine.un3_clk_out_pre_50") (joined - (portRef O (instanceRef state_machine_un3_clk_out_pre_50)) - (portRef I1 (instanceRef CLK_OUT_PRE_25_0)) - )) - (net (rename state_machine_un4_bgack_000 "state_machine.un4_bgack_000") (joined - (portRef O (instanceRef state_machine_un4_bgack_000_i)) - (portRef I1 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_r)) - )) - (net (rename CLK_CNT_P_0 "CLK_CNT_P[0]") (joined - (portRef Q (instanceRef CLK_CNT_P_0)) - (portRef I0 (instanceRef G_115)) - (portRef I1 (instanceRef un2_clk_pre_66)) - (portRef D (instanceRef CLK_CNT_P_1)) - )) - (net RW_000_DMA (joined - (portRef Q (instanceRef RW_000_DMA)) - (portRef I0 (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW)) - )) - (net un1_LDS_000_INT (joined - (portRef O (instanceRef un1_LDS_000_INT_i)) - (portRef I0 (instanceRef LDS_000)) - )) - (net LDS_000_INT (joined - (portRef Q (instanceRef LDS_000_INT)) - (portRef I0 (instanceRef LDS_000_INT_0_m)) - (portRef I0 (instanceRef LDS_000_INT_i)) - )) - (net DS_000_ENABLE (joined - (portRef Q (instanceRef DS_000_ENABLE)) - (portRef I0 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef un1_LDS_000_INT)) - (portRef I0 (instanceRef un1_UDS_000_INT)) - )) - (net un1_UDS_000_INT (joined - (portRef O (instanceRef un1_UDS_000_INT_i)) - (portRef I0 (instanceRef UDS_000)) - )) - (net UDS_000_INT (joined - (portRef Q (instanceRef UDS_000_INT)) - (portRef I0 (instanceRef UDS_000_INT_0_m)) - (portRef I0 (instanceRef UDS_000_INT_i)) - )) - (net (rename state_machine_CLK_000_P_SYNC_3_0 "state_machine.CLK_000_P_SYNC_3[0]") (joined - (portRef O (instanceRef state_machine_CLK_000_P_SYNC_3_0)) - (portRef D (instanceRef CLK_000_P_SYNC_0)) - )) - (net (rename state_machine_CLK_000_N_SYNC_2_0 "state_machine.CLK_000_N_SYNC_2[0]") (joined - (portRef O (instanceRef state_machine_CLK_000_N_SYNC_2_0)) - (portRef D (instanceRef CLK_000_N_SYNC_0)) - )) - (net CLK_PRE_66 (joined - (portRef O (instanceRef CLK_PRE_66_i)) - (portRef CLK (instanceRef CLK_OUT_PRE_33)) - )) - (net DS_000_DMA (joined - (portRef Q (instanceRef DS_000_DMA)) - (portRef I0 (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_030)) - )) - (net (rename SIZE_DMA_0 "SIZE_DMA[0]") (joined - (portRef Q (instanceRef SIZE_DMA_0)) - (portRef I0 (instanceRef SIZE_0)) - )) - (net (rename SIZE_DMA_1 "SIZE_DMA[1]") (joined - (portRef Q (instanceRef SIZE_DMA_1)) - (portRef I0 (instanceRef SIZE_1)) - )) - (net A0_DMA (joined - (portRef Q (instanceRef A0_DMA)) - (portRef I0 (instanceRef A0)) - )) - (net (rename un4_clk_cnt_n_1_1 "un4_clk_cnt_n_1[1]") (joined - (portRef O (instanceRef G_109)) - (portRef I0 (instanceRef un4_clk_cnt_n_1_i_1)) - )) - (net (rename un2_clk_cnt_p_1 "un2_clk_cnt_p[1]") (joined - (portRef O (instanceRef G_115)) - (portRef I0 (instanceRef un2_clk_cnt_p_i_1)) - )) - (net (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_0)) - (portRef D (instanceRef CLK_000_P_SYNC_1)) - )) - (net (rename CLK_000_P_SYNC_1 "CLK_000_P_SYNC[1]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_1)) - (portRef D (instanceRef CLK_000_P_SYNC_2)) - )) - (net (rename CLK_000_P_SYNC_2 "CLK_000_P_SYNC[2]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_2)) - (portRef D (instanceRef CLK_000_P_SYNC_3)) - )) - (net (rename CLK_000_P_SYNC_3 "CLK_000_P_SYNC[3]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_3)) - (portRef D (instanceRef CLK_000_P_SYNC_4)) - )) - (net (rename CLK_000_P_SYNC_4 "CLK_000_P_SYNC[4]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_4)) - (portRef D (instanceRef CLK_000_P_SYNC_5)) - )) - (net (rename CLK_000_P_SYNC_5 "CLK_000_P_SYNC[5]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_5)) - (portRef D (instanceRef CLK_000_P_SYNC_6)) - )) - (net (rename CLK_000_P_SYNC_6 "CLK_000_P_SYNC[6]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_6)) - (portRef D (instanceRef CLK_000_P_SYNC_7)) - )) - (net (rename CLK_000_P_SYNC_7 "CLK_000_P_SYNC[7]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_7)) - (portRef D (instanceRef CLK_000_P_SYNC_8)) - )) - (net (rename CLK_000_P_SYNC_8 "CLK_000_P_SYNC[8]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_8)) - (portRef D (instanceRef CLK_000_P_SYNC_9)) - )) - (net (rename CLK_000_N_SYNC_0 "CLK_000_N_SYNC[0]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_0)) - (portRef D (instanceRef CLK_000_N_SYNC_1)) - )) - (net (rename CLK_000_N_SYNC_1 "CLK_000_N_SYNC[1]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_1)) - (portRef D (instanceRef CLK_000_N_SYNC_2)) - )) - (net (rename CLK_000_N_SYNC_2 "CLK_000_N_SYNC[2]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_2)) - (portRef D (instanceRef CLK_000_N_SYNC_3)) - )) - (net (rename CLK_000_N_SYNC_3 "CLK_000_N_SYNC[3]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_3)) - (portRef D (instanceRef CLK_000_N_SYNC_4)) - )) - (net (rename CLK_000_N_SYNC_4 "CLK_000_N_SYNC[4]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_4)) - (portRef D (instanceRef CLK_000_N_SYNC_5)) - )) - (net (rename CLK_000_N_SYNC_5 "CLK_000_N_SYNC[5]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_5)) - (portRef D (instanceRef CLK_000_N_SYNC_6)) - )) - (net (rename CLK_000_N_SYNC_7 "CLK_000_N_SYNC[7]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_7)) - (portRef D (instanceRef CLK_000_N_SYNC_8)) - )) - (net (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_8)) - (portRef D (instanceRef CLK_000_N_SYNC_9)) - )) - (net (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_9)) - (portRef D (instanceRef CLK_000_N_SYNC_10)) - )) - (net (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_10)) - (portRef D (instanceRef CLK_000_N_SYNC_11)) - )) - (net (rename state_machine_un1_as_030 "state_machine.un1_as_030") (joined - (portRef O (instanceRef state_machine_un1_as_030_i_0)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) - )) - (net un1_SM_AMIGA_0_sqmuxa_2 (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_2_i_0)) - (portRef I0 (instanceRef DS_000_ENABLE_0_n)) - )) - (net (rename state_machine_un8_bg_030 "state_machine.un8_bg_030") (joined - (portRef O (instanceRef state_machine_un8_bg_030_i)) - (portRef I1 (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_r)) - )) - (net un1_AS_030_000_SYNC_0_sqmuxa_1 (joined - (portRef O (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) - )) - (net un2_as_030 (joined - (portRef O (instanceRef un2_as_030)) - (portRef I1 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_r)) - )) - (net AS_000_INT_1_sqmuxa (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa)) - (portRef I1 (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_r)) - )) - (net DSACK1_INT_1_sqmuxa (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa)) - (portRef I1 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_r)) - )) - (net un19_fpu_cs (joined - (portRef O (instanceRef un19_fpu_cs)) - (portRef I0 (instanceRef un19_fpu_cs_i)) - )) - (net un5_ciin (joined - (portRef O (instanceRef un5_ciin)) - (portRef I0 (instanceRef un5_ciin_i)) - (portRef I0 (instanceRef CIIN)) - )) - (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined - (portRef Q (instanceRef SM_AMIGA_5)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_2)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_3)) - (portRef I0 (instanceRef SM_AMIGA_i_5)) - )) - (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined - (portRef Q (instanceRef SM_AMIGA_3)) - (portRef I0 (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_5)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_4)) - )) - (net (rename SM_AMIGA_2 "SM_AMIGA[2]") (joined - (portRef Q (instanceRef SM_AMIGA_2)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_5)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_6)) - (portRef I0 (instanceRef SM_AMIGA_i_2)) - )) - (net (rename state_machine_A0_DMA_2 "state_machine.A0_DMA_2") (joined - (portRef O (instanceRef state_machine_A0_DMA_2)) - (portRef D (instanceRef A0_DMA)) - )) - (net (rename state_machine_SIZE_DMA_4_0 "state_machine.SIZE_DMA_4[0]") (joined - (portRef O (instanceRef state_machine_SIZE_DMA_4_i_0)) - (portRef D (instanceRef SIZE_DMA_0)) - )) - (net (rename state_machine_SIZE_DMA_4_1 "state_machine.SIZE_DMA_4[1]") (joined - (portRef O (instanceRef state_machine_SIZE_DMA_4_i_1)) - (portRef D (instanceRef SIZE_DMA_1)) - )) - (net un16_ciin (joined - (portRef O (instanceRef un16_ciin)) - (portRef I0 (instanceRef un16_ciin_i)) - )) - (net N_1 (joined - (portRef O (instanceRef CLK_030_H_0_p)) - (portRef D (instanceRef CLK_030_H)) - )) - (net N_2 (joined - (portRef O (instanceRef RW_000_DMA_0_p)) - (portRef D (instanceRef RW_000_DMA)) - )) - (net N_3 (joined - (portRef O (instanceRef DS_000_DMA_0_p)) - (portRef D (instanceRef DS_000_DMA)) - )) - (net N_4 (joined - (portRef O (instanceRef AS_000_DMA_0_p)) - (portRef D (instanceRef AS_000_DMA)) - )) - (net N_5 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_p)) - (portRef D (instanceRef AMIGA_BUS_ENABLE_INT)) - )) - (net N_6 (joined - (portRef O (instanceRef AS_030_000_SYNC_0_p)) - (portRef D (instanceRef AS_030_000_SYNC)) - )) - (net N_7 (joined - (portRef O (instanceRef AS_000_INT_0_p)) - (portRef D (instanceRef AS_000_INT)) - )) - (net N_8 (joined - (portRef O (instanceRef DS_000_ENABLE_0_p)) - (portRef D (instanceRef DS_000_ENABLE)) - )) - (net N_9 (joined - (portRef O (instanceRef DSACK1_INT_0_p)) - (portRef D (instanceRef DSACK1_INT)) - )) - (net N_10 (joined - (portRef O (instanceRef BG_000_0_p)) - (portRef D (instanceRef BG_000DFFSH)) - )) - (net N_11 (joined - (portRef O (instanceRef LDS_000_INT_0_p)) - (portRef D (instanceRef LDS_000_INT)) - )) - (net N_12 (joined - (portRef O (instanceRef UDS_000_INT_0_p)) - (portRef D (instanceRef UDS_000_INT)) - )) - (net N_13 (joined - (portRef O (instanceRef RW_000_INT_0_p)) - (portRef D (instanceRef RW_000_INT)) - )) - (net N_14 (joined - (portRef O (instanceRef VMA_INT_0_p)) - (portRef D (instanceRef VMA_INT)) - )) - (net N_15 (joined - (portRef O (instanceRef CLK_OUT_PRE_25_0)) - (portRef D (instanceRef CLK_OUT_PRE_25)) - )) - (net N_16 (joined - (portRef O (instanceRef BGACK_030_INT_0_p)) - (portRef D (instanceRef BGACK_030_INT)) - )) - (net N_17 (joined - (portRef O (instanceRef IPL_030_0_0__p)) - (portRef D (instanceRef IPL_030DFFSH_0)) - )) - (net N_18 (joined - (portRef O (instanceRef IPL_030_0_1__p)) - (portRef D (instanceRef IPL_030DFFSH_1)) - )) - (net N_19 (joined - (portRef O (instanceRef IPL_030_0_2__p)) - (portRef D (instanceRef IPL_030DFFSH_2)) - )) - (net (rename SM_AMIGA_ns_0 "SM_AMIGA_ns[0]") (joined - (portRef O (instanceRef SM_AMIGA_ns_i_0)) - (portRef D (instanceRef SM_AMIGA_7)) - )) - (net (rename SM_AMIGA_ns_1 "SM_AMIGA_ns[1]") (joined - (portRef O (instanceRef SM_AMIGA_ns_i_1)) - (portRef D (instanceRef SM_AMIGA_6)) - )) - (net (rename SM_AMIGA_ns_2 "SM_AMIGA_ns[2]") (joined - (portRef O (instanceRef SM_AMIGA_ns_i_2)) - (portRef D (instanceRef SM_AMIGA_5)) - )) - (net (rename SM_AMIGA_ns_3 "SM_AMIGA_ns[3]") (joined - (portRef O (instanceRef SM_AMIGA_ns_i_3)) - (portRef D (instanceRef SM_AMIGA_4)) - )) - (net (rename SM_AMIGA_ns_4 "SM_AMIGA_ns[4]") (joined - (portRef O (instanceRef SM_AMIGA_ns_i_4)) - (portRef D (instanceRef SM_AMIGA_3)) - )) - (net (rename SM_AMIGA_ns_5 "SM_AMIGA_ns[5]") (joined - (portRef O (instanceRef SM_AMIGA_ns_i_5)) - (portRef D (instanceRef SM_AMIGA_2)) - )) - (net (rename SM_AMIGA_ns_6 "SM_AMIGA_ns[6]") (joined - (portRef O (instanceRef SM_AMIGA_ns_i_6)) - (portRef D (instanceRef SM_AMIGA_1)) - )) - (net (rename cpu_est_0 "cpu_est[0]") (joined - (portRef Q (instanceRef cpu_est_0)) - (portRef I0 (instanceRef cpu_est_ns_0_a3_0_2)) - (portRef I0 (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef cpu_estse)) - (portRef I0 (instanceRef cpu_est_ns_0_a3_1_1)) - (portRef I0 (instanceRef state_machine_un5_clk_000_ne_2)) - )) - (net (rename cpu_est_1 "cpu_est[1]") (joined - (portRef Q (instanceRef cpu_est_1)) - (portRef I0 (instanceRef cpu_est_ns_0_a2_1)) - (portRef I0 (instanceRef cpu_estse_0_n)) - (portRef I0 (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef cpu_est_ns_0_a3_2)) - )) - (net (rename cpu_est_2 "cpu_est[2]") (joined - (portRef Q (instanceRef cpu_est_2)) - (portRef I0 (instanceRef cpu_est_ns_i_a3_3)) - (portRef I0 (instanceRef cpu_estse_1_n)) - (portRef I0 (instanceRef cpu_est_i_2)) - (portRef I0 (instanceRef cpu_est_ns_0_a3_0_1)) - (portRef I1 (instanceRef cpu_est_ns_0_a3_2)) - )) - (net (rename cpu_est_3 "cpu_est[3]") (joined - (portRef Q (instanceRef cpu_est_3)) - (portRef I1 (instanceRef cpu_est_ns_0_a3_0_2)) - (portRef I0 (instanceRef state_machine_un15_clk_000_ne_1)) - (portRef I0 (instanceRef cpu_estse_2_n)) - (portRef I0 (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef cpu_est_ns_0_a3_0_1)) - (portRef I0 (instanceRef E)) - )) - (net (rename cpu_est_ns_e_0 "cpu_est_ns_e[0]") (joined - (portRef O (instanceRef cpu_estse)) - (portRef D (instanceRef cpu_est_0)) - )) - (net (rename cpu_est_ns_e_1 "cpu_est_ns_e[1]") (joined - (portRef O (instanceRef cpu_estse_0_p)) - (portRef D (instanceRef cpu_est_1)) - )) - (net (rename cpu_est_ns_e_2 "cpu_est_ns_e[2]") (joined - (portRef O (instanceRef cpu_estse_1_p)) - (portRef D (instanceRef cpu_est_2)) - )) - (net (rename cpu_est_ns_e_3 "cpu_est_ns_e[3]") (joined - (portRef O (instanceRef cpu_estse_2_p)) - (portRef D (instanceRef cpu_est_3)) - )) - (net un6_clk_pre_66 (joined - (portRef O (instanceRef un6_clk_pre_66)) - (portRef I0 (instanceRef un6_clk_pre_66_i)) - )) - (net un2_clk_pre_66 (joined - (portRef O (instanceRef un2_clk_pre_66)) - (portRef I0 (instanceRef un2_clk_pre_66_i)) - )) - (net un19_fpu_cs_5 (joined - (portRef O (instanceRef un19_fpu_cs_5)) - (portRef I1 (instanceRef state_machine_un28_as_030_2)) - (portRef I1 (instanceRef un19_fpu_cs)) - )) - (net AS_030_000_SYNC_0_sqmuxa (joined - (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_sqmuxa_i)) - )) - (net (rename state_machine_un28_as_030 "state_machine.un28_as_030") (joined - (portRef O (instanceRef state_machine_un28_as_030)) - (portRef I0 (instanceRef state_machine_un28_as_030_i)) - )) - (net DSACK1_INT_0_sqmuxa (joined - (portRef O (instanceRef DSACK1_INT_0_sqmuxa)) - (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i)) - )) - (net (rename state_machine_un5_clk_000_n_sync "state_machine.un5_clk_000_n_sync") (joined - (portRef O (instanceRef state_machine_un5_clk_000_n_sync)) - (portRef I1 (instanceRef SM_AMIGA_0_sqmuxa_1)) - (portRef I1 (instanceRef state_machine_CLK_000_N_SYNC_2_0)) - )) - (net (rename state_machine_un9_clk_000_ne "state_machine.un9_clk_000_ne") (joined - (portRef O (instanceRef state_machine_un9_clk_000_ne)) - (portRef I0 (instanceRef state_machine_un9_clk_000_ne_i)) - )) - (net (rename state_machine_un6_bg_030 "state_machine.un6_bg_030") (joined - (portRef O (instanceRef state_machine_un6_bg_030)) - (portRef I0 (instanceRef state_machine_un6_bg_030_i)) - )) - (net N_99 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_i_a4)) - (portRef I0 (instanceRef N_99_i)) - )) - (net (rename state_machine_un11_clk_000_ne "state_machine.un11_clk_000_ne") (joined - (portRef O (instanceRef state_machine_un11_clk_000_ne_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_0_0)) - )) - (net (rename state_machine_un15_clk_000_ne "state_machine.un15_clk_000_ne") (joined - (portRef O (instanceRef state_machine_un15_clk_000_ne)) - (portRef I0 (instanceRef state_machine_un15_clk_000_ne_i)) - )) - (net SM_AMIGA_0_sqmuxa_1 (joined - (portRef O (instanceRef SM_AMIGA_0_sqmuxa_1)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1)) - (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_1_i)) - )) - (net (rename state_machine_un15_clk_000_ne_1 "state_machine.un15_clk_000_ne_1") (joined - (portRef O (instanceRef state_machine_un15_clk_000_ne_1)) - (portRef I0 (instanceRef state_machine_un15_clk_000_ne_1_i)) - (portRef I0 (instanceRef state_machine_un15_clk_000_ne_1_0)) - )) - (net DS_000_ENABLE_0_sqmuxa (joined - (portRef O (instanceRef DS_000_ENABLE_0_sqmuxa)) - (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa_i)) - )) - (net SM_AMIGA_0_sqmuxa (joined - (portRef O (instanceRef SM_AMIGA_0_sqmuxa_0_a4)) - (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_i)) - )) - (net N_75 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_i_i)) - (portRef I1 (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_r)) - )) - (net (rename state_machine_RW_000_INT_3 "state_machine.RW_000_INT_3") (joined - (portRef O (instanceRef state_machine_RW_000_INT_3_i)) - (portRef I0 (instanceRef RW_000_INT_0_m)) - )) - (net N_179_1 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) - (portRef I0 (instanceRef state_machine_un8_bgack_030_int)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) - )) - (net N_179 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) - (portRef I0 (instanceRef N_179_i)) - )) - (net N_178 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) - (portRef I0 (instanceRef N_178_i)) - )) - (net (rename cpu_est_ns_2 "cpu_est_ns[2]") (joined - (portRef O (instanceRef cpu_est_ns_0_i_2)) - (portRef I0 (instanceRef cpu_estse_1_m)) - )) - (net N_172 (joined - (portRef O (instanceRef cpu_est_ns_0_a3_1_2)) - (portRef I0 (instanceRef N_172_i)) - )) - (net N_171 (joined - (portRef O (instanceRef cpu_est_ns_0_a3_0_2)) - (portRef I0 (instanceRef N_171_i)) - )) - (net (rename state_machine_un26_clk_000_pe_2 "state_machine.un26_clk_000_pe_2") (joined - (portRef O (instanceRef cpu_est_ns_0_a3_2)) - (portRef I0 (instanceRef state_machine_un26_clk_000_pe_2_i)) - (portRef I0 (instanceRef state_machine_un26_clk_000_pe_4_1)) - )) - (net (rename state_machine_un26_clk_000_pe "state_machine.un26_clk_000_pe") (joined - (portRef O (instanceRef state_machine_un26_clk_000_pe)) - (portRef I0 (instanceRef state_machine_un26_clk_000_pe_i)) - )) - (net (rename state_machine_un26_clk_000_pe_4 "state_machine.un26_clk_000_pe_4") (joined - (portRef O (instanceRef state_machine_un26_clk_000_pe_4)) - (portRef I0 (instanceRef VMA_INT_0_m)) - (portRef I1 (instanceRef state_machine_un26_clk_000_pe)) - )) - (net (rename state_machine_un5_clk_000_ne "state_machine.un5_clk_000_ne") (joined - (portRef O (instanceRef state_machine_un5_clk_000_ne)) - (portRef I0 (instanceRef state_machine_un5_clk_000_ne_i)) - )) - (net N_169 (joined - (portRef O (instanceRef cpu_est_ns_0_a3_1_1)) - (portRef I0 (instanceRef N_169_i)) - )) - (net N_175 (joined - (portRef O (instanceRef cpu_est_ns_0_a2_1)) - (portRef I0 (instanceRef cpu_est_ns_i_a3_0_3)) - (portRef I0 (instanceRef N_175_i)) - )) - (net N_167 (joined - (portRef O (instanceRef cpu_est_ns_0_a3_1)) - (portRef I0 (instanceRef N_167_i)) - )) - (net N_168 (joined - (portRef O (instanceRef cpu_est_ns_0_a3_0_1)) - (portRef I0 (instanceRef N_168_i)) - )) - (net N_173 (joined - (portRef O (instanceRef cpu_est_ns_i_a3_3)) - (portRef I0 (instanceRef N_173_i)) - )) - (net N_174 (joined - (portRef O (instanceRef cpu_est_ns_i_a3_0_3)) - (portRef I0 (instanceRef N_174_i)) - )) - (net (rename cpu_est_ns_1 "cpu_est_ns[1]") (joined - (portRef O (instanceRef cpu_est_ns_0_i_1)) - (portRef I0 (instanceRef cpu_estse_0_m)) - )) - (net (rename state_machine_un28_clk_000_pe "state_machine.un28_clk_000_pe") (joined - (portRef O (instanceRef state_machine_un28_clk_000_pe_i)) - (portRef I1 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_r)) - )) - (net (rename state_machine_CLK_030_H_2 "state_machine.CLK_030_H_2") (joined - (portRef O (instanceRef state_machine_CLK_030_H_2_f0)) - (portRef I0 (instanceRef CLK_030_H_0_m)) - )) - (net DS_000_DMA_1_sqmuxa_1 (joined - (portRef O (instanceRef DS_000_DMA_1_sqmuxa_1)) - (portRef I0 (instanceRef DS_000_DMA_1_sqmuxa_1_i)) - (portRef I0 (instanceRef DS_000_DMA_1_sqmuxa)) - )) - (net AS_000_DMA_1_sqmuxa (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa)) - (portRef I1 (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_r)) - )) - (net CLK_030_H_1_sqmuxa_1 (joined - (portRef O (instanceRef CLK_030_H_1_sqmuxa_1)) - (portRef I0 (instanceRef CLK_030_H_1_sqmuxa_1_i)) - )) - (net DS_000_DMA_1_sqmuxa (joined - (portRef O (instanceRef DS_000_DMA_1_sqmuxa)) - (portRef I1 (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_r)) - )) - (net (rename state_machine_un8_bgack_030_int "state_machine.un8_bgack_030_int") (joined - (portRef O (instanceRef state_machine_un8_bgack_030_int)) - (portRef I0 (instanceRef state_machine_SIZE_DMA_4_1)) - (portRef I0 (instanceRef state_machine_un8_bgack_030_int_i)) - (portRef I0 (instanceRef state_machine_SIZE_DMA_4_0)) - (portRef I1 (instanceRef state_machine_A0_DMA_2)) - (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa)) - (portRef I1 (instanceRef DS_000_DMA_1_sqmuxa_1)) - (portRef I1 (instanceRef CLK_030_H_1_sqmuxa_1)) - (portRef I1 (instanceRef state_machine_CLK_030_H_2_f0)) - )) - (net (rename state_machine_un24_bgack_030_int "state_machine.un24_bgack_030_int") (joined - (portRef O (instanceRef state_machine_un24_bgack_030_int)) - (portRef I0 (instanceRef state_machine_un24_bgack_030_int_i)) - )) - (net (rename state_machine_un10_bgack_030_int "state_machine.un10_bgack_030_int") (joined - (portRef O (instanceRef state_machine_un10_bgack_030_int_i)) - (portRef I1 (instanceRef state_machine_un8_bgack_030_int)) - )) - (net (rename state_machine_un31_bgack_030_int "state_machine.un31_bgack_030_int") (joined - (portRef O (instanceRef state_machine_un31_bgack_030_int)) - (portRef I1 (instanceRef state_machine_SIZE_DMA_4_0)) - (portRef I0 (instanceRef state_machine_un31_bgack_030_int_i)) - )) - (net (rename state_machine_CLK_030_H_2_f1 "state_machine.CLK_030_H_2_f1") (joined - (portRef O (instanceRef state_machine_CLK_030_H_2_f1_i)) - (portRef I0 (instanceRef state_machine_CLK_030_H_2_f0)) - )) - (net CLK_030_H_1_sqmuxa (joined - (portRef O (instanceRef CLK_030_H_1_sqmuxa)) - (portRef I0 (instanceRef CLK_030_H_1_sqmuxa_i)) - )) - (net un1_bgack_030_int_d (joined - (portRef O (instanceRef un1_bgack_030_int_d_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_0_n)) - )) - (net un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_0_r)) - )) - (net (rename state_machine_un3_bgack_030_int_d "state_machine.un3_bgack_030_int_d") (joined - (portRef O (instanceRef state_machine_un3_bgack_030_int_d)) - (portRef I0 (instanceRef state_machine_un3_bgack_030_int_d_i)) - )) - (net AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i)) - )) - (net AMIGA_BUS_ENABLE_INT_3_sqmuxa (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa_i)) - )) - (net N_98 (joined - (portRef O (instanceRef un1_SM_AMIGA_2_i_a4)) - (portRef I0 (instanceRef N_98_i)) - )) - (net AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i)) - )) - (net AMIGA_BUS_ENABLE_INT_2_sqmuxa (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) - )) - (net N_103 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_2_0)) - (portRef I0 (instanceRef N_103_i)) - )) - (net N_91 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_i_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_2_0)) - )) - (net N_105 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1)) - (portRef I0 (instanceRef N_105_i)) - )) - (net N_115 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_a4_7)) - (portRef I0 (instanceRef N_115_i)) - )) - (net N_95 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_o4_i_7)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_a4_7)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_1)) - )) - (net N_110 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_4)) - (portRef I0 (instanceRef N_110_i)) - )) - (net N_100 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0)) - (portRef I0 (instanceRef N_100_i)) - )) - (net N_102 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_0)) - (portRef I0 (instanceRef N_102_i)) - )) - (net N_101 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_0)) - (portRef I0 (instanceRef N_101_i)) - )) - (net N_104 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_3_0)) - (portRef I0 (instanceRef N_104_i)) - )) - (net N_96 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_2_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_0_0)) - )) - (net N_92 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_0_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_1_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_4)) - )) - (net N_93 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_1_i_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_1_0)) - )) - (net N_107 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_2)) - (portRef I0 (instanceRef N_107_i)) - )) - (net N_114_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_2)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_6)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_2)) - )) - (net N_114 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_6)) - (portRef I0 (instanceRef N_114_i)) - )) - (net N_113 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_6)) - (portRef I0 (instanceRef N_113_i)) - )) - (net N_111 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_5)) - (portRef I0 (instanceRef N_111_i)) - )) - (net N_112 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_5)) - (portRef I0 (instanceRef N_112_i)) - )) - (net N_108 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_3)) - (portRef I0 (instanceRef N_108_i)) - )) - (net N_109 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_3)) - (portRef I0 (instanceRef N_109_i)) - )) - (net N_106 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_1)) - (portRef I0 (instanceRef N_106_i)) - )) - (net un12_ciin (joined - (portRef O (instanceRef un12_ciin)) - (portRef I0 (instanceRef un12_ciin_i)) - )) - (net un14_ciin (joined - (portRef O (instanceRef un14_ciin_i)) - (portRef I1 (instanceRef un16_ciin)) - )) - (net un8_ciin (joined - (portRef O (instanceRef un8_ciin)) - (portRef I0 (instanceRef un8_ciin_i)) - )) - (net un19_fpu_cs_i (joined - (portRef O (instanceRef un19_fpu_cs_i)) - (portRef I0 (instanceRef FPU_CS)) - )) - (net AS_030_i (joined - (portRef O (instanceRef I_181)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2)) - (portRef I0 (instanceRef state_machine_un1_as_030)) - (portRef I0 (instanceRef un8_ciin)) - (portRef I0 (instanceRef un19_fpu_cs_1)) - (portRef I0 (instanceRef un5_ciin_1)) - )) - (net un12_ciin_i (joined - (portRef O (instanceRef un12_ciin_i)) - (portRef I1 (instanceRef un8_ciin)) - )) - (net nEXP_SPACE_i (joined - (portRef O (instanceRef nEXP_SPACE_i)) - (portRef I0 (instanceRef un3_dtack_1)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) - )) - (net un5_ciin_i (joined - (portRef O (instanceRef un5_ciin_i)) - (portRef I0 (instanceRef un16_ciin)) - )) - (net (rename A_i_24 "A_i[24]") (joined - (portRef O (instanceRef A_i_24)) - (portRef I1 (instanceRef un5_ciin_3)) - (portRef I0 (instanceRef un12_ciin_1)) - )) - (net (rename A_i_25 "A_i[25]") (joined - (portRef O (instanceRef A_i_25)) - (portRef I0 (instanceRef un5_ciin_4)) - (portRef I1 (instanceRef un12_ciin_1)) - )) - (net (rename A_i_26 "A_i[26]") (joined - (portRef O (instanceRef A_i_26)) - (portRef I1 (instanceRef un5_ciin_4)) - (portRef I0 (instanceRef un12_ciin_2)) - )) - (net (rename A_i_27 "A_i[27]") (joined - (portRef O (instanceRef A_i_27)) - (portRef I1 (instanceRef un5_ciin_5)) - (portRef I1 (instanceRef un12_ciin_2)) - )) - (net (rename A_i_28 "A_i[28]") (joined - (portRef O (instanceRef A_i_28)) - (portRef I0 (instanceRef un5_ciin_6)) - (portRef I0 (instanceRef un12_ciin_3)) - )) - (net (rename A_i_29 "A_i[29]") (joined - (portRef O (instanceRef A_i_29)) - (portRef I1 (instanceRef un5_ciin_6)) - (portRef I1 (instanceRef un12_ciin_3)) - )) - (net (rename A_i_30 "A_i[30]") (joined - (portRef O (instanceRef A_i_30)) - (portRef I0 (instanceRef un12_ciin_4)) - (portRef I1 (instanceRef un5_ciin_11)) - )) - (net (rename A_i_31 "A_i[31]") (joined - (portRef O (instanceRef A_i_31)) - (portRef I0 (instanceRef un5_ciin_5)) - (portRef I1 (instanceRef un12_ciin_4)) - )) - (net BERR_i (joined - (portRef O (instanceRef BERR_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_1_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_0_0)) - )) - (net CLK_000_NE_i (joined - (portRef O (instanceRef CLK_000_NE_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_2)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_0)) - )) - (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined - (portRef O (instanceRef SM_AMIGA_i_1)) - (portRef I1 (instanceRef un1_SM_AMIGA_2_i_a4)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_1_0)) - )) - (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined - (portRef O (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_0)) - )) - (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined - (portRef O (instanceRef SM_AMIGA_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_i_a4_7)) - (portRef I0 (instanceRef un1_SM_AMIGA_2_i_a4)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_1)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_2_0)) - )) - (net SM_AMIGA_0_sqmuxa_1_i (joined - (portRef O (instanceRef SM_AMIGA_0_sqmuxa_1_i)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_1)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_3_2_0)) - )) - (net avec_exp_i (joined - (portRef O (instanceRef avec_exp_i)) - (portRef I1 (instanceRef state_machine_un4_bgack_000)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0)) - )) - (net (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (joined - (portRef O (instanceRef SM_AMIGA_i_7)) - (portRef I1 (instanceRef state_machine_RW_000_INT_3)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_2)) - )) - (net N_98_i (joined - (portRef O (instanceRef N_98_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa)) - )) - (net BGACK_030_INT_i (joined - (portRef O (instanceRef BGACK_030_INT_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) - (portRef I1 (instanceRef un3_dtack)) - (portRef OE (instanceRef RW)) - )) - (net BGACK_030_INT_D_i (joined - (portRef O (instanceRef BGACK_030_INT_D_i)) - (portRef I1 (instanceRef state_machine_un3_bgack_030_int_d)) - )) - (net (rename state_machine_un24_bgack_030_int_i "state_machine.un24_bgack_030_int_i") (joined - (portRef O (instanceRef state_machine_un24_bgack_030_int_i)) - (portRef I1 (instanceRef DS_000_DMA_1_sqmuxa)) - )) - (net RW_000_i (joined - (portRef O (instanceRef I_182)) - (portRef I0 (instanceRef DS_000_DMA_1_sqmuxa_1)) - )) - (net AS_000_i (joined - (portRef O (instanceRef I_183)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) - )) - (net AS_000_DMA_i (joined - (portRef O (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef CLK_030_H_1_sqmuxa)) - (portRef I0 (instanceRef CLK_030_H_1_sqmuxa_1)) - (portRef I1 (instanceRef un3_dtack_1)) - )) - (net CLK_030_i (joined - (portRef O (instanceRef CLK_030_i)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa)) - (portRef I1 (instanceRef CLK_030_H_1_sqmuxa)) - )) - (net (rename state_machine_un8_bgack_030_int_i "state_machine.un8_bgack_030_int_i") (joined - (portRef O (instanceRef state_machine_un8_bgack_030_int_i)) - (portRef I0 (instanceRef AS_000_DMA_0_n)) - )) - (net (rename state_machine_un31_bgack_030_int_i "state_machine.un31_bgack_030_int_i") (joined - (portRef O (instanceRef state_machine_un31_bgack_030_int_i)) - (portRef I1 (instanceRef state_machine_SIZE_DMA_4_1)) - )) - (net UDS_000_i (joined - (portRef O (instanceRef I_184)) - (portRef I1 (instanceRef state_machine_un31_bgack_030_int)) - )) - (net LDS_000_i (joined - (portRef O (instanceRef I_185)) - (portRef I0 (instanceRef state_machine_un31_bgack_030_int)) - )) - (net CLK_030_H_1_sqmuxa_1_i (joined - (portRef O (instanceRef CLK_030_H_1_sqmuxa_1_i)) - (portRef I0 (instanceRef DS_000_DMA_0_n)) - )) - (net DS_000_DMA_1_sqmuxa_1_i (joined - (portRef O (instanceRef DS_000_DMA_1_sqmuxa_1_i)) - (portRef I0 (instanceRef RW_000_DMA_0_n)) - )) - (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined - (portRef O (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef cpu_est_ns_i_a3_0_3)) - (portRef I1 (instanceRef cpu_est_ns_0_a3_1)) - (portRef I1 (instanceRef state_machine_un26_clk_000_pe_1)) - )) - (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined - (portRef O (instanceRef cpu_est_i_2)) - (portRef I0 (instanceRef cpu_est_ns_0_a3_1)) - )) - (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined - (portRef O (instanceRef cpu_est_i_1)) - (portRef I1 (instanceRef cpu_est_ns_0_a3_1_2)) - (portRef I1 (instanceRef state_machine_un15_clk_000_ne_1)) - (portRef I1 (instanceRef cpu_est_ns_0_a3_1_1)) - (portRef I1 (instanceRef state_machine_un5_clk_000_ne_2)) - )) - (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined - (portRef O (instanceRef cpu_est_i_0)) - (portRef I0 (instanceRef cpu_est_ns_0_a3_1_2)) - (portRef I1 (instanceRef cpu_est_ns_0_a2_1)) - (portRef I0 (instanceRef state_machine_un26_clk_000_pe_1)) - )) - (net (rename state_machine_un15_clk_000_ne_1_i "state_machine.un15_clk_000_ne_1_i") (joined - (portRef O (instanceRef state_machine_un15_clk_000_ne_1_i)) - (portRef I1 (instanceRef cpu_est_ns_i_a3_3)) - )) - (net VPA_D_i (joined - (portRef O (instanceRef VPA_D_i)) - (portRef I1 (instanceRef state_machine_un5_clk_000_ne_1)) - )) - (net RW_i (joined - (portRef O (instanceRef I_186)) - (portRef I0 (instanceRef state_machine_RW_000_INT_3)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) - )) - (net AS_030_000_SYNC_i (joined - (portRef O (instanceRef AS_030_000_SYNC_i)) - (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_1_1)) - )) - (net CLK_000_D2_i (joined - (portRef O (instanceRef CLK_000_D2_i)) - (portRef I0 (instanceRef state_machine_CLK_000_P_SYNC_3_2_0)) - )) - (net CLK_000_D3_i (joined - (portRef O (instanceRef CLK_000_D3_i)) - (portRef I1 (instanceRef state_machine_CLK_000_P_SYNC_3_2_0)) - )) - (net CLK_000_D1_i (joined - (portRef O (instanceRef CLK_000_D1_i)) - (portRef I1 (instanceRef state_machine_CLK_000_P_SYNC_3_1_0)) - )) - (net VMA_INT_i (joined - (portRef O (instanceRef VMA_INT_i)) - (portRef I1 (instanceRef state_machine_un15_clk_000_ne_1_0)) - )) - (net VPA_i (joined - (portRef O (instanceRef VPA_i)) - (portRef I1 (instanceRef state_machine_un15_clk_000_ne)) - )) - (net DSACK1_INT_0_sqmuxa_i (joined - (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i)) - (portRef I0 (instanceRef DSACK1_INT_0_n)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa)) - )) - (net N_99_i (joined - (portRef O (instanceRef N_99_i)) - (portRef I0 (instanceRef AS_000_INT_0_n)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_2)) - )) - (net DTACK_i (joined - (portRef O (instanceRef I_187)) - (portRef I0 (instanceRef state_machine_un9_clk_000_ne)) - )) - (net CLK_000_D0_i (joined - (portRef O (instanceRef CLK_000_D0_i)) - (portRef I0 (instanceRef state_machine_un5_clk_000_n_sync)) - )) - (net (rename A_i_19 "A_i[19]") (joined - (portRef O (instanceRef A_i_19)) - (portRef I0 (instanceRef state_machine_un28_as_030_2)) - (portRef I1 (instanceRef un19_fpu_cs_2)) - )) - (net (rename A_i_16 "A_i[16]") (joined - (portRef O (instanceRef A_i_16)) - (portRef I0 (instanceRef state_machine_un28_as_030_1)) - (portRef I1 (instanceRef un19_fpu_cs_1)) - )) - (net (rename A_i_18 "A_i[18]") (joined - (portRef O (instanceRef A_i_18)) - (portRef I1 (instanceRef state_machine_un28_as_030_1)) - (portRef I0 (instanceRef un19_fpu_cs_2)) - )) - (net (rename state_machine_un28_as_030_i "state_machine.un28_as_030_i") (joined - (portRef O (instanceRef state_machine_un28_as_030_i)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_sqmuxa_2)) - )) - (net AS_030_000_SYNC_0_sqmuxa_i (joined - (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa_i)) - (portRef I0 (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1)) - )) - (net (rename CLK_CNT_N_i_0 "CLK_CNT_N_i[0]") (joined - (portRef O (instanceRef CLK_CNT_N_i_0)) - (portRef I0 (instanceRef un2_clk_pre_66)) - )) - (net RST_i (joined - (portRef O (instanceRef RST_i)) - (portRef S (instanceRef A0_DMA)) - (portRef S (instanceRef AMIGA_BUS_ENABLE_INT)) - (portRef S (instanceRef AS_000_DMA)) - (portRef S (instanceRef AS_000_INT)) - (portRef S (instanceRef AS_030_000_SYNC)) - (portRef S (instanceRef BGACK_030_INT)) - (portRef S (instanceRef BGACK_030_INT_D)) - (portRef S (instanceRef BG_000DFFSH)) - (portRef S (instanceRef CLK_000_D0)) - (portRef S (instanceRef CLK_000_D1)) - (portRef S (instanceRef CLK_000_D2)) - (portRef S (instanceRef CLK_000_D3)) - (portRef R (instanceRef CLK_000_NE)) - (portRef R (instanceRef CLK_000_N_SYNC_0)) - (portRef R (instanceRef CLK_000_N_SYNC_1)) - (portRef R (instanceRef CLK_000_N_SYNC_2)) - (portRef R (instanceRef CLK_000_N_SYNC_3)) - (portRef R (instanceRef CLK_000_N_SYNC_4)) - (portRef R (instanceRef CLK_000_N_SYNC_5)) - (portRef R (instanceRef CLK_000_N_SYNC_6)) - (portRef R (instanceRef CLK_000_N_SYNC_7)) - (portRef R (instanceRef CLK_000_N_SYNC_8)) - (portRef R (instanceRef CLK_000_N_SYNC_9)) - (portRef R (instanceRef CLK_000_N_SYNC_10)) - (portRef R (instanceRef CLK_000_N_SYNC_11)) - (portRef R (instanceRef CLK_000_P_SYNC_0)) - (portRef R (instanceRef CLK_000_P_SYNC_1)) - (portRef R (instanceRef CLK_000_P_SYNC_2)) - (portRef R (instanceRef CLK_000_P_SYNC_3)) - (portRef R (instanceRef CLK_000_P_SYNC_4)) - (portRef R (instanceRef CLK_000_P_SYNC_5)) - (portRef R (instanceRef CLK_000_P_SYNC_6)) - (portRef R (instanceRef CLK_000_P_SYNC_7)) - (portRef R (instanceRef CLK_000_P_SYNC_8)) - (portRef R (instanceRef CLK_000_P_SYNC_9)) - (portRef R (instanceRef CLK_CNT_N_0)) - (portRef S (instanceRef CLK_CNT_N_1)) - (portRef R (instanceRef CLK_CNT_P_0)) - (portRef R (instanceRef CLK_CNT_P_1)) - (portRef R (instanceRef CLK_OUT_INT)) - (portRef R (instanceRef CLK_OUT_PRE)) - (portRef R (instanceRef CLK_OUT_PRE_25)) - (portRef R (instanceRef CLK_OUT_PRE_33)) - (portRef R (instanceRef CLK_OUT_PRE_50)) - (portRef R (instanceRef CLK_OUT_PRE_50_D)) - (portRef R (instanceRef CLK_OUT_PRE_D)) - (portRef S (instanceRef DSACK1_INT)) - (portRef S (instanceRef DS_000_DMA)) - (portRef R (instanceRef DS_000_ENABLE)) - (portRef S (instanceRef IPL_030DFFSH_0)) - (portRef S (instanceRef IPL_030DFFSH_1)) - (portRef S (instanceRef IPL_030DFFSH_2)) - (portRef S (instanceRef LDS_000_INT)) - (portRef R (instanceRef RESETDFFRH)) - (portRef S (instanceRef RW_000_DMA)) - (portRef S (instanceRef RW_000_INT)) - (portRef S (instanceRef SIZE_DMA_0)) - (portRef S (instanceRef SIZE_DMA_1)) - (portRef R (instanceRef SM_AMIGA_0)) - (portRef R (instanceRef SM_AMIGA_1)) - (portRef R (instanceRef SM_AMIGA_2)) - (portRef R (instanceRef SM_AMIGA_3)) - (portRef R (instanceRef SM_AMIGA_4)) - (portRef R (instanceRef SM_AMIGA_5)) - (portRef R (instanceRef SM_AMIGA_6)) - (portRef S (instanceRef SM_AMIGA_7)) - (portRef S (instanceRef UDS_000_INT)) - (portRef S (instanceRef VMA_INT)) - (portRef S (instanceRef VPA_D)) - (portRef R (instanceRef avec_exp)) - (portRef R (instanceRef cpu_est_0)) - (portRef R (instanceRef cpu_est_1)) - (portRef R (instanceRef cpu_est_2)) - (portRef R (instanceRef cpu_est_3)) - )) - (net CLK_OUT_PRE_50_i (joined - (portRef O (instanceRef CLK_OUT_PRE_50_i)) - (portRef D (instanceRef CLK_OUT_PRE_50)) - )) - (net CLK_OUT_PRE_33_i (joined - (portRef O (instanceRef CLK_OUT_PRE_33_i)) - (portRef D (instanceRef CLK_OUT_PRE_33)) - )) - (net (rename un2_clk_cnt_p_i_1 "un2_clk_cnt_p_i[1]") (joined - (portRef O (instanceRef un2_clk_cnt_p_i_1)) - (portRef D (instanceRef CLK_CNT_P_0)) - )) - (net CLK_OSZI_i (joined - (portRef O (instanceRef CLK_OSZI_i)) - (portRef CLK (instanceRef CLK_CNT_N_0)) - (portRef CLK (instanceRef CLK_CNT_N_1)) - )) - (net (rename un4_clk_cnt_n_1_i_1 "un4_clk_cnt_n_1_i[1]") (joined - (portRef O (instanceRef un4_clk_cnt_n_1_i_1)) - (portRef D (instanceRef CLK_CNT_N_0)) - )) - (net un16_ciin_i (joined - (portRef O (instanceRef un16_ciin_i)) - (portRef OE (instanceRef CIIN)) - )) - (net CLK_OUT_PRE_50_D_i (joined - (portRef O (instanceRef CLK_OUT_PRE_50_D_i)) - (portRef I1 (instanceRef state_machine_un3_clk_out_pre_50)) - )) - (net AS_030_c (joined - (portRef O (instanceRef AS_030)) - (portRef I0 (instanceRef I_181)) - (portRef I1 (instanceRef state_machine_un6_bg_030_1)) - )) - (net AS_030 (joined - (portRef IO (instanceRef AS_030)) - (portRef AS_030) - )) - (net AS_000_c (joined - (portRef O (instanceRef AS_000)) - (portRef I0 (instanceRef I_183)) - )) - (net AS_000 (joined - (portRef IO (instanceRef AS_000)) - (portRef AS_000) - )) - (net RW_000_c (joined - (portRef O (instanceRef RW_000)) - (portRef I0 (instanceRef I_182)) - )) - (net RW_000 (joined - (portRef IO (instanceRef RW_000)) - (portRef RW_000) - )) - (net DS_030_c (joined - (portRef O (instanceRef DS_030)) - (portRef I1 (instanceRef UDS_000_INT_0_m)) - (portRef I0 (instanceRef UDS_000_INT_0_r)) - (portRef I1 (instanceRef LDS_000_INT_0_m)) - (portRef I0 (instanceRef LDS_000_INT_0_r)) - )) - (net DS_030 (joined - (portRef IO (instanceRef DS_030)) - (portRef DS_030) - )) - (net UDS_000_c (joined - (portRef O (instanceRef UDS_000)) - (portRef I0 (instanceRef state_machine_A0_DMA_2)) - (portRef I0 (instanceRef I_184)) - (portRef I1 (instanceRef state_machine_un10_bgack_030_int)) - )) - (net UDS_000 (joined - (portRef IO (instanceRef UDS_000)) - (portRef UDS_000) - )) - (net LDS_000_c (joined - (portRef O (instanceRef LDS_000)) - (portRef I0 (instanceRef I_185)) - (portRef I0 (instanceRef state_machine_un10_bgack_030_int)) - )) - (net LDS_000 (joined - (portRef IO (instanceRef LDS_000)) - (portRef LDS_000) - )) - (net (rename SIZE_c_0 "SIZE_c[0]") (joined - (portRef O (instanceRef SIZE_0)) - (portRef I1 (instanceRef state_machine_un7_ds_030)) - )) - (net (rename SIZE_0 "SIZE[0]") (joined - (portRef IO (instanceRef SIZE_0)) - (portRef (member size 1)) - )) - (net (rename SIZE_c_1 "SIZE_c[1]") (joined - (portRef O (instanceRef SIZE_1)) - (portRef I0 (instanceRef SIZE_c_i_1)) - )) - (net (rename SIZE_1 "SIZE[1]") (joined - (portRef (member size 0)) - (portRef IO (instanceRef SIZE_1)) - )) - (net (rename A_c_16 "A_c[16]") (joined - (portRef O (instanceRef A_16)) - (portRef I0 (instanceRef A_i_16)) - )) - (net (rename A_16 "A[16]") (joined - (portRef (member a 15)) - (portRef I0 (instanceRef A_16)) - )) - (net (rename A_c_17 "A_c[17]") (joined - (portRef O (instanceRef A_17)) - (portRef I0 (instanceRef un19_fpu_cs_5_1)) - )) - (net (rename A_17 "A[17]") (joined - (portRef (member a 14)) - (portRef I0 (instanceRef A_17)) - )) - (net (rename A_c_18 "A_c[18]") (joined - (portRef O (instanceRef A_18)) - (portRef I0 (instanceRef A_i_18)) - )) - (net (rename A_18 "A[18]") (joined - (portRef (member a 13)) - (portRef I0 (instanceRef A_18)) - )) - (net (rename A_c_19 "A_c[19]") (joined - (portRef O (instanceRef A_19)) - (portRef I0 (instanceRef A_i_19)) - )) - (net (rename A_19 "A[19]") (joined - (portRef (member a 12)) - (portRef I0 (instanceRef A_19)) - )) - (net (rename A_c_20 "A_c[20]") (joined - (portRef O (instanceRef A_20)) - (portRef I1 (instanceRef un5_ciin_1)) - )) - (net (rename A_20 "A[20]") (joined - (portRef (member a 11)) - (portRef I0 (instanceRef A_20)) - )) - (net (rename A_c_21 "A_c[21]") (joined - (portRef O (instanceRef A_21)) - (portRef I0 (instanceRef un5_ciin_2)) - )) - (net (rename A_21 "A[21]") (joined - (portRef (member a 10)) - (portRef I0 (instanceRef A_21)) - )) - (net (rename A_c_22 "A_c[22]") (joined - (portRef O (instanceRef A_22)) - (portRef I1 (instanceRef un5_ciin_2)) - )) - (net (rename A_22 "A[22]") (joined - (portRef (member a 9)) - (portRef I0 (instanceRef A_22)) - )) - (net (rename A_c_23 "A_c[23]") (joined - (portRef O (instanceRef A_23)) - (portRef I0 (instanceRef un5_ciin_3)) - )) - (net (rename A_23 "A[23]") (joined - (portRef (member a 8)) - (portRef I0 (instanceRef A_23)) - )) - (net (rename A_c_24 "A_c[24]") (joined - (portRef O (instanceRef A_24)) - (portRef I0 (instanceRef A_i_24)) - )) - (net (rename A_24 "A[24]") (joined - (portRef (member a 7)) - (portRef I0 (instanceRef A_24)) - )) - (net (rename A_c_25 "A_c[25]") (joined - (portRef O (instanceRef A_25)) - (portRef I0 (instanceRef A_i_25)) - )) - (net (rename A_25 "A[25]") (joined - (portRef (member a 6)) - (portRef I0 (instanceRef A_25)) - )) - (net (rename A_c_26 "A_c[26]") (joined - (portRef O (instanceRef A_26)) - (portRef I0 (instanceRef A_i_26)) - )) - (net (rename A_26 "A[26]") (joined - (portRef (member a 5)) - (portRef I0 (instanceRef A_26)) - )) - (net (rename A_c_27 "A_c[27]") (joined - (portRef O (instanceRef A_27)) - (portRef I0 (instanceRef A_i_27)) - )) - (net (rename A_27 "A[27]") (joined - (portRef (member a 4)) - (portRef I0 (instanceRef A_27)) - )) - (net (rename A_c_28 "A_c[28]") (joined - (portRef O (instanceRef A_28)) - (portRef I0 (instanceRef A_i_28)) - )) - (net (rename A_28 "A[28]") (joined - (portRef (member a 3)) - (portRef I0 (instanceRef A_28)) - )) - (net (rename A_c_29 "A_c[29]") (joined - (portRef O (instanceRef A_29)) - (portRef I0 (instanceRef A_i_29)) - )) - (net (rename A_29 "A[29]") (joined - (portRef (member a 2)) - (portRef I0 (instanceRef A_29)) - )) - (net (rename A_c_30 "A_c[30]") (joined - (portRef O (instanceRef A_30)) - (portRef I0 (instanceRef A_i_30)) - )) - (net (rename A_30 "A[30]") (joined - (portRef (member a 1)) - (portRef I0 (instanceRef A_30)) - )) - (net (rename A_c_31 "A_c[31]") (joined - (portRef O (instanceRef A_31)) - (portRef I0 (instanceRef A_i_31)) - )) - (net (rename A_31 "A[31]") (joined - (portRef (member a 0)) - (portRef I0 (instanceRef A_31)) - )) - (net A0_c (joined - (portRef O (instanceRef A0)) - (portRef I0 (instanceRef UDS_000_INT_0_n)) - (portRef I0 (instanceRef A0_c_i)) - )) - (net A0 (joined - (portRef IO (instanceRef A0)) - (portRef A0) - )) - (net nEXP_SPACE_c (joined - (portRef O (instanceRef nEXP_SPACE)) - (portRef I0 (instanceRef un14_ciin)) - (portRef I0 (instanceRef nEXP_SPACE_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_sqmuxa_2)) - (portRef I1 (instanceRef SM_AMIGA_0_sqmuxa_1_1)) - (portRef I0 (instanceRef state_machine_un6_bg_030_1)) - (portRef OE (instanceRef DSACK1)) - )) - (net nEXP_SPACE (joined - (portRef nEXP_SPACE) - (portRef I0 (instanceRef nEXP_SPACE)) - )) - (net BERR_c (joined - (portRef O (instanceRef BERR)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_2)) - (portRef I1 (instanceRef state_machine_un1_as_030)) - (portRef I0 (instanceRef BERR_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_4)) - )) - (net BERR (joined - (portRef BERR) - (portRef I0 (instanceRef BERR)) - )) - (net BG_030_c (joined - (portRef O (instanceRef BG_030)) - (portRef I0 (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_030_c_i)) - )) - (net BG_030 (joined - (portRef BG_030) - (portRef I0 (instanceRef BG_030)) - )) - (net BG_000_c (joined - (portRef Q (instanceRef BG_000DFFSH)) - (portRef I0 (instanceRef BG_000_0_n)) - (portRef I0 (instanceRef BG_000)) - )) - (net BG_000 (joined - (portRef O (instanceRef BG_000)) - (portRef BG_000) - )) - (net BGACK_030 (joined - (portRef O (instanceRef BGACK_030)) - (portRef BGACK_030) - )) - (net BGACK_000_c (joined - (portRef O (instanceRef BGACK_000)) - (portRef I0 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef state_machine_un4_bgack_000)) - (portRef I1 (instanceRef un19_fpu_cs_5_1)) - )) - (net BGACK_000 (joined - (portRef BGACK_000) - (portRef I0 (instanceRef BGACK_000)) - )) - (net CLK_030_c (joined - (portRef O (instanceRef CLK_030)) - (portRef I1 (instanceRef state_machine_un24_bgack_030_int)) - (portRef I0 (instanceRef CLK_030_i)) - )) - (net CLK_030 (joined - (portRef CLK_030) - (portRef I0 (instanceRef CLK_030)) - )) - (net CLK_000_c (joined - (portRef O (instanceRef CLK_000)) - (portRef I1 (instanceRef state_machine_un6_bg_030)) - (portRef D (instanceRef CLK_000_D0)) - )) - (net CLK_000 (joined - (portRef CLK_000) - (portRef I0 (instanceRef CLK_000)) - )) - (net CLK_OSZI_c (joined - (portRef O (instanceRef CLK_OSZI)) - (portRef I0 (instanceRef CLK_OSZI_i)) - (portRef CLK (instanceRef A0_DMA)) - (portRef CLK (instanceRef AMIGA_BUS_ENABLE_INT)) - (portRef CLK (instanceRef AS_000_DMA)) - (portRef CLK (instanceRef AS_000_INT)) - (portRef CLK (instanceRef AS_030_000_SYNC)) - (portRef CLK (instanceRef BGACK_030_INT)) - (portRef CLK (instanceRef BGACK_030_INT_D)) - (portRef CLK (instanceRef BG_000DFFSH)) - (portRef CLK (instanceRef CLK_000_D0)) - (portRef CLK (instanceRef CLK_000_D1)) - (portRef CLK (instanceRef CLK_000_D2)) - (portRef CLK (instanceRef CLK_000_D3)) - (portRef CLK (instanceRef CLK_000_NE)) - (portRef CLK (instanceRef CLK_000_N_SYNC_0)) - (portRef CLK (instanceRef CLK_000_N_SYNC_1)) - (portRef CLK (instanceRef CLK_000_N_SYNC_2)) - (portRef CLK (instanceRef CLK_000_N_SYNC_3)) - (portRef CLK (instanceRef CLK_000_N_SYNC_4)) - (portRef CLK (instanceRef CLK_000_N_SYNC_5)) - (portRef CLK (instanceRef CLK_000_N_SYNC_6)) - (portRef CLK (instanceRef CLK_000_N_SYNC_7)) - (portRef CLK (instanceRef CLK_000_N_SYNC_8)) - (portRef CLK (instanceRef CLK_000_N_SYNC_9)) - (portRef CLK (instanceRef CLK_000_N_SYNC_10)) - (portRef CLK (instanceRef CLK_000_N_SYNC_11)) - (portRef CLK (instanceRef CLK_000_P_SYNC_0)) - (portRef CLK (instanceRef CLK_000_P_SYNC_1)) - (portRef CLK (instanceRef CLK_000_P_SYNC_2)) - (portRef CLK (instanceRef CLK_000_P_SYNC_3)) - (portRef CLK (instanceRef CLK_000_P_SYNC_4)) - (portRef CLK (instanceRef CLK_000_P_SYNC_5)) - (portRef CLK (instanceRef CLK_000_P_SYNC_6)) - (portRef CLK (instanceRef CLK_000_P_SYNC_7)) - (portRef CLK (instanceRef CLK_000_P_SYNC_8)) - (portRef CLK (instanceRef CLK_000_P_SYNC_9)) - (portRef CLK (instanceRef CLK_030_H)) - (portRef CLK (instanceRef CLK_CNT_P_0)) - (portRef CLK (instanceRef CLK_CNT_P_1)) - (portRef CLK (instanceRef CLK_OUT_INT)) - (portRef CLK (instanceRef CLK_OUT_PRE)) - (portRef CLK (instanceRef CLK_OUT_PRE_25)) - (portRef CLK (instanceRef CLK_OUT_PRE_50)) - (portRef CLK (instanceRef CLK_OUT_PRE_50_D)) - (portRef CLK (instanceRef CLK_OUT_PRE_D)) - (portRef CLK (instanceRef DSACK1_INT)) - (portRef CLK (instanceRef DS_000_DMA)) - (portRef CLK (instanceRef DS_000_ENABLE)) - (portRef CLK (instanceRef IPL_030DFFSH_0)) - (portRef CLK (instanceRef IPL_030DFFSH_1)) - (portRef CLK (instanceRef IPL_030DFFSH_2)) - (portRef CLK (instanceRef LDS_000_INT)) - (portRef CLK (instanceRef RESETDFFRH)) - (portRef CLK (instanceRef RW_000_DMA)) - (portRef CLK (instanceRef RW_000_INT)) - (portRef CLK (instanceRef SIZE_DMA_0)) - (portRef CLK (instanceRef SIZE_DMA_1)) - (portRef CLK (instanceRef SM_AMIGA_0)) - (portRef CLK (instanceRef SM_AMIGA_1)) - (portRef CLK (instanceRef SM_AMIGA_2)) - (portRef CLK (instanceRef SM_AMIGA_3)) - (portRef CLK (instanceRef SM_AMIGA_4)) - (portRef CLK (instanceRef SM_AMIGA_5)) - (portRef CLK (instanceRef SM_AMIGA_6)) - (portRef CLK (instanceRef SM_AMIGA_7)) - (portRef CLK (instanceRef UDS_000_INT)) - (portRef CLK (instanceRef VMA_INT)) - (portRef CLK (instanceRef VPA_D)) - (portRef CLK (instanceRef avec_exp)) - (portRef CLK (instanceRef cpu_est_0)) - (portRef CLK (instanceRef cpu_est_1)) - (portRef CLK (instanceRef cpu_est_2)) - (portRef CLK (instanceRef cpu_est_3)) - )) - (net CLK_OSZI (joined - (portRef CLK_OSZI) - (portRef I0 (instanceRef CLK_OSZI)) - )) - (net CLK_DIV_OUT (joined - (portRef O (instanceRef CLK_DIV_OUT)) - (portRef CLK_DIV_OUT) - )) - (net CLK_EXP_c (joined - (portRef Q (instanceRef CLK_OUT_INT)) - (portRef I0 (instanceRef CLK_DIV_OUT)) - (portRef I0 (instanceRef CLK_EXP)) - )) - (net CLK_EXP (joined - (portRef O (instanceRef CLK_EXP)) - (portRef CLK_EXP) - )) - (net FPU_CS (joined - (portRef O (instanceRef FPU_CS)) - (portRef FPU_CS) - )) - (net (rename IPL_030_c_0 "IPL_030_c[0]") (joined - (portRef Q (instanceRef IPL_030DFFSH_0)) - (portRef I0 (instanceRef IPL_030_0_0__n)) - (portRef I0 (instanceRef IPL_030_0)) - )) - (net (rename IPL_030_0 "IPL_030[0]") (joined - (portRef O (instanceRef IPL_030_0)) - (portRef (member ipl_030 2)) - )) - (net (rename IPL_030_c_1 "IPL_030_c[1]") (joined - (portRef Q (instanceRef IPL_030DFFSH_1)) - (portRef I0 (instanceRef IPL_030_0_1__n)) - (portRef I0 (instanceRef IPL_030_1)) - )) - (net (rename IPL_030_1 "IPL_030[1]") (joined - (portRef O (instanceRef IPL_030_1)) - (portRef (member ipl_030 1)) - )) - (net (rename IPL_030_c_2 "IPL_030_c[2]") (joined - (portRef Q (instanceRef IPL_030DFFSH_2)) - (portRef I0 (instanceRef IPL_030_0_2__n)) - (portRef I0 (instanceRef IPL_030_2)) - )) - (net (rename IPL_030_2 "IPL_030[2]") (joined - (portRef O (instanceRef IPL_030_2)) - (portRef (member ipl_030 0)) - )) - (net (rename IPL_c_0 "IPL_c[0]") (joined - (portRef O (instanceRef IPL_0)) - (portRef I0 (instanceRef IPL_030_0_0__m)) - )) - (net (rename IPL_0 "IPL[0]") (joined - (portRef (member ipl 2)) - (portRef I0 (instanceRef IPL_0)) - )) - (net (rename IPL_c_1 "IPL_c[1]") (joined - (portRef O (instanceRef IPL_1)) - (portRef I0 (instanceRef IPL_030_0_1__m)) - )) - (net (rename IPL_1 "IPL[1]") (joined - (portRef (member ipl 1)) - (portRef I0 (instanceRef IPL_1)) - )) - (net (rename IPL_c_2 "IPL_c[2]") (joined - (portRef O (instanceRef IPL_2)) - (portRef I0 (instanceRef IPL_030_0_2__m)) - )) - (net (rename IPL_2 "IPL[2]") (joined - (portRef (member ipl 0)) - (portRef I0 (instanceRef IPL_2)) - )) - (net DSACK1_c (joined - (portRef O (instanceRef DSACK1)) - (portRef I0 (instanceRef DTACK)) - )) - (net DSACK1 (joined - (portRef IO (instanceRef DSACK1)) - (portRef DSACK1) - )) - (net DTACK_c (joined - (portRef O (instanceRef DTACK)) - (portRef I0 (instanceRef I_187)) - )) - (net DTACK (joined - (portRef IO (instanceRef DTACK)) - (portRef DTACK) - )) - (net AVEC (joined - (portRef O (instanceRef AVEC)) - (portRef AVEC) - )) - (net AVEC_EXP (joined - (portRef O (instanceRef AVEC_EXPZ0)) - (portRef AVEC_EXP) - )) - (net E (joined - (portRef O (instanceRef E)) - (portRef E) - )) - (net VPA_c (joined - (portRef O (instanceRef VPA)) - (portRef I1 (instanceRef state_machine_un9_clk_000_ne)) - (portRef I0 (instanceRef VPA_i)) - (portRef D (instanceRef VPA_D)) - )) - (net VPA (joined - (portRef VPA) - (portRef I0 (instanceRef VPA)) - )) - (net VMA (joined - (portRef O (instanceRef VMA)) - (portRef VMA) - )) - (net RST_c (joined - (portRef O (instanceRef RST)) - (portRef I0 (instanceRef RST_i)) - (portRef I1 (instanceRef CLK_030_H_0_m)) - (portRef I0 (instanceRef CLK_030_H_0_r)) - )) - (net RST (joined - (portRef RST) - (portRef I0 (instanceRef RST)) - )) - (net RESET_c (joined - (portRef Q (instanceRef RESETDFFRH)) - (portRef I0 (instanceRef RESET)) - )) - (net RESET (joined - (portRef O (instanceRef RESET)) - (portRef RESET) - )) - (net RW_c (joined - (portRef O (instanceRef RW)) - (portRef I0 (instanceRef I_186)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) - (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa_1)) - )) - (net RW (joined - (portRef IO (instanceRef RW)) - (portRef RW) - )) - (net (rename FC_c_0 "FC_c[0]") (joined - (portRef O (instanceRef FC_0)) - (portRef I0 (instanceRef un19_fpu_cs_5_2)) - )) - (net (rename FC_0 "FC[0]") (joined - (portRef (member fc 1)) - (portRef I0 (instanceRef FC_0)) - )) - (net (rename FC_c_1 "FC_c[1]") (joined - (portRef O (instanceRef FC_1)) - (portRef I1 (instanceRef un19_fpu_cs_5_2)) - )) - (net (rename FC_1 "FC[1]") (joined - (portRef (member fc 0)) - (portRef I0 (instanceRef FC_1)) - )) - (net AMIGA_BUS_ENABLE (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE)) - (portRef AMIGA_BUS_ENABLE) - )) - (net AMIGA_BUS_DATA_DIR_c (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR)) - )) - (net AMIGA_BUS_DATA_DIR (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR)) - (portRef AMIGA_BUS_DATA_DIR) - )) - (net AMIGA_BUS_ENABLE_LOW (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_LOW)) - (portRef AMIGA_BUS_ENABLE_LOW) - )) - (net CIIN (joined - (portRef O (instanceRef CIIN)) - (portRef CIIN) - )) - (net un8_ciin_i (joined - (portRef O (instanceRef un8_ciin_i)) - (portRef I1 (instanceRef un14_ciin)) - )) - (net un14_ciin_0 (joined - (portRef O (instanceRef un14_ciin)) - (portRef I0 (instanceRef un14_ciin_i)) - )) - (net (rename state_machine_un1_as_030_i "state_machine.un1_as_030_i") (joined - (portRef O (instanceRef state_machine_un1_as_030)) - (portRef I1 (instanceRef AS_000_INT_1_sqmuxa)) - (portRef I1 (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1)) - (portRef I0 (instanceRef un2_as_030)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa)) - (portRef I0 (instanceRef state_machine_un1_as_030_i_0)) - )) - (net N_105_i (joined - (portRef O (instanceRef N_105_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_1)) - )) - (net N_106_i (joined - (portRef O (instanceRef N_106_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_1)) - )) - (net (rename SM_AMIGA_ns_0_1 "SM_AMIGA_ns_0[1]") (joined - (portRef O (instanceRef SM_AMIGA_ns_1)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_1)) - )) - (net N_107_i (joined - (portRef O (instanceRef N_107_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_2)) - )) - (net (rename SM_AMIGA_ns_0_2 "SM_AMIGA_ns_0[2]") (joined - (portRef O (instanceRef SM_AMIGA_ns_2)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_2)) - )) - (net N_109_i (joined - (portRef O (instanceRef N_109_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_3)) - )) - (net N_108_i (joined - (portRef O (instanceRef N_108_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_3)) - )) - (net (rename SM_AMIGA_ns_0_3 "SM_AMIGA_ns_0[3]") (joined - (portRef O (instanceRef SM_AMIGA_ns_3)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_3)) - )) - (net N_111_i (joined - (portRef O (instanceRef N_111_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_5)) - )) - (net N_112_i (joined - (portRef O (instanceRef N_112_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_5)) - )) - (net (rename SM_AMIGA_ns_0_5 "SM_AMIGA_ns_0[5]") (joined - (portRef O (instanceRef SM_AMIGA_ns_5)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_5)) - )) - (net N_114_i (joined - (portRef O (instanceRef N_114_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_6)) - )) - (net N_113_i (joined - (portRef O (instanceRef N_113_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_6)) - )) - (net (rename SM_AMIGA_ns_0_6 "SM_AMIGA_ns_0[6]") (joined - (portRef O (instanceRef SM_AMIGA_ns_6)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_6)) - )) - (net N_91_i (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_1)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_3)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_5)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_i_0)) - )) - (net N_92_i (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_0_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_5)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_0_i_0)) - )) - (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined - (portRef O (instanceRef SM_AMIGA_i_5)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_1_0)) - )) - (net N_93_i (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_1_i_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_3_1_0)) - )) - (net N_95_0 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_o4_7)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_o4_i_7)) - )) - (net un3_dtack_i (joined - (portRef O (instanceRef un3_dtack)) - (portRef OE (instanceRef A0)) - (portRef OE (instanceRef AS_030)) - (portRef OE (instanceRef DS_030)) - (portRef OE (instanceRef DTACK)) - (portRef OE (instanceRef SIZE_0)) - (portRef OE (instanceRef SIZE_1)) - )) - (net (rename state_machine_un3_bgack_030_int_d_i "state_machine.un3_bgack_030_int_d_i") (joined - (portRef O (instanceRef state_machine_un3_bgack_030_int_d_i)) - (portRef I0 (instanceRef un1_bgack_030_int_d_1)) - )) - (net un1_bgack_030_int_d_0 (joined - (portRef O (instanceRef un1_bgack_030_int_d)) - (portRef I0 (instanceRef un1_bgack_030_int_d_i)) - )) - (net AMIGA_BUS_ENABLE_INT_3_sqmuxa_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa_i)) - (portRef I1 (instanceRef un1_bgack_030_int_d)) - )) - (net AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i)) - (portRef I1 (instanceRef un1_bgack_030_int_d_1)) - )) - (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined - (portRef O (instanceRef SM_AMIGA_i_6)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_2_0)) - )) - (net N_96_i (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_2_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_2_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_1_0)) - )) - (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined - (portRef O (instanceRef SM_AMIGA_i_2)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_2_1_0)) - )) - (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined - (portRef O (instanceRef SM_AMIGA_i_4)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_2_1_0)) - )) - (net N_104_i (joined - (portRef O (instanceRef N_104_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_2_0)) - )) - (net N_102_i (joined - (portRef O (instanceRef N_102_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_1_0)) - )) - (net N_101_i (joined - (portRef O (instanceRef N_101_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_1_0)) - )) - (net N_103_i (joined - (portRef O (instanceRef N_103_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_7)) - (portRef I1 (instanceRef SM_AMIGA_ns_0)) - )) - (net N_100_i (joined - (portRef O (instanceRef N_100_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_2_0)) - )) - (net N_115_i (joined - (portRef O (instanceRef N_115_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_i_7)) - )) - (net N_84_i (joined - (portRef O (instanceRef SM_AMIGA_ns_i_7)) - (portRef D (instanceRef SM_AMIGA_0)) - )) - (net SM_AMIGA_0_sqmuxa_i (joined - (portRef O (instanceRef SM_AMIGA_0_sqmuxa_i)) - (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_2)) - (portRef I1 (instanceRef SM_AMIGA_ns_4)) - )) - (net N_110_i (joined - (portRef O (instanceRef N_110_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_4)) - )) - (net (rename SM_AMIGA_ns_0_4 "SM_AMIGA_ns_0[4]") (joined - (portRef O (instanceRef SM_AMIGA_ns_4)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_4)) - )) - (net (rename SM_AMIGA_ns_0_0 "SM_AMIGA_ns_0[0]") (joined - (portRef O (instanceRef SM_AMIGA_ns_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_0)) - )) - (net AMIGA_BUS_ENABLE_INT_2_sqmuxa_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - )) - (net AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - )) - (net un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) - )) - (net (rename state_machine_un5_bgack_030_int_d_i "state_machine.un5_bgack_030_int_d_i") (joined - (portRef O (instanceRef state_machine_un5_bgack_030_int_d)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_2)) - )) - (net CLK_030_H_i (joined - (portRef O (instanceRef CLK_030_H_i)) - (portRef I1 (instanceRef state_machine_CLK_030_H_2_f1)) - )) - (net CLK_030_H_1_sqmuxa_i (joined - (portRef O (instanceRef CLK_030_H_1_sqmuxa_i)) - (portRef I0 (instanceRef state_machine_CLK_030_H_2_f1)) - )) - (net (rename state_machine_CLK_030_H_2_f1_0 "state_machine.CLK_030_H_2_f1_0") (joined - (portRef O (instanceRef state_machine_CLK_030_H_2_f1)) - (portRef I0 (instanceRef state_machine_CLK_030_H_2_f1_i)) - )) - (net (rename state_machine_SIZE_DMA_4_0_1 "state_machine.SIZE_DMA_4_0[1]") (joined - (portRef O (instanceRef state_machine_SIZE_DMA_4_1)) - (portRef I0 (instanceRef state_machine_SIZE_DMA_4_i_1)) - )) - (net (rename state_machine_SIZE_DMA_4_0_0 "state_machine.SIZE_DMA_4_0[0]") (joined - (portRef O (instanceRef state_machine_SIZE_DMA_4_0)) - (portRef I0 (instanceRef state_machine_SIZE_DMA_4_i_0)) - )) - (net (rename state_machine_un10_bgack_030_int_0 "state_machine.un10_bgack_030_int_0") (joined - (portRef O (instanceRef state_machine_un10_bgack_030_int)) - (portRef I0 (instanceRef state_machine_un10_bgack_030_int_i)) - )) - (net (rename state_machine_un26_clk_000_pe_i "state_machine.un26_clk_000_pe_i") (joined - (portRef O (instanceRef state_machine_un26_clk_000_pe_i)) - (portRef I1 (instanceRef state_machine_un28_clk_000_pe)) - )) - (net (rename state_machine_un5_clk_000_ne_i "state_machine.un5_clk_000_ne_i") (joined - (portRef O (instanceRef state_machine_un5_clk_000_ne_i)) - (portRef I0 (instanceRef state_machine_un28_clk_000_pe)) - )) - (net (rename state_machine_un28_clk_000_pe_0 "state_machine.un28_clk_000_pe_0") (joined - (portRef O (instanceRef state_machine_un28_clk_000_pe)) - (portRef I0 (instanceRef state_machine_un28_clk_000_pe_i)) - )) - (net (rename cpu_est_ns_0_1 "cpu_est_ns_0[1]") (joined - (portRef O (instanceRef cpu_est_ns_0_1)) - (portRef I0 (instanceRef cpu_est_ns_0_i_1)) - )) - (net N_174_i (joined - (portRef O (instanceRef N_174_i)) - (portRef I1 (instanceRef cpu_est_ns_i_3)) - )) - (net N_173_i (joined - (portRef O (instanceRef N_173_i)) - (portRef I0 (instanceRef cpu_est_ns_i_3)) - )) - (net N_164_i (joined - (portRef O (instanceRef cpu_est_ns_i_3)) - (portRef I0 (instanceRef cpu_estse_2_m)) - )) - (net N_168_i (joined - (portRef O (instanceRef N_168_i)) - (portRef I1 (instanceRef cpu_est_ns_0_1_1)) - )) - (net N_167_i (joined - (portRef O (instanceRef N_167_i)) - (portRef I0 (instanceRef cpu_est_ns_0_1_1)) - )) - (net N_175_i (joined - (portRef O (instanceRef N_175_i)) - (portRef I1 (instanceRef cpu_est_ns_0_2_1)) - )) - (net N_169_i (joined - (portRef O (instanceRef N_169_i)) - (portRef I0 (instanceRef cpu_est_ns_0_2_1)) - )) - (net (rename state_machine_un26_clk_000_pe_2_i "state_machine.un26_clk_000_pe_2_i") (joined - (portRef O (instanceRef state_machine_un26_clk_000_pe_2_i)) - (portRef I1 (instanceRef cpu_est_ns_0_2)) - )) - (net N_171_i (joined - (portRef O (instanceRef N_171_i)) - (portRef I1 (instanceRef cpu_est_ns_0_1_2)) - )) - (net N_172_i (joined - (portRef O (instanceRef N_172_i)) - (portRef I0 (instanceRef cpu_est_ns_0_1_2)) - )) - (net (rename cpu_est_ns_0_2 "cpu_est_ns_0[2]") (joined - (portRef O (instanceRef cpu_est_ns_0_2)) - (portRef I0 (instanceRef cpu_est_ns_0_i_2)) - )) - (net N_179_i (joined - (portRef O (instanceRef N_179_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0)) - )) - (net N_178_i (joined - (portRef O (instanceRef N_178_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0)) - )) - (net AMIGA_BUS_DATA_DIR_c_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_i)) - )) - (net DS_000_ENABLE_0_sqmuxa_i (joined - (portRef O (instanceRef DS_000_ENABLE_0_sqmuxa_i)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_2)) - )) - (net un1_SM_AMIGA_0_sqmuxa_2_i (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_2)) - (portRef I1 (instanceRef un2_as_030)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_i_0)) - )) - (net (rename state_machine_RW_000_INT_3_0 "state_machine.RW_000_INT_3_0") (joined - (portRef O (instanceRef state_machine_RW_000_INT_3)) - (portRef I0 (instanceRef state_machine_RW_000_INT_3_i)) - )) - (net N_75_0 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_i)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_i_i)) - )) - (net (rename state_machine_un9_clk_000_ne_i "state_machine.un9_clk_000_ne_i") (joined - (portRef O (instanceRef state_machine_un9_clk_000_ne_i)) - (portRef I0 (instanceRef state_machine_un11_clk_000_ne)) - )) - (net (rename state_machine_un15_clk_000_ne_i "state_machine.un15_clk_000_ne_i") (joined - (portRef O (instanceRef state_machine_un15_clk_000_ne_i)) - (portRef I1 (instanceRef state_machine_un11_clk_000_ne)) - )) - (net (rename state_machine_un11_clk_000_ne_i "state_machine.un11_clk_000_ne_i") (joined - (portRef O (instanceRef state_machine_un11_clk_000_ne)) - (portRef I0 (instanceRef state_machine_un11_clk_000_ne_i_0)) - )) - (net BG_030_c_i (joined - (portRef O (instanceRef BG_030_c_i)) - (portRef I0 (instanceRef state_machine_un8_bg_030)) - )) - (net (rename state_machine_un6_bg_030_i "state_machine.un6_bg_030_i") (joined - (portRef O (instanceRef state_machine_un6_bg_030_i)) - (portRef I1 (instanceRef state_machine_un8_bg_030)) - )) - (net (rename state_machine_un8_bg_030_0 "state_machine.un8_bg_030_0") (joined - (portRef O (instanceRef state_machine_un8_bg_030)) - (portRef I0 (instanceRef state_machine_un8_bg_030_i)) - )) - (net (rename state_machine_un4_bgack_000_0 "state_machine.un4_bgack_000_0") (joined - (portRef O (instanceRef state_machine_un4_bgack_000)) - (portRef I0 (instanceRef state_machine_un4_bgack_000_i)) - )) - (net un2_clk_pre_66_i (joined - (portRef O (instanceRef un2_clk_pre_66_i)) - (portRef I0 (instanceRef CLK_PRE_66)) - )) - (net un6_clk_pre_66_i (joined - (portRef O (instanceRef un6_clk_pre_66_i)) - (portRef I1 (instanceRef CLK_PRE_66)) - )) - (net CLK_PRE_66_0 (joined - (portRef O (instanceRef CLK_PRE_66)) - (portRef I0 (instanceRef CLK_PRE_66_i)) - )) - (net LDS_000_INT_i (joined - (portRef O (instanceRef LDS_000_INT_i)) - (portRef I1 (instanceRef un1_LDS_000_INT)) - )) - (net un1_LDS_000_INT_0 (joined - (portRef O (instanceRef un1_LDS_000_INT)) - (portRef I0 (instanceRef un1_LDS_000_INT_i)) - )) - (net UDS_000_INT_i (joined - (portRef O (instanceRef UDS_000_INT_i)) - (portRef I1 (instanceRef un1_UDS_000_INT)) - )) - (net un1_UDS_000_INT_0 (joined - (portRef O (instanceRef un1_UDS_000_INT)) - (portRef I0 (instanceRef un1_UDS_000_INT_i)) - )) - (net (rename state_machine_un7_ds_030_i "state_machine.un7_ds_030_i") (joined - (portRef O (instanceRef state_machine_un7_ds_030)) - (portRef I0 (instanceRef LDS_000_INT_0_n)) - )) - (net A0_c_i (joined - (portRef O (instanceRef A0_c_i)) - (portRef I1 (instanceRef state_machine_un7_ds_030_1)) - )) - (net (rename SIZE_c_i_1 "SIZE_c_i[1]") (joined - (portRef O (instanceRef SIZE_c_i_1)) - (portRef I0 (instanceRef state_machine_un7_ds_030_1)) - )) - (net (rename cpu_est_ns_0_1_2 "cpu_est_ns_0_1[2]") (joined - (portRef O (instanceRef cpu_est_ns_0_1_2)) - (portRef I0 (instanceRef cpu_est_ns_0_2)) - )) - (net (rename SM_AMIGA_ns_0_1_0 "SM_AMIGA_ns_0_1[0]") (joined - (portRef O (instanceRef SM_AMIGA_ns_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_3_0)) - )) - (net (rename SM_AMIGA_ns_0_2_0 "SM_AMIGA_ns_0_2[0]") (joined - (portRef O (instanceRef SM_AMIGA_ns_2_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_3_0)) - )) - (net (rename SM_AMIGA_ns_0_3_0 "SM_AMIGA_ns_0_3[0]") (joined - (portRef O (instanceRef SM_AMIGA_ns_3_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_0)) - )) - (net un3_dtack_i_1 (joined - (portRef O (instanceRef un3_dtack_1)) - (portRef I0 (instanceRef un3_dtack)) - )) - (net (rename state_machine_un7_ds_030_i_1 "state_machine.un7_ds_030_i_1") (joined - (portRef O (instanceRef state_machine_un7_ds_030_1)) - (portRef I0 (instanceRef state_machine_un7_ds_030)) - )) - (net un19_fpu_cs_5_1 (joined - (portRef O (instanceRef un19_fpu_cs_5_1)) - (portRef I0 (instanceRef un19_fpu_cs_5)) - )) - (net un19_fpu_cs_5_2 (joined - (portRef O (instanceRef un19_fpu_cs_5_2)) - (portRef I1 (instanceRef un19_fpu_cs_5)) - )) - (net un19_fpu_cs_1 (joined - (portRef O (instanceRef un19_fpu_cs_1)) - (portRef I0 (instanceRef un19_fpu_cs_3)) - )) - (net un19_fpu_cs_2 (joined - (portRef O (instanceRef un19_fpu_cs_2)) - (portRef I1 (instanceRef un19_fpu_cs_3)) - )) - (net un19_fpu_cs_3 (joined - (portRef O (instanceRef un19_fpu_cs_3)) - (portRef I0 (instanceRef un19_fpu_cs)) - )) - (net AS_030_000_SYNC_0_sqmuxa_1 (joined - (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa_1)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_sqmuxa)) - )) - (net AS_030_000_SYNC_0_sqmuxa_2 (joined - (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa_2)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_sqmuxa)) - )) - (net (rename state_machine_un28_as_030_1 "state_machine.un28_as_030_1") (joined - (portRef O (instanceRef state_machine_un28_as_030_1)) - (portRef I0 (instanceRef state_machine_un28_as_030)) - )) - (net (rename state_machine_un28_as_030_2 "state_machine.un28_as_030_2") (joined - (portRef O (instanceRef state_machine_un28_as_030_2)) - (portRef I1 (instanceRef state_machine_un28_as_030)) - )) - (net (rename state_machine_un15_clk_000_ne_1_0 "state_machine.un15_clk_000_ne_1_0") (joined - (portRef O (instanceRef state_machine_un15_clk_000_ne_1_0)) - (portRef I0 (instanceRef state_machine_un15_clk_000_ne)) - )) - (net (rename state_machine_un6_bg_030_1 "state_machine.un6_bg_030_1") (joined - (portRef O (instanceRef state_machine_un6_bg_030_1)) - (portRef I0 (instanceRef state_machine_un6_bg_030)) - )) - (net (rename state_machine_CLK_000_P_SYNC_3_1_0 "state_machine.CLK_000_P_SYNC_3_1[0]") (joined - (portRef O (instanceRef state_machine_CLK_000_P_SYNC_3_1_0)) - (portRef I0 (instanceRef state_machine_CLK_000_P_SYNC_3_0)) - )) - (net (rename state_machine_CLK_000_P_SYNC_3_2_0 "state_machine.CLK_000_P_SYNC_3_2[0]") (joined - (portRef O (instanceRef state_machine_CLK_000_P_SYNC_3_2_0)) - (portRef I1 (instanceRef state_machine_CLK_000_P_SYNC_3_0)) - )) - (net (rename state_machine_CLK_000_N_SYNC_2_1_0 "state_machine.CLK_000_N_SYNC_2_1[0]") (joined - (portRef O (instanceRef state_machine_CLK_000_N_SYNC_2_1_0)) - (portRef I0 (instanceRef state_machine_CLK_000_N_SYNC_2_0)) - )) - (net SM_AMIGA_0_sqmuxa_1_1 (joined - (portRef O (instanceRef SM_AMIGA_0_sqmuxa_1_1)) - (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_1)) - )) - (net DS_000_ENABLE_0_sqmuxa_1 (joined - (portRef O (instanceRef DS_000_ENABLE_0_sqmuxa_1)) - (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa)) - )) - (net N_179_1_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) - )) - (net (rename state_machine_un26_clk_000_pe_1 "state_machine.un26_clk_000_pe_1") (joined - (portRef O (instanceRef state_machine_un26_clk_000_pe_1)) - (portRef I0 (instanceRef state_machine_un26_clk_000_pe)) - )) - (net (rename state_machine_un26_clk_000_pe_4_1 "state_machine.un26_clk_000_pe_4_1") (joined - (portRef O (instanceRef state_machine_un26_clk_000_pe_4_1)) - (portRef I0 (instanceRef state_machine_un26_clk_000_pe_4)) - )) - (net (rename state_machine_un5_clk_000_ne_1 "state_machine.un5_clk_000_ne_1") (joined - (portRef O (instanceRef state_machine_un5_clk_000_ne_1)) - (portRef I0 (instanceRef state_machine_un5_clk_000_ne)) - )) - (net (rename state_machine_un5_clk_000_ne_2 "state_machine.un5_clk_000_ne_2") (joined - (portRef O (instanceRef state_machine_un5_clk_000_ne_2)) - (portRef I1 (instanceRef state_machine_un5_clk_000_ne)) - )) - (net (rename cpu_est_ns_0_1_1 "cpu_est_ns_0_1[1]") (joined - (portRef O (instanceRef cpu_est_ns_0_1_1)) - (portRef I0 (instanceRef cpu_est_ns_0_1)) - )) - (net (rename cpu_est_ns_0_2_1 "cpu_est_ns_0_2[1]") (joined - (portRef O (instanceRef cpu_est_ns_0_2_1)) - (portRef I1 (instanceRef cpu_est_ns_0_1)) - )) - (net AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - )) - (net AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_2)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - )) - (net N_96_i_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_2_0)) - )) - (net N_110_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_4)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_4)) - )) - (net N_100_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_0_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0)) - )) - (net N_101_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_0)) - )) - (net N_102_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_0)) - )) - (net N_104_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_3_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_3_3_0)) - )) - (net N_104_2 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_3_2_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_3_0)) - )) - (net N_104_3 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_3_3_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_3_0)) - )) - (net un1_bgack_030_int_d_0_1 (joined - (portRef O (instanceRef un1_bgack_030_int_d_1)) - (portRef I0 (instanceRef un1_bgack_030_int_d)) - )) - (net un12_ciin_1 (joined - (portRef O (instanceRef un12_ciin_1)) - (portRef I0 (instanceRef un12_ciin_5)) - )) - (net un12_ciin_2 (joined - (portRef O (instanceRef un12_ciin_2)) - (portRef I1 (instanceRef un12_ciin_5)) - )) - (net un12_ciin_3 (joined - (portRef O (instanceRef un12_ciin_3)) - (portRef I0 (instanceRef un12_ciin_6)) - )) - (net un12_ciin_4 (joined - (portRef O (instanceRef un12_ciin_4)) - (portRef I1 (instanceRef un12_ciin_6)) - )) - (net un12_ciin_5 (joined - (portRef O (instanceRef un12_ciin_5)) - (portRef I0 (instanceRef un12_ciin)) - )) - (net un12_ciin_6 (joined - (portRef O (instanceRef un12_ciin_6)) - (portRef I1 (instanceRef un12_ciin)) - )) - (net un5_ciin_1 (joined - (portRef O (instanceRef un5_ciin_1)) - (portRef I0 (instanceRef un5_ciin_7)) - )) - (net un5_ciin_2 (joined - (portRef O (instanceRef un5_ciin_2)) - (portRef I1 (instanceRef un5_ciin_7)) - )) - (net un5_ciin_3 (joined - (portRef O (instanceRef un5_ciin_3)) - (portRef I0 (instanceRef un5_ciin_8)) - )) - (net un5_ciin_4 (joined - (portRef O (instanceRef un5_ciin_4)) - (portRef I1 (instanceRef un5_ciin_8)) - )) - (net un5_ciin_5 (joined - (portRef O (instanceRef un5_ciin_5)) - (portRef I0 (instanceRef un5_ciin_9)) - )) - (net un5_ciin_6 (joined - (portRef O (instanceRef un5_ciin_6)) - (portRef I1 (instanceRef un5_ciin_9)) - )) - (net un5_ciin_7 (joined - (portRef O (instanceRef un5_ciin_7)) - (portRef I0 (instanceRef un5_ciin_10)) - )) - (net un5_ciin_8 (joined - (portRef O (instanceRef un5_ciin_8)) - (portRef I1 (instanceRef un5_ciin_10)) - )) - (net un5_ciin_9 (joined - (portRef O (instanceRef un5_ciin_9)) - (portRef I0 (instanceRef un5_ciin_11)) - )) - (net un5_ciin_10 (joined - (portRef O (instanceRef un5_ciin_10)) - (portRef I0 (instanceRef un5_ciin)) - )) - (net un5_ciin_11 (joined - (portRef O (instanceRef un5_ciin_11)) - (portRef I1 (instanceRef un5_ciin)) - )) - (net GND (joined - )) - (net (rename AMIGA_BUS_ENABLE_INT_0_un3 "AMIGA_BUS_ENABLE_INT_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_0_n)) - )) - (net (rename AMIGA_BUS_ENABLE_INT_0_un1 "AMIGA_BUS_ENABLE_INT_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_INT_0_un0 "AMIGA_BUS_ENABLE_INT_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_0_p)) - )) - (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined - (portRef O (instanceRef DS_000_DMA_0_r)) - (portRef I1 (instanceRef DS_000_DMA_0_n)) - )) - (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined - (portRef O (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined - (portRef O (instanceRef DS_000_DMA_0_n)) - (portRef I1 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined - (portRef O (instanceRef AS_000_DMA_0_r)) - (portRef I1 (instanceRef AS_000_DMA_0_n)) - )) - (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined - (portRef O (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined - (portRef O (instanceRef AS_000_DMA_0_n)) - (portRef I1 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename cpu_estse_2_un3 "cpu_estse_2.un3") (joined - (portRef O (instanceRef cpu_estse_2_r)) - (portRef I1 (instanceRef cpu_estse_2_n)) - )) - (net (rename cpu_estse_2_un1 "cpu_estse_2.un1") (joined - (portRef O (instanceRef cpu_estse_2_m)) - (portRef I0 (instanceRef cpu_estse_2_p)) - )) - (net (rename cpu_estse_2_un0 "cpu_estse_2.un0") (joined - (portRef O (instanceRef cpu_estse_2_n)) - (portRef I1 (instanceRef cpu_estse_2_p)) - )) - (net (rename cpu_estse_1_un3 "cpu_estse_1.un3") (joined - (portRef O (instanceRef cpu_estse_1_r)) - (portRef I1 (instanceRef cpu_estse_1_n)) - )) - (net (rename cpu_estse_1_un1 "cpu_estse_1.un1") (joined - (portRef O (instanceRef cpu_estse_1_m)) - (portRef I0 (instanceRef cpu_estse_1_p)) - )) - (net (rename cpu_estse_1_un0 "cpu_estse_1.un0") (joined - (portRef O (instanceRef cpu_estse_1_n)) - (portRef I1 (instanceRef cpu_estse_1_p)) - )) - (net (rename cpu_estse_0_un3 "cpu_estse_0.un3") (joined - (portRef O (instanceRef cpu_estse_0_r)) - (portRef I1 (instanceRef cpu_estse_0_n)) - )) - (net (rename cpu_estse_0_un1 "cpu_estse_0.un1") (joined - (portRef O (instanceRef cpu_estse_0_m)) - (portRef I0 (instanceRef cpu_estse_0_p)) - )) - (net (rename cpu_estse_0_un0 "cpu_estse_0.un0") (joined - (portRef O (instanceRef cpu_estse_0_n)) - (portRef I1 (instanceRef cpu_estse_0_p)) - )) - (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined - (portRef O (instanceRef VMA_INT_0_r)) - (portRef I1 (instanceRef VMA_INT_0_n)) - )) - (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined - (portRef O (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_p)) - )) - (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined - (portRef O (instanceRef VMA_INT_0_n)) - (portRef I1 (instanceRef VMA_INT_0_p)) - )) - (net (rename CLK_030_H_0_un3 "CLK_030_H_0.un3") (joined - (portRef O (instanceRef CLK_030_H_0_r)) - (portRef I1 (instanceRef CLK_030_H_0_n)) - )) - (net (rename CLK_030_H_0_un1 "CLK_030_H_0.un1") (joined - (portRef O (instanceRef CLK_030_H_0_m)) - (portRef I0 (instanceRef CLK_030_H_0_p)) - )) - (net (rename CLK_030_H_0_un0 "CLK_030_H_0.un0") (joined - (portRef O (instanceRef CLK_030_H_0_n)) - (portRef I1 (instanceRef CLK_030_H_0_p)) - )) - (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined - (portRef O (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_n)) - )) - (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined - (portRef O (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_p)) - )) - (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined - (portRef O (instanceRef RW_000_DMA_0_n)) - (portRef I1 (instanceRef RW_000_DMA_0_p)) - )) - (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined - (portRef O (instanceRef RW_000_INT_0_r)) - (portRef I1 (instanceRef RW_000_INT_0_n)) - )) - (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined - (portRef O (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_p)) - )) - (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined - (portRef O (instanceRef RW_000_INT_0_n)) - (portRef I1 (instanceRef RW_000_INT_0_p)) - )) - (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined - (portRef O (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef IPL_030_0_2__n)) - )) - (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined - (portRef O (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__p)) - )) - (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined - (portRef O (instanceRef IPL_030_0_2__n)) - (portRef I1 (instanceRef IPL_030_0_2__p)) - )) - (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined - (portRef O (instanceRef IPL_030_0_1__r)) - (portRef I1 (instanceRef IPL_030_0_1__n)) - )) - (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined - (portRef O (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__p)) - )) - (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined - (portRef O (instanceRef IPL_030_0_1__n)) - (portRef I1 (instanceRef IPL_030_0_1__p)) - )) - (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined - (portRef O (instanceRef IPL_030_0_0__r)) - (portRef I1 (instanceRef IPL_030_0_0__n)) - )) - (net (rename IPL_030_0_0__un1 "IPL_030_0_0_.un1") (joined - (portRef O (instanceRef IPL_030_0_0__m)) - (portRef I0 (instanceRef IPL_030_0_0__p)) - )) - (net (rename IPL_030_0_0__un0 "IPL_030_0_0_.un0") (joined - (portRef O (instanceRef IPL_030_0_0__n)) - (portRef I1 (instanceRef IPL_030_0_0__p)) - )) - (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_r)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) - )) - (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_n)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename AS_000_INT_0_un3 "AS_000_INT_0.un3") (joined - (portRef O (instanceRef AS_000_INT_0_r)) - (portRef I1 (instanceRef AS_000_INT_0_n)) - )) - (net (rename AS_000_INT_0_un1 "AS_000_INT_0.un1") (joined - (portRef O (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_p)) - )) - (net (rename AS_000_INT_0_un0 "AS_000_INT_0.un0") (joined - (portRef O (instanceRef AS_000_INT_0_n)) - (portRef I1 (instanceRef AS_000_INT_0_p)) - )) - (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined - (portRef O (instanceRef DS_000_ENABLE_0_r)) - (portRef I1 (instanceRef DS_000_ENABLE_0_n)) - )) - (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined - (portRef O (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_p)) - )) - (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined - (portRef O (instanceRef DS_000_ENABLE_0_n)) - (portRef I1 (instanceRef DS_000_ENABLE_0_p)) - )) - (net (rename DSACK1_INT_0_un3 "DSACK1_INT_0.un3") (joined - (portRef O (instanceRef DSACK1_INT_0_r)) - (portRef I1 (instanceRef DSACK1_INT_0_n)) - )) - (net (rename DSACK1_INT_0_un1 "DSACK1_INT_0.un1") (joined - (portRef O (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_p)) - )) - (net (rename DSACK1_INT_0_un0 "DSACK1_INT_0.un0") (joined - (portRef O (instanceRef DSACK1_INT_0_n)) - (portRef I1 (instanceRef DSACK1_INT_0_p)) - )) - (net (rename BG_000_0_un3 "BG_000_0.un3") (joined - (portRef O (instanceRef BG_000_0_r)) - (portRef I1 (instanceRef BG_000_0_n)) - )) - (net (rename BG_000_0_un1 "BG_000_0.un1") (joined - (portRef O (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_p)) - )) - (net (rename BG_000_0_un0 "BG_000_0.un0") (joined - (portRef O (instanceRef BG_000_0_n)) - (portRef I1 (instanceRef BG_000_0_p)) - )) - (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined - (portRef O (instanceRef LDS_000_INT_0_r)) - (portRef I1 (instanceRef LDS_000_INT_0_n)) - )) - (net (rename LDS_000_INT_0_un1 "LDS_000_INT_0.un1") (joined - (portRef O (instanceRef LDS_000_INT_0_m)) - (portRef I0 (instanceRef LDS_000_INT_0_p)) - )) - (net (rename LDS_000_INT_0_un0 "LDS_000_INT_0.un0") (joined - (portRef O (instanceRef LDS_000_INT_0_n)) - (portRef I1 (instanceRef LDS_000_INT_0_p)) - )) - (net (rename UDS_000_INT_0_un3 "UDS_000_INT_0.un3") (joined - (portRef O (instanceRef UDS_000_INT_0_r)) - (portRef I1 (instanceRef UDS_000_INT_0_n)) - )) - (net (rename UDS_000_INT_0_un1 "UDS_000_INT_0.un1") (joined - (portRef O (instanceRef UDS_000_INT_0_m)) - (portRef I0 (instanceRef UDS_000_INT_0_p)) - )) - (net (rename UDS_000_INT_0_un0 "UDS_000_INT_0.un0") (joined - (portRef O (instanceRef UDS_000_INT_0_n)) - (portRef I1 (instanceRef UDS_000_INT_0_p)) - )) - (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined - (portRef O (instanceRef BGACK_030_INT_0_r)) - (portRef I1 (instanceRef BGACK_030_INT_0_n)) - )) - (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined - (portRef O (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_p)) - )) - (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined - (portRef O (instanceRef BGACK_030_INT_0_n)) - (portRef I1 (instanceRef BGACK_030_INT_0_p)) - )) - ) - (property orig_inst_of (string "BUS68030")) - ) - ) - ) - (design BUS68030 (cellRef BUS68030 (libraryRef work))) -) diff --git a/Logic/BUS68030.eq0 b/Logic/BUS68030.eq0 deleted file mode 100644 index 8d0e1b7..0000000 --- a/Logic/BUS68030.eq0 +++ /dev/null @@ -1,976 +0,0 @@ -EDIF2BLIF version IspLever 1.0 Linked Equations File -Copyright(C), 1992-2013, Lattice Semiconductor Corp. -All Rights Reserved. - -Design bus68030 created Thu Apr 24 11:58:27 2014 - - - P-Terms Fan-in Fan-out Type Name (attributes) ---------- ------ ------- ---- ----------------- - 1/1 1 1 Pin IPL_030_2_ - 1/1 1 1 Pin DSACK_1_ - 1/1 1 1 Pin DSACK_1_.OE - 1/1 1 1 Pin AS_000 - 1/1 1 1 Pin AS_000.OE - 1/1 1 1 Pin UDS_000 - 1/1 1 1 Pin UDS_000.OE - 1/1 1 1 Pin LDS_000 - 1/1 1 1 Pin LDS_000.OE - 1/1 1 1 Pin BERR - 1/1 1 1 Pin BERR.OE - 1/1 1 1 Pin BG_000 - 1/1 1 1 Pin BGACK_030 - 1/1 1 1 Pin CLK_DIV_OUT - 1/1 1 1 Pin CLK_EXP - 1/1 1 1 Pin FPU_CS - 1/1 1 1 Pin DTACK - 1/1 1 1 Pin DTACK.OE - 1/1 1 1 Pin AVEC - 1/1 1 1 Pin E - 1/1 1 1 Pin VMA - 1/1 1 1 Pin IPL_030_1_ - 1/1 1 1 Pin IPL_030_0_ - 1/1 1 1 Pin DSACK_0_ - 1/1 1 1 Pin DSACK_0_.OE - 1 2 1 Node N_41_1 - 1 2 1 Node N_40_1 - 1 1 1 Node vma_int_0_un3_n - 1 2 1 Node vma_int_0_un1_n - 1 2 1 Node vma_int_0_un0_n - 1 1 1 Node uds_000_int_0_un3_n - 1 2 1 Node uds_000_int_0_un1_n - 1 2 1 Node uds_000_int_0_un0_n - 1 1 1 Node cpu_est_3_reg.D - 1/1 1 1 Node cpu_est_3_reg.C - 1 1 1 Node lds_000_int_0_un3_n - 2 2 1 Node inst_VMA_INTreg.D - 1/1 1 1 Node inst_VMA_INTreg.C - 1 2 1 Node lds_000_int_0_un1_n - 1/1 1 1 Node cpu_est_0_.D - 1/1 1 1 Node cpu_est_0_.C - 1 2 1 Node lds_000_int_0_un0_n - 1 1 1 Node cpu_est_1_.D - 1/1 1 1 Node cpu_est_1_.C - 1/1 1 1 Node a_23__n - 1/1 1 1 Node inst_AS_000_INT_D.D - 1/1 1 1 Node inst_AS_000_INT_D.AP - 1/1 1 1 Node inst_AS_000_INT_D.C - 1/1 1 1 Node inst_AS_000_INT_DD.D - 1/1 1 1 Node inst_AS_000_INT_DD.AP - 1/1 1 1 Node inst_AS_000_INT_DD.C - 1/1 1 1 Node a_22__n - 1 2 1 Node inst_AS_030_AMIGA_ENABLE.D - 1/1 1 1 Node inst_AS_030_AMIGA_ENABLE.AP - 1/1 1 1 Node inst_AS_030_AMIGA_ENABLE.C - 1 0 1 Node vcc_n_n - 1/1 1 1 Node a_21__n - 0 0 1 Node gnd_n_n - 1 2 1 Node cpu_est_2_.D - 1/1 1 1 Node cpu_est_2_.C - 1/1 1 1 Node a_20__n - 1/1 1 1 Node inst_AS_030_delay.D - 1/1 1 1 Node inst_AS_030_delay.AP - 1/1 1 1 Node inst_AS_030_delay.C - 1 2 1 Node DSACK_INT_1_.D - 1/1 1 1 Node DSACK_INT_1_.AP - 1/1 1 1 Node DSACK_INT_1_.C - 1/1 1 1 Node a_15__n - 1 1 1 Node un1_as_000_int2 - 1/1 1 1 Node a_14__n - 1 2 1 Node un22_fpu_cs_int - 1 1 1 Node inst_AS_000_INT.D - 1/1 1 1 Node inst_AS_000_INT.AP - 1/1 1 1 Node inst_AS_000_INT.C - 1/1 1 1 Node a_13__n - 1 1 1 Node un1_as_000_int2_1 - 1/1 1 1 Node a_12__n - 1 2 1 Node UDS_000_INT_1_sqmuxa - 2 2 1 Node inst_LDS_000_INTreg.D - 1/1 1 1 Node inst_LDS_000_INTreg.AP - 1/1 1 1 Node inst_LDS_000_INTreg.C - 1/1 1 1 Node a_11__n - 2 2 1 Node inst_UDS_000_INTreg.D - 1/1 1 1 Node inst_UDS_000_INTreg.AP - 1/1 1 1 Node inst_UDS_000_INTreg.C - 1 2 1 Node un1_dtack_int - 1/1 1 1 Node a_10__n - 1/1 1 1 Node a_9__n - 1/1 1 1 Node a_8__n - 1 1 1 Node un5_lds_logic - 1/1 1 1 Node a_7__n - 1 1 1 Node N_11 - 1 1 1 Node N_22 - 1/1 1 1 Node a_6__n - 1 1 1 Node N_32 - 1 1 1 Node N_33 - 1/1 1 1 Node a_5__n - 1 2 1 Node N_48 - 1 2 1 Node N_39 - 1/1 1 1 Node a_4__n - 1 2 1 Node N_40 - 1 2 1 Node N_41 - 1/1 1 1 Node a_3__n - 1 2 1 Node N_42 - 1 2 1 Node N_43 - 1/1 1 1 Node a_2__n - 1 2 1 Node N_44 - 1 2 1 Node N_45 - 1/1 1 1 Node a_1__n - 1 2 1 Node N_46 - 1 2 1 Node N_51 - 1/1 1 1 Node d_31__n - 1 2 1 Node N_52 - 1 2 1 Node N_53 - 1/1 1 1 Node d_30__n - 1 2 1 Node N_55 - 1 2 1 Node N_57 - 1/1 1 1 Node d_29__n - 1 1 1 Node N_69 - 1 1 1 Node un22_fpu_cs_int_i - 1/1 1 1 Node d_28__n - 1 1 1 Node AS_000_i - 1 1 1 Node VPA_i - 1 1 1 Node cpu_est_i_0__n - 1 1 1 Node AS_030_i - 1 1 1 Node cpu_est_i_1__n - 1 1 1 Node cpu_est_i_2__n - 1 1 1 Node cpu_est_i_3__n - 1 1 1 Node VMA_INT_i - 1 1 1 Node AS_000_INT_DD_i - 1 1 1 Node DTACK_i - 1 1 1 Node dsack_i_1__n - 1 1 1 Node RW_i - 1 1 1 Node BGACK_000_i - 1 1 1 Node a_i_18__n - 1 1 1 Node a_i_19__n - 1 1 1 Node a_i_16__n - 1 1 1 Node a_i_30__n - 1 1 1 Node a_i_31__n - 1 1 1 Node a_i_28__n - 1 1 1 Node a_i_29__n - 1 1 1 Node a_i_26__n - 1 1 1 Node a_i_27__n - 1 1 1 Node a_i_24__n - 1 1 1 Node a_i_25__n - 1 1 1 Node CLK_030_i - 1 1 1 Node RST_i - 1 1 1 Node N_48_i - 1 1 1 Node CLK_000_i - 1 1 1 Node un1_dtack_int_i - 1/1 1 1 Node AS_030_c - 1/1 1 1 Node AS_000_c - 1/1 1 1 Node size_c_0__n - 1/1 1 1 Node size_c_1__n - 1/1 1 1 Node a_c_0__n - 1/1 1 1 Node a_c_16__n - 1/1 1 1 Node a_c_17__n - 1/1 1 1 Node a_c_18__n - 1/1 1 1 Node a_c_19__n - 1/1 1 1 Node a_c_24__n - 1/1 1 1 Node a_c_25__n - 1/1 1 1 Node a_c_26__n - 1/1 1 1 Node a_c_27__n - 1/1 1 1 Node a_c_28__n - 1/1 1 1 Node a_c_29__n - 1/1 1 1 Node a_c_30__n - 1/1 1 1 Node a_c_31__n - 1/1 1 1 Node CPU_SPACE_c - 1 1 1 Node BG_000DFFSHreg.D - 1/1 1 1 Node BG_000DFFSHreg.AP - 1/1 1 1 Node BG_000DFFSHreg.C - 1/1 1 1 Node BGACK_000_c - 1/1 1 1 Node CLK_030_c - 1/1 1 1 Node CLK_000_c - 1 1 1 Node CLK_OUT_INTreg.D - 1/1 1 1 Node CLK_OUT_INTreg.C - 1/1 1 1 Node IPL_030DFF_0_reg.D - 1/1 1 1 Node IPL_030DFF_0_reg.C - 1/1 1 1 Node IPL_030DFF_1_reg.D - 1/1 1 1 Node IPL_030DFF_1_reg.C - 1/1 1 1 Node IPL_030DFF_2_reg.D - 1/1 1 1 Node IPL_030DFF_2_reg.C - 1/1 1 1 Node dsack_c_1__n - 1/1 1 1 Node DTACK_c - 1/1 1 1 Node VPA_c - 1/1 1 1 Node RST_c - 1/1 1 1 Node RW_c - 1/1 1 1 Node fc_c_0__n - 1/1 1 1 Node fc_c_1__n - 1 2 1 Node N_70 - 1 2 1 Node cpu_est_11_0_1__n - 1 1 1 Node N_46_i - 1 1 1 Node N_55_i - 1 1 1 Node N_44_i - 1 1 1 Node N_45_i - 1 2 1 Node N_33_i - 1 2 1 Node N_32_i - 1 2 1 Node N_22_i - 1 1 1 Node N_51_i - 1 1 1 Node N_52_i - 1 1 1 Node N_53_i - 1 2 1 Node cpu_est_11_0_3__n - 1 1 1 Node N_42_i - 1 1 1 Node N_43_i - 1 1 1 Node N_40_i - 1 1 1 Node N_41_i - 1 1 1 Node N_39_i - 1 1 1 Node N_57_i - 1 2 1 Node N_11_0 - 1 2 1 Node bg_amiga_un1_as_030_0_n - 1 2 1 Node N_47_i - 1 2 1 Node un5_lds_logic_i - 1 1 1 Node a_c_i_0__n - 1 1 1 Node size_c_i_1__n - 1 2 1 Node un1_as_000_int2_1_0 - 1 2 1 Node un1_as_000_int2_0 - 1 1 1 Node N_70_i - 1 2 1 Node N_69_i - 1 2 1 Node un22_fpu_cs_int_1 - 1 2 1 Node un22_fpu_cs_int_2 - 1 2 1 Node un22_fpu_cs_int_3 - 1 2 1 Node un22_fpu_cs_int_4 - 1 2 1 Node un22_fpu_cs_int_5 - 1 2 1 Node UDS_000_INT_1_sqmuxa_1 - 1 2 1 Node un5_lds_logic_i_1 - 1 2 1 Node cpu_est_11_0_1_1__n - 1 2 1 Node cpu_est_11_0_2_1__n - 1 2 1 Node as_edge_un11_as_030_ne_1_n - 1 2 1 Node as_edge_un11_as_030_ne_2_n - 1 2 1 Node as_edge_un11_as_030_ne_3_n - 1 2 1 Node as_edge_un11_as_030_ne_4_n - 1 2 1 Node as_edge_un11_as_030_ne_5_n - 1 2 1 Node as_edge_un11_as_030_ne_6_n - 1 2 1 Node as_edge_un11_as_030_ne_7_n - 1 2 1 Node as_edge_un11_as_030_ne_8_n - 1 2 1 Node as_edge_un11_as_030_ne_9_n - 1 2 1 Node N_39_1 - 1 2 1 Node N_39_2 - 1 2 1 Node N_39_3 - 1 2 1 Node cpu_est_11_0_1_3__n - 1 2 1 Node N_15_i_1 - 1 2 1 Node N_53_1 - 1 2 1 Node N_43_1 - 1 2 1 Node N_42_1 -========= - 246/110 Best P-Term Total: 246 - Total Pins: 74 - Total Nodes: 192 - Average P-Term/Output: 1 - - -Equations: - -IPL_030_2_ = (IPL_030DFF_2_reg); - -DSACK_1_ = (DSACK_INT_1_); - -DSACK_1_.OE = (CPU_SPACE_c); - -AS_000 = (inst_AS_000_INT); - -AS_000.OE = (N_69_i); - -UDS_000 = (inst_UDS_000_INTreg); - -UDS_000.OE = (N_69_i); - -LDS_000 = (inst_LDS_000_INTreg); - -LDS_000.OE = (N_69_i); - -BERR = (gnd_n_n); - -BERR.OE = (un22_fpu_cs_int); - -BG_000 = (BG_000DFFSHreg); - -BGACK_030 = (N_69_i); - -CLK_DIV_OUT = (CLK_OUT_INTreg); - -CLK_EXP = (CLK_OUT_INTreg); - -FPU_CS = (un22_fpu_cs_int_i); - -DTACK = (un1_dtack_int_i); - -DTACK.OE = (N_69); - -AVEC = (N_47_i); - -E = (cpu_est_3_reg); - -VMA = (inst_VMA_INTreg); - -IPL_030_1_ = (IPL_030DFF_1_reg); - -IPL_030_0_ = (IPL_030DFF_0_reg); - -DSACK_0_ = (vcc_n_n); - -DSACK_0_.OE = (CPU_SPACE_c); - -N_41_1 = (cpu_est_1_ & cpu_est_i_0__n); - -N_40_1 = (N_22 & cpu_est_0_); - -vma_int_0_un3_n = (!N_11); - -vma_int_0_un1_n = (cpu_est_3_reg & N_11); - -vma_int_0_un0_n = (inst_VMA_INTreg & vma_int_0_un3_n); - -uds_000_int_0_un3_n = (!UDS_000_INT_1_sqmuxa); - -uds_000_int_0_un1_n = (inst_UDS_000_INTreg & UDS_000_INT_1_sqmuxa); - -uds_000_int_0_un0_n = (un1_as_000_int2 & uds_000_int_0_un3_n); - -cpu_est_3_reg.D = (!cpu_est_11_0_3__n); - -cpu_est_3_reg.C = (CLK_000_i); - -lds_000_int_0_un3_n = (!UDS_000_INT_1_sqmuxa); - -inst_VMA_INTreg.D = (vma_int_0_un1_n - # vma_int_0_un0_n); - -inst_VMA_INTreg.C = (CLK_000_i); - -lds_000_int_0_un1_n = (inst_LDS_000_INTreg & UDS_000_INT_1_sqmuxa); - -cpu_est_0_.D = (cpu_est_i_0__n); - -cpu_est_0_.C = (CLK_000_i); - -lds_000_int_0_un0_n = (un1_as_000_int2_1 & lds_000_int_0_un3_n); - -cpu_est_1_.D = (!cpu_est_11_0_1__n); - -cpu_est_1_.C = (CLK_000_i); - -a_23__n = (A_23_); - -inst_AS_000_INT_D.D = (inst_AS_000_INT); - -inst_AS_000_INT_D.AP = (N_48_i); - -inst_AS_000_INT_D.C = (CLK_000_c); - -inst_AS_000_INT_DD.D = (inst_AS_000_INT_D); - -inst_AS_000_INT_DD.AP = (N_48_i); - -inst_AS_000_INT_DD.C = (CLK_000_c); - -a_22__n = (A_22_); - -inst_AS_030_AMIGA_ENABLE.D = (as_edge_un11_as_030_ne_9_n & as_edge_un11_as_030_ne_8_n); - -inst_AS_030_AMIGA_ENABLE.AP = (RST_i); - -inst_AS_030_AMIGA_ENABLE.C = (CLK_030_i); - -vcc_n_n = (1); - -a_21__n = (A_21_); - -gnd_n_n = (0); - -cpu_est_2_.D = (N_40_i & N_41_i); - -cpu_est_2_.C = (CLK_000_i); - -a_20__n = (A_20_); - -inst_AS_030_delay.D = (AS_030_c); - -inst_AS_030_delay.AP = (RST_i); - -inst_AS_030_delay.C = (CLK_030_i); - -DSACK_INT_1_.D = (N_15_i_1 & N_43_i); - -DSACK_INT_1_.AP = (N_48_i); - -DSACK_INT_1_.C = (CLK_000_c); - -a_15__n = (A_15_); - -un1_as_000_int2 = (!un1_as_000_int2_0); - -a_14__n = (A_14_); - -un22_fpu_cs_int = (un22_fpu_cs_int_4 & un22_fpu_cs_int_5); - -inst_AS_000_INT.D = (!inst_AS_030_AMIGA_ENABLE); - -inst_AS_000_INT.AP = (N_48_i); - -inst_AS_000_INT.C = (CLK_000_c); - -a_13__n = (A_13_); - -un1_as_000_int2_1 = (!un1_as_000_int2_1_0); - -a_12__n = (A_12_); - -UDS_000_INT_1_sqmuxa = (UDS_000_INT_1_sqmuxa_1 & inst_AS_030_AMIGA_ENABLE); - -inst_LDS_000_INTreg.D = (lds_000_int_0_un1_n - # lds_000_int_0_un0_n); - -inst_LDS_000_INTreg.AP = (N_48_i); - -inst_LDS_000_INTreg.C = (CLK_000_c); - -a_11__n = (A_11_); - -inst_UDS_000_INTreg.D = (uds_000_int_0_un1_n - # uds_000_int_0_un0_n); - -inst_UDS_000_INTreg.AP = (N_48_i); - -inst_UDS_000_INTreg.C = (CLK_000_c); - -un1_dtack_int = (AS_000_i & dsack_i_1__n); - -a_10__n = (A_10_); - -a_9__n = (A_9_); - -a_8__n = (A_8_); - -un5_lds_logic = (!un5_lds_logic_i); - -a_7__n = (A_7_); - -N_11 = (!N_11_0); - -N_22 = (!N_22_i); - -a_6__n = (A_6_); - -N_32 = (!N_32_i); - -N_33 = (!N_33_i); - -a_5__n = (A_5_); - -N_48 = (AS_030_i & RST_c); - -N_39 = (N_39_3 & cpu_est_i_0__n); - -a_4__n = (A_4_); - -N_40 = (N_40_1 & cpu_est_i_3__n); - -N_41 = (N_41_1 & cpu_est_i_2__n); - -a_3__n = (A_3_); - -N_42 = (N_42_1 & VPA_c); - -N_43 = (N_43_1 & VPA_i); - -a_2__n = (A_2_); - -N_44 = (N_32 & cpu_est_i_0__n); - -N_45 = (cpu_est_i_2__n & cpu_est_i_3__n); - -a_1__n = (A_1_); - -N_46 = (N_32_i & cpu_est_0_); - -N_51 = (N_33 & cpu_est_3_reg); - -d_31__n = (D_31_); - -N_52 = (N_33_i & cpu_est_i_2__n); - -N_53 = (N_53_1 & cpu_est_i_2__n); - -d_30__n = (D_30_); - -N_55 = (N_22_i & cpu_est_3_reg); - -N_57 = (N_55 & cpu_est_0_); - -d_29__n = (D_29_); - -N_69 = (!N_69_i); - -un22_fpu_cs_int_i = (!un22_fpu_cs_int); - -d_28__n = (D_28_); - -AS_000_i = (!AS_000_c); - -VPA_i = (!VPA_c); - -cpu_est_i_0__n = (!cpu_est_0_); - -AS_030_i = (!AS_030_c); - -cpu_est_i_1__n = (!cpu_est_1_); - -cpu_est_i_2__n = (!cpu_est_2_); - -cpu_est_i_3__n = (!cpu_est_3_reg); - -VMA_INT_i = (!inst_VMA_INTreg); - -AS_000_INT_DD_i = (!inst_AS_000_INT_DD); - -DTACK_i = (!DTACK_c); - -dsack_i_1__n = (!dsack_c_1__n); - -RW_i = (!RW_c); - -BGACK_000_i = (!BGACK_000_c); - -a_i_18__n = (!a_c_18__n); - -a_i_19__n = (!a_c_19__n); - -a_i_16__n = (!a_c_16__n); - -a_i_30__n = (!a_c_30__n); - -a_i_31__n = (!a_c_31__n); - -a_i_28__n = (!a_c_28__n); - -a_i_29__n = (!a_c_29__n); - -a_i_26__n = (!a_c_26__n); - -a_i_27__n = (!a_c_27__n); - -a_i_24__n = (!a_c_24__n); - -a_i_25__n = (!a_c_25__n); - -CLK_030_i = (!CLK_030_c); - -RST_i = (!RST_c); - -N_48_i = (!N_48); - -CLK_000_i = (!CLK_000_c); - -un1_dtack_int_i = (!un1_dtack_int); - -AS_030_c = (AS_030); - -AS_000_c = (AS_000.PIN); - -size_c_0__n = (SIZE_0_); - -size_c_1__n = (SIZE_1_); - -a_c_0__n = (A_0_); - -a_c_16__n = (A_16_); - -a_c_17__n = (A_17_); - -a_c_18__n = (A_18_); - -a_c_19__n = (A_19_); - -a_c_24__n = (A_24_); - -a_c_25__n = (A_25_); - -a_c_26__n = (A_26_); - -a_c_27__n = (A_27_); - -a_c_28__n = (A_28_); - -a_c_29__n = (A_29_); - -a_c_30__n = (A_30_); - -a_c_31__n = (A_31_); - -CPU_SPACE_c = (CPU_SPACE); - -BG_000DFFSHreg.D = (!bg_amiga_un1_as_030_0_n); - -BG_000DFFSHreg.AP = (BG_030); - -BG_000DFFSHreg.C = (CLK_000_i); - -BGACK_000_c = (BGACK_000); - -CLK_030_c = (CLK_030); - -CLK_000_c = (CLK_000); - -CLK_OUT_INTreg.D = (!CLK_OUT_INTreg); - -CLK_OUT_INTreg.C = (CLK_OSZI); - -IPL_030DFF_0_reg.D = (IPL_0_); - -IPL_030DFF_0_reg.C = (CLK_000_c); - -IPL_030DFF_1_reg.D = (IPL_1_); - -IPL_030DFF_1_reg.C = (CLK_000_c); - -IPL_030DFF_2_reg.D = (IPL_2_); - -IPL_030DFF_2_reg.C = (CLK_000_c); - -dsack_c_1__n = (DSACK_1_.PIN); - -DTACK_c = (DTACK.PIN); - -VPA_c = (VPA); - -RST_c = (RST); - -RW_c = (RW); - -fc_c_0__n = (FC_0_); - -fc_c_1__n = (FC_1_); - -N_70 = (CLK_000_i & N_69); - -cpu_est_11_0_1__n = (cpu_est_11_0_1_1__n & cpu_est_11_0_2_1__n); - -N_46_i = (!N_46); - -N_55_i = (!N_55); - -N_44_i = (!N_44); - -N_45_i = (!N_45); - -N_33_i = (cpu_est_0_ & cpu_est_1_); - -N_32_i = (cpu_est_i_1__n & cpu_est_i_3__n); - -N_22_i = (cpu_est_1_ & cpu_est_2_); - -N_51_i = (!N_51); - -N_52_i = (!N_52); - -N_53_i = (!N_53); - -cpu_est_11_0_3__n = (cpu_est_11_0_1_3__n & N_52_i); - -N_42_i = (!N_42); - -N_43_i = (!N_43); - -N_40_i = (!N_40); - -N_41_i = (!N_41); - -N_39_i = (!N_39); - -N_57_i = (!N_57); - -N_11_0 = (N_39_i & N_57_i); - -bg_amiga_un1_as_030_0_n = (AS_030_c & CPU_SPACE_c); - -N_47_i = (CPU_SPACE_c & VPA_c); - -un5_lds_logic_i = (un5_lds_logic_i_1 & size_c_0__n); - -a_c_i_0__n = (!a_c_0__n); - -size_c_i_1__n = (!size_c_1__n); - -un1_as_000_int2_1_0 = (inst_AS_030_AMIGA_ENABLE & un5_lds_logic); - -un1_as_000_int2_0 = (inst_AS_030_AMIGA_ENABLE & a_c_i_0__n); - -N_70_i = (!N_70); - -N_69_i = (BGACK_000_c & N_70_i); - -un22_fpu_cs_int_1 = (a_c_17__n & a_i_16__n); - -un22_fpu_cs_int_2 = (a_i_18__n & a_i_19__n); - -un22_fpu_cs_int_3 = (fc_c_1__n & BGACK_000_i); - -un22_fpu_cs_int_4 = (un22_fpu_cs_int_1 & un22_fpu_cs_int_2); - -un22_fpu_cs_int_5 = (un22_fpu_cs_int_3 & fc_c_0__n); - -UDS_000_INT_1_sqmuxa_1 = (RW_i & inst_AS_000_INT_D); - -un5_lds_logic_i_1 = (size_c_i_1__n & a_c_i_0__n); - -cpu_est_11_0_1_1__n = (N_44_i & N_45_i); - -cpu_est_11_0_2_1__n = (N_46_i & N_55_i); - -as_edge_un11_as_030_ne_1_n = (CPU_SPACE_c & a_i_30__n); - -as_edge_un11_as_030_ne_2_n = (a_i_31__n & inst_AS_030_delay); - -as_edge_un11_as_030_ne_3_n = (AS_030_i & a_i_24__n); - -as_edge_un11_as_030_ne_4_n = (a_i_25__n & a_i_26__n); - -as_edge_un11_as_030_ne_5_n = (a_i_27__n & a_i_28__n); - -as_edge_un11_as_030_ne_6_n = (as_edge_un11_as_030_ne_1_n & as_edge_un11_as_030_ne_2_n); - -as_edge_un11_as_030_ne_7_n = (as_edge_un11_as_030_ne_3_n & as_edge_un11_as_030_ne_4_n); - -as_edge_un11_as_030_ne_8_n = (as_edge_un11_as_030_ne_5_n & a_i_29__n); - -as_edge_un11_as_030_ne_9_n = (as_edge_un11_as_030_ne_6_n & as_edge_un11_as_030_ne_7_n); - -N_39_1 = (AS_000_i & N_32_i); - -N_39_2 = (VPA_i & cpu_est_2_); - -N_39_3 = (N_39_1 & N_39_2); - -cpu_est_11_0_1_3__n = (N_53_i & N_51_i); - -N_15_i_1 = (DSACK_INT_1_ & N_42_i); - -N_53_1 = (cpu_est_i_0__n & cpu_est_i_1__n); - -N_43_1 = (N_57 & VMA_INT_i); - -N_42_1 = (AS_000_INT_DD_i & DTACK_i); - - -Reverse-Polarity Equations: - -!IPL_030_2_ = (!IPL_030DFF_2_reg); - -!DSACK_1_ = (!DSACK_INT_1_); - -!DSACK_1_.OE = (!CPU_SPACE_c); - -!AS_000 = (!inst_AS_000_INT); - -!AS_000.OE = (!N_69_i); - -!UDS_000 = (!inst_UDS_000_INTreg); - -!UDS_000.OE = (!N_69_i); - -!LDS_000 = (!inst_LDS_000_INTreg); - -!LDS_000.OE = (!N_69_i); - -!BERR = (!gnd_n_n); - -!BERR.OE = (!un22_fpu_cs_int); - -!BG_000 = (!BG_000DFFSHreg); - -!BGACK_030 = (!N_69_i); - -!CLK_DIV_OUT = (!CLK_OUT_INTreg); - -!CLK_EXP = (!CLK_OUT_INTreg); - -!FPU_CS = (!un22_fpu_cs_int_i); - -!DTACK = (!un1_dtack_int_i); - -!DTACK.OE = (!N_69); - -!AVEC = (!N_47_i); - -!E = (!cpu_est_3_reg); - -!VMA = (!inst_VMA_INTreg); - -!IPL_030_1_ = (!IPL_030DFF_1_reg); - -!IPL_030_0_ = (!IPL_030DFF_0_reg); - -!DSACK_0_ = (!vcc_n_n); - -!DSACK_0_.OE = (!CPU_SPACE_c); - -!cpu_est_3_reg.C = (!CLK_000_i); - -!inst_VMA_INTreg.C = (!CLK_000_i); - -!cpu_est_0_.D = (!cpu_est_i_0__n); - -!cpu_est_0_.C = (!CLK_000_i); - -!cpu_est_1_.C = (!CLK_000_i); - -!a_23__n = (!A_23_); - -!inst_AS_000_INT_D.D = (!inst_AS_000_INT); - -!inst_AS_000_INT_D.AP = (!N_48_i); - -!inst_AS_000_INT_D.C = (!CLK_000_c); - -!inst_AS_000_INT_DD.D = (!inst_AS_000_INT_D); - -!inst_AS_000_INT_DD.AP = (!N_48_i); - -!inst_AS_000_INT_DD.C = (!CLK_000_c); - -!a_22__n = (!A_22_); - -!inst_AS_030_AMIGA_ENABLE.AP = (!RST_i); - -!inst_AS_030_AMIGA_ENABLE.C = (!CLK_030_i); - -!a_21__n = (!A_21_); - -!cpu_est_2_.C = (!CLK_000_i); - -!a_20__n = (!A_20_); - -!inst_AS_030_delay.D = (!AS_030_c); - -!inst_AS_030_delay.AP = (!RST_i); - -!inst_AS_030_delay.C = (!CLK_030_i); - -!DSACK_INT_1_.AP = (!N_48_i); - -!DSACK_INT_1_.C = (!CLK_000_c); - -!a_15__n = (!A_15_); - -!a_14__n = (!A_14_); - -!inst_AS_000_INT.AP = (!N_48_i); - -!inst_AS_000_INT.C = (!CLK_000_c); - -!a_13__n = (!A_13_); - -!a_12__n = (!A_12_); - -!inst_LDS_000_INTreg.AP = (!N_48_i); - -!inst_LDS_000_INTreg.C = (!CLK_000_c); - -!a_11__n = (!A_11_); - -!inst_UDS_000_INTreg.AP = (!N_48_i); - -!inst_UDS_000_INTreg.C = (!CLK_000_c); - -!a_10__n = (!A_10_); - -!a_9__n = (!A_9_); - -!a_8__n = (!A_8_); - -!a_7__n = (!A_7_); - -!a_6__n = (!A_6_); - -!a_5__n = (!A_5_); - -!a_4__n = (!A_4_); - -!a_3__n = (!A_3_); - -!a_2__n = (!A_2_); - -!a_1__n = (!A_1_); - -!d_31__n = (!D_31_); - -!d_30__n = (!D_30_); - -!d_29__n = (!D_29_); - -!d_28__n = (!D_28_); - -!AS_030_c = (!AS_030); - -!AS_000_c = (!AS_000.PIN); - -!size_c_0__n = (!SIZE_0_); - -!size_c_1__n = (!SIZE_1_); - -!a_c_0__n = (!A_0_); - -!a_c_16__n = (!A_16_); - -!a_c_17__n = (!A_17_); - -!a_c_18__n = (!A_18_); - -!a_c_19__n = (!A_19_); - -!a_c_24__n = (!A_24_); - -!a_c_25__n = (!A_25_); - -!a_c_26__n = (!A_26_); - -!a_c_27__n = (!A_27_); - -!a_c_28__n = (!A_28_); - -!a_c_29__n = (!A_29_); - -!a_c_30__n = (!A_30_); - -!a_c_31__n = (!A_31_); - -!CPU_SPACE_c = (!CPU_SPACE); - -!BG_000DFFSHreg.AP = (!BG_030); - -!BG_000DFFSHreg.C = (!CLK_000_i); - -!BGACK_000_c = (!BGACK_000); - -!CLK_030_c = (!CLK_030); - -!CLK_000_c = (!CLK_000); - -!CLK_OUT_INTreg.C = (!CLK_OSZI); - -!IPL_030DFF_0_reg.D = (!IPL_0_); - -!IPL_030DFF_0_reg.C = (!CLK_000_c); - -!IPL_030DFF_1_reg.D = (!IPL_1_); - -!IPL_030DFF_1_reg.C = (!CLK_000_c); - -!IPL_030DFF_2_reg.D = (!IPL_2_); - -!IPL_030DFF_2_reg.C = (!CLK_000_c); - -!dsack_c_1__n = (!DSACK_1_.PIN); - -!DTACK_c = (!DTACK.PIN); - -!VPA_c = (!VPA); - -!RST_c = (!RST); - -!RW_c = (!RW); - -!fc_c_0__n = (!FC_0_); - -!fc_c_1__n = (!FC_1_); - diff --git a/Logic/BUS68030.fse b/Logic/BUS68030.fse index a1c1294..86ea831 100644 --- a/Logic/BUS68030.fse +++ b/Logic/BUS68030.fse @@ -1,46 +1,46 @@ -fsm_encoding {7138321381} onehot +fsm_encoding {7140321401} onehot -fsm_state_encoding {7138321381} idle_p {00000001} +fsm_state_encoding {7140321401} idle_p {00000001} -fsm_state_encoding {7138321381} idle_n {00000010} +fsm_state_encoding {7140321401} idle_n {00000010} -fsm_state_encoding {7138321381} as_set_p {00000100} +fsm_state_encoding {7140321401} as_set_p {00000100} -fsm_state_encoding {7138321381} as_set_n {00001000} +fsm_state_encoding {7140321401} as_set_n {00001000} -fsm_state_encoding {7138321381} sample_dtack_p {00010000} +fsm_state_encoding {7140321401} sample_dtack_p {00010000} -fsm_state_encoding {7138321381} data_fetch_n {00100000} +fsm_state_encoding {7140321401} data_fetch_n {00100000} -fsm_state_encoding {7138321381} data_fetch_p {01000000} +fsm_state_encoding {7140321401} data_fetch_p {01000000} -fsm_state_encoding {7138321381} end_cycle_n {10000000} +fsm_state_encoding {7140321401} end_cycle_n {10000000} -fsm_registers {7138321381} {SM_AMIGA[0]} {SM_AMIGA[1]} {SM_AMIGA[2]} {SM_AMIGA[3]} {SM_AMIGA[4]} {SM_AMIGA[5]} {SM_AMIGA[6]} {SM_AMIGA[7]} +fsm_registers {7140321401} {SM_AMIGA[0]} {SM_AMIGA[1]} {SM_AMIGA[2]} {SM_AMIGA[3]} {SM_AMIGA[4]} {SM_AMIGA[5]} {SM_AMIGA[6]} {SM_AMIGA[7]} -fsm_encoding {7126341262} original +fsm_encoding {7128341282} original -fsm_state_encoding {7126341262} e20 {0000} +fsm_state_encoding {7128341282} e20 {0000} -fsm_state_encoding {7126341262} e5 {0010} +fsm_state_encoding {7128341282} e5 {0010} -fsm_state_encoding {7126341262} e6 {0011} +fsm_state_encoding {7128341282} e6 {0011} -fsm_state_encoding {7126341262} e3 {0100} +fsm_state_encoding {7128341282} e3 {0100} -fsm_state_encoding {7126341262} e4 {0101} +fsm_state_encoding {7128341282} e4 {0101} -fsm_state_encoding {7126341262} e1 {0110} +fsm_state_encoding {7128341282} e1 {0110} -fsm_state_encoding {7126341262} e2 {0111} +fsm_state_encoding {7128341282} e2 {0111} -fsm_state_encoding {7126341262} e7 {1010} +fsm_state_encoding {7128341282} e7 {1010} -fsm_state_encoding {7126341262} e8 {1011} +fsm_state_encoding {7128341282} e8 {1011} -fsm_state_encoding {7126341262} e9 {1100} +fsm_state_encoding {7128341282} e9 {1100} -fsm_state_encoding {7126341262} e10 {1111} +fsm_state_encoding {7128341282} e10 {1111} -fsm_registers {7126341262} {cpu_est[3]} {cpu_est[2]} {cpu_est[1]} {cpu_est[0]} +fsm_registers {7128341282} {cpu_est[3]} {cpu_est[2]} {cpu_est[1]} {cpu_est[0]} diff --git a/Logic/BUS68030.naf b/Logic/BUS68030.naf deleted file mode 100644 index a5c62d1..0000000 --- a/Logic/BUS68030.naf +++ /dev/null @@ -1,59 +0,0 @@ -AS_030 b -AS_000 b -RW_000 b -DS_030 b -UDS_000 b -LDS_000 b -SIZE[1] b -SIZE[0] b -A[31] i -A[30] i -A[29] i -A[28] i -A[27] i -A[26] i -A[25] i -A[24] i -A[23] i -A[22] i -A[21] i -A[20] i -A[19] i -A[18] i -A[17] i -A[16] i -A0 b -nEXP_SPACE i -BERR b -BG_030 i -BG_000 o -BGACK_030 o -BGACK_000 i -CLK_030 i -CLK_000 i -CLK_OSZI i -CLK_DIV_OUT o -CLK_EXP o -FPU_CS o -IPL_030[2] o -IPL_030[1] o -IPL_030[0] o -IPL[2] i -IPL[1] i -IPL[0] i -DSACK1 b -DTACK b -AVEC o -AVEC_EXP b -E o -VPA i -VMA o -RST i -RESET o -RW b -FC[1] i -FC[0] i -AMIGA_BUS_ENABLE o -AMIGA_BUS_DATA_DIR o -AMIGA_BUS_ENABLE_LOW o -CIIN o diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index 6b5a769..d182a9a 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. #-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj -#-- Written on Sun Jun 22 21:24:14 2014 +#-- Written on Fri Jul 18 14:05:26 2014 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm deleted file mode 100644 index c25a7e8..0000000 --- a/Logic/BUS68030.srm +++ /dev/null @@ -1,2903 +0,0 @@ -%%% protect protected_file -f "noname"; #file 0 -f "c:\program files (x86)\isplever\synpbase\lib\vhd\std.vhd"; #file 1 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\program files (x86)\isplever\synpbase\lib\vhd\snps_haps_pkg.vhd"; #file 2 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\program files (x86)\isplever\synpbase\lib\vhd\std1164.vhd"; #file 3 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\program files (x86)\isplever\synpbase\lib\vhd\numeric.vhd"; #file 4 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\program files (x86)\isplever\synpbase\lib\vhd\umr_capim.vhd"; #file 5 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\program files (x86)\isplever\synpbase\lib\vhd\arith.vhd"; #file 6 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\program files (x86)\isplever\synpbase\lib\vhd\unsigned.vhd"; #file 7 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd"; #file 8 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -VNAME 'mach.MACH_DFF.prim'; # view id 0 -VNAME 'mach.DFFRH.prim'; # view id 1 -VNAME 'mach.DFFSH.prim'; # view id 2 -VNAME 'mach.DFF.prim'; # view id 3 -VNAME 'mach.BI_DIR.prim'; # view id 4 -VNAME 'mach.IBUF.prim'; # view id 5 -VNAME 'mach.OBUF.prim'; # view id 6 -VNAME 'mach.BUFTH.prim'; # view id 7 -VNAME 'mach.AND2.prim'; # view id 8 -VNAME 'mach.INV.prim'; # view id 9 -VNAME 'mach.OR2.prim'; # view id 10 -VNAME 'mach.XOR2.prim'; # view id 11 -VNAME 'work.BUS68030.behavioral'; # view id 12 -@ERMRlENORBvq]w_7wsRbH -l;N3ORCV8HMCF8V;R4 -RNP3#8H#PFDCRlC4N; -PHR3#Hbsl;R4 -TFR;R -H7H; -RiBp;H -NRM#$_OH#D FOR -4;H;R) -1HR;R -HhQmaw)Q ;M -oRjkM;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4N; -M#R3N_PCM_C0VoDN#.4R6 -n;bjRf:HjRMkPRMkjRM1jR;R -bfjj:RPHMR4kMR4kMR -);bjRf:0jRsRkC0CskRBeB;R -bfjj:RDVN#VCRNCD#R7th;R -bfjj:RV8VsT#RR7TRRiBpR4kMRjkM;R -MROlNEwR7wR)]blsH;P -NR#3HblsHR -4;FRRTk;Mj -7HR;R -HB;pi -)HR;M -oRjkM;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4N; -M#R3N_PCM_C0VoDN#.4R6 -n;sjRf:ljRNROEv]qB_w7wRHbslhRQ1S4 -TM=kj7 -S=S7 -B=piB -piSk)=MS4 -1B=eBh -SmwaQQ= )t;h7 -fbRjR:jHRMPkRM4kRM4)b; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -RMRlENORw7w1b]Rs;Hl -RNP3bH#sRHl4F; -RkTRM -j;H;R7 -BHRp -i;H;R1 -RoMk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4kM;M -NRN3#PMC_CV0_D#No46R.ns; -R:fjjNRlOvERq_B]7RwwblsHR1Qh6T -S=jkM -=S77B -SpBi=pSi -)B=eB1 -S=4kM -mShaQQw t)=h -7;bjRf:HjRMkPRMk4RM14R;R -bfjj:Rk0sCsR0keCRB -B;bjRf:VjRNCD#RDVN#tCRh -7;MlRRNROE7RwwblsH;P -NR#3HblsHR -4;FRRTk;Mj -7HR;R -HB;pi -RoMk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;R -sfjj:ROlNEqRvB7]_wbwRsRHlQch1 -=STk -MjS77= -pSBip=Bi) -S=BeB -=S1e -BBSahmQ wQ)h=t7b; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -RMRlENOR_AQ7RQ)blsH;P -NR#3HblsHR -4;F;Rm -QHRjL; -RRQmk;M4 -RNH3bH#N48R;H -NRM#$_H0s#00NC;R4 -mHR o; -MMRk4N; -M#R3N_PCM_C0VoDN#.4R6 -n;bjRf:0jRsRkC0CskRBeB;R -bfjj:RDVN#VCRNCD#R7th;R -bfjj:RH0sRjkMrRj9kRM4QmjR b; -R:fjjkRLVRRmmMRk4M; -RNRlOQERARzwblsH;P -NR#3HblsHR -4;F;Rm -QHRjN; -HHR3#8bNR -4;bjRf:LjRkmVRRQmRjb; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -RMRlENORzmAwsRbH -l;N3PRHs#bH4lR;R -FmN; -HHR3#8bNR -4;HjRQ;R -bfjj:RVLkRmmRR;Qj -fbRjR:j0CskRk0sCBReBb; -R:fjjNRVDR#CV#NDChRt7M; -RNRlOAERz]waRHbslN; -PHR3#Hbsl;R4 -mFRRjmr9N; -HHR3#8bNR -4;N#HR$0M_s0H#NR0C4H; -R;Qj -mHR b; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -fbRjR:j0RsHkrMjjm9RrRj9QmjR M; -RNRlOqERhR7.blsH;P -NR#3HblsHR -4;F;Rm -QHRjH; -R;Q4 -fbRjR:j0CskRk0sCBReBb; -R:fjjNRVDR#CV#NDChRt7b; -R:fjjMRN8mPRRQmRj4RQ;R -MROlNEhRQesRbH -l;N3PRHs#bH4lR;R -FmH; -R;Qj -fbRjR:jHRMPmRRmQ -j;bjRf:0jRsRkC0CskRBeB;R -bfjj:RDVN#VCRNCD#R7th;R -MROlNE)Rm.sRbH -l;N3PRHs#bH4lR;R -FmH; -R;Qj -QHR4b; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -fbRjR:jFRsPmRRmQQjR4M; -RNRlOXERmR).blsH;P -NR#3HblsHR -4;F;Rm -QHRjH; -R;Q4 -fbRjR:j0CskRk0sCBReBb; -R:fjjNRVDR#CV#NDChRt7b; -R:fjjFRGsmPRRQmRj4RQ; -@ -ftell; -@E@MR@4U:d::(44d:cIRRFRs Anz1UjjdRELCNFPHs;ND -RNP3MDHCRMF6 -d;N3PRHE#P84DR;P -NR#3H_8PED;R4 -RNP3ONsEDVHC;R( -RNP38lFkVDCHRDC(N; -P#R3$VM_lRNb"sIF "R\B\:\ks#C#l\\NC0x\F\8OCklM\0#\0oHE\kL\jnUd j0\F\Do\HO\jnUdnj-Ujjj-#Lk38PE\M"\"N; -PsRFHHo_M_#0F"VRAnz1Ujjd"N; -PFR3shHoNRlC"1AzndUjj -";N3PRVIDF_N#00{CR -RNP3FVDIN_bs0CM_#Hb_#N#HCoM8;R4 -RNP3FVDIs_bF_b#NDbbHRC84N; -PVR3D_FIDbFF#s_LFM CR -j;N3PRVIDF_HkMJVkHHRC84}; -;P -NR83OLN_#P{CR -RNP#_$MsCCVsOCMCD_OFRO {P -NR#1$0RCl{P -NR$3#MC_sVFODO0 _$RbCg}; -;; -} - -};N3PRFFL#bF08M4CR;R -L@:@U44n:::4nn1:q_jjdR_q1j;dj -RNH3Ds0_HFsolMNCqR"1d_jj -";N#HR$0M_s0H#NR0C4L; -RU@@::4(4(:4:qn:1j_jj1Rq_jjj;H -NR03sDs_FHNoMl"CRqj1_j;j" -RNH#_$M0#sH0CN0R -4;L@R@UU:4:44:U::n)jW_j)jRWj_jjN; -HsR30FD_sMHoNRlC"_)Wj"jj;H -NRM#$_H0s#00NC;R4 -@LR@4U:g::44ng::_71jRdj7j1_d -j;N3HRs_0DFosHMCNlR1"7_jjd"N; -H$R#Ms_0HN#004CR;R -L@:@U.4j:::.j(7:z1j_jj7Rz1j_jjN; -HsR30FD_sMHoNRlC"1z7_jjj"N; -H$R#Ms_0HN#004CR;R -L@:@U.44:::.4(7:p1j_jj7Rp1j_jjN; -HsR30FD_sMHoNRlC"1p7_jjj"N; -H$R#Ms_0HN#004CR;R -L@:@U.4.:::..cQ:1Z4 r:Rj91 QZrj4:9QR1Z4 r:;j9 -RNH3Ds0_HFsolMNC1R"Q"Z ;H -NR83CHsVNsMN$NRlC'x#HC -';N#HR$0M_s0H#NR0C4H; -RU@@::.d4d:.:q4:r:d44Rn9q4rd:94nRdqr4n:49N; -HsR30FD_sMHoNRlC";q" -RNH3HC8VsNsNN$Ml'CRN -';L@R@Uc:.:.4:c::.qqjRjN; -HsR30FD_sMHoNRlC""qj;H -NRM#$_H0s#00NC;R4 -@HR@.U:6::4.46:j :MX1u_u qBRXM uu_1q;B -RNH3Ds0_HFsolMNCMR" _Xu1Buq -";H@R@Un:.:.4:n::cA) )R)A )N; -HsR30FD_sMHoNRlC")A ) -";N3HRFosH8RHs'FHMk;0' -@HR@.U:(::4.n(::_AtjRdjAjt_d -j;N3HRs_0DFosHMCNlRt"A_jjd"F; -RU@@::.U4U:.:An:tj_jjtRA_jjj;H -NR03sDs_FHNoMl"CRAjt_j;j" -@FR@.U:g::4.gg::qAtBji_dAjRtiqB_jjd;H -NR03sDs_FHNoMl"CRABtqid_jj -";H@R@Uj:d:d4:j::gABtqij_jjtRAq_Bij;jj -RNH3Ds0_HFsolMNCAR"tiqB_jjj"H; -RU@@::d444:d:B(:pji_dBjRpji_d -j;N3HRs_0DFosHMCNlRp"Bid_jj -";H@R@U.:d:d4:.::(B_pijRjjB_pij;jj -RNH3Ds0_HFsolMNCBR"pji_j;j" -@HR@dU:d::4dUd::iBp_Zm1QpRBi1_mZ -Q;N3HRs_0DFosHMCNlRp"Bi1_mZ;Q" -@FR@dU:c::4d4c:4p:BiQ_7ez_mapRBiQ_7ez_maN; -HsR30FD_sMHoNRlC"iBp_e7Q_amz"F; -RU@@::d646:d:B(:p i_XBuRp i_X -u;N3HRs_0DFosHMCNlRp"BiX_ u -";F@R@Un:d:d4:n::nw_uzBw1RuBz_1N; -HsR30FD_sMHoNRlC"zwu_"B1;R -F@:@Ud4(:::d((u:Qpd_jj:r.jQ9Rujp_d.jr:Rj9Q_upjrdj.9:j;H -NR03sDs_FHNoMl"CRQ_upj"dj;H -NR83CHsVNsMN$NRlC'DHb_jjd'H; -RU@@::dU4U:d:Qd:u.pr:Rj9Qrup.9:jRpQurj.:9N; -HsR30FD_sMHoNRlC"pQu"N; -HCR38NHVs$sNMCNlRb'HD -';L@R@Ug:d:d4:g::n7B1qi74R1iqB4N; -HsR30FD_sMHoNRlC"q71B"i4;H -NRM#$_H0s#00NC;R4 -@LR@cU:j::4c6j::q7aB7iRaiqB;H -NR03sDs_FHNoMl"CR7Baqi -";N#HR$0M_s0H#NR0C4F; -RU@@::c444:c:qc:eR BqBe ;H -NR03sDs_FHNoMl"CRqBe "F; -RU@@::c.4.:c:qU:e_ B RXuqBe _u X;H -NR03sDs_FHNoMl"CRqBe _u X"N; -HFR3s8HoH'sRHkMF0 -';F@R@Ud:c:c4:d::4 ;R -RNH3Ds0_HFsolMNC R""H; -RU@@::cc4c:c:ed:ueqRu -q;N3HRs_0DFosHMCNlRu"eq -";F@R@U6:c:c4:6::deRvqe;vq -RNH3Ds0_HFsolMNCeR"v;q" -@HR@cU:n::4cdn::a)1Ra)1;H -NR03sDs_FHNoMl"CR)"1a;R -F@:@Uc4(:::c(6 :)1R a) 1aN; -HsR30FD_sMHoNRlC"1) ;a" -@LR@cU:U::4c.U::R)W) -W;N3HRs_0DFosHMCNlRW")"N; -H$R#Ms_0HN#004CR;R -H@:@U64j:::6j.B:wrj4:9BRwrj4:9BRwrj4:9N; -HsR30FD_sMHoNRlC""wB;H -NR83CHsVNsMN$NRlC''VO;R -F@:@U644:::644qn:vqQt_1Az_q hARp qtvQqz_A1h_ q Ap;H -NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap"F; -RU@@::6.4.:6::4UqtvQqz_A1q_7a7q_Qq)RvqQt_1Az_a7qqQ_7)N; -HsR30FD_sMHoNRlC"QqvtAq_z71_q_aq7"Q);R -F@:@U64d:::6d.qj:vqQt_1Az_q hA_p pRmWqtvQqz_A1h_ q Ap_Wpm;H -NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap_Wpm"F; -RU@@::6c4c:6:Bc:QRQhBhQQ;H -NR03sDs_FHNoMl"CRBhQQ"o; -MMRk47_p1j_jjh_QaN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMz4_7j1_jQj_h -a;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_McO_D O_M0Mr_44 -9;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_M.O_D O_M0b9r4;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4v_1_Qqvtjq__l#Jk_GN.N; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMq4_1d_jjj_jjY_1hjB__l#Jk_GN4N; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMN._#d_jjN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRM_4gV_bkO -#;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_M6OMHH;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4On_H;HM -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh.N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_ -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh;_c -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_;M -NRN3#PMC_CV0_D#No46R.no; -M_RhnN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_ -(;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh;_U -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRgh_;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4 -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_4;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4 -.;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhd_4;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4 -c;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh6_4;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4 -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh(_4;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4 -U;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhg_4;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh. -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh. -.;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhd_.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh. -c;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh6_.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh. -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh(_.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4;4n -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_4 -(;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_4UN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_g44;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4;.j -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_. -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._4.N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_d4.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4;.c -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_. -6;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._4nN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p Q_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p Q_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p Q_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj7_vqjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjv_7q3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#C0#_k.3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC##0C3_.k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C##.C_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0_#C4M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#C0#_k43M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC##0C3_4k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C##jC_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0_#CjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#C0#_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMe_vqQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oeMRvQq_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MvReqh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRiBp_jjd_j]_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MpRBid_jj__]jM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oBMRpji_d]j__kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_j7j_vjq_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_q7v_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_j7j_vjq_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_jQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__.3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j.k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__.3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j4k_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__434kM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j4k_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__j3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__jjk_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__j3jkM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjd_jjj_h1YB3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_djj_jj1BYh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_djj_j1j_Y_hBjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj Ahqpj _3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7_jjj_q hA_p jM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjh_ q Ap_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qiQ4_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7q4Bi_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qiQ4_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MtRA_jjj_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMAjt_jjj_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MtRA_jjj_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMp_71j_jjQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;opMR7j1_jQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -M7Rp1j_jjh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1z7_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMz_71j_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ozMR7j1_jQj_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MtRAq_Bij_djQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oAMRtiqB_jjd_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMABtqid_jjh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;R -b@:@44::44+:.40.:sRkCfjj:Rk0sCsR0keCRB -B;b@R@Ud:4U.:d:U4d:+dc41.:vv_qQrtqj9:(R:fjjERoFR#01qv_vqQtr(j:9_Rh.hj,_,.4h._.,.h_d_,h.hc,_,.6hn_.,.h_(N; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4U4d.d"U4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HR#00NCN_lbMbHoRR"RjRjjjjjj-4R>jRjjjjjjM4\RjRRjjjjjR4j-j>Rjjjjj\4jMRRRjjjjjj4jRR->jjjjjj4j\RMRRjjjjj4jj>R-Rjjjjj4jjR\MRjRjjj4jj-jR>jRjjj4jjMj\RjRRjj4jjRjj-j>Rjj4jj\jjMRRRjj4jjjjjRR->jj4jjjjj\RMRRj4jjjjjj>R-Rj4jjjjjj"\M;R -b@:@U4:.nd4c:.dn:n.+4:kOb_0C#r4j:jf9RjR:jo#EF0bROk#_C0:rj4Rj9h4_4n_,h4,4(h4_4U_,h4,4gh._4j_,h4,.4h._4._,h4,.dh._4c_,h4,.6h._4nN; -HsR30_DC04FR;H -NRM#$_lV#_RH8".(4n4dc."n.;H -NR03sDs_FHNoMl"CRO_bkC"#0;H -NRM#$_OCMFM8HoFR"sHHoM"ND;H -NR#3Vls_VF0l#Rb"Ok#_C0"Rc;H -NR#3VlF_0#"0RO_bkCR#0c -";N3HRV_#l0DNLCR#0"jjjjjRjjjjsjR4jjjj4s4jj4jRj4j4s4Rjjjj4jsjj444Rjjj4s4R4jjj44s4j444Rj444sjR4j4jj4s44j4jR4444s4Rjj4j4js44444R44"4s;H -NR#3Vls_FHNoMl"CRO_bkC"#0;H -NR#3Vl0_#Ns0CC4oR;H -NR03#N_0ClbNbHRMo"RRRjjjjjjjjjRj4-j>Rj\jjMRRRjjjjjjjjjR4j-j>Rj\4jMRRRjjjjjjjj4Rjj-j>Rj\44MRRRjjjjj4jjjRjj-j>R4\jjMRRRjjjjjjj4jRjj-j>R4\j4MRRRjjjjjj4jjRjj-j>R4\4jMRRRjjjj4jjjjRjj-j>R4\44MRRRj4jjjjjjjRjj-4>Rj\4jMRRRjjj4jjjjjRjj-4>Rj\44MRRRjj4jjjjjjRjj-4>R4\jjMRRR4jjjjjjjjRjj-4>R4\44M -";s@R@U.:4nc:d:n4.:+dn4O.:bCk_#j0r:94jR:fjjNRlO7ERw]w)RHbslbROk#_C09rj -=STO_bkCr#0jS9 -7b=Ok#_C0#_M_jCr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(.cnd4..n"N; -HsR30FD_sMHoNRlC"kOb_0C#"N; -H$R#MM_COHF8M"oRFosHHDMN"N; -HVR3#Vl_s#Fl0OR"bCk_#c0R"N; -HVR3#0l_FR#0"kOb_0C#R;c" -RNH3lV#_L0ND0C#Rj"jjjjRjsjjjjj4R4jjjjsj4j4Rjs44jj4jRjj4j4sjjj4R4sj4jj44R4j4j4sj4j4R4s444jj4R44jjjs4444Rjs444j4jRj44j4s4444R4s44"N; -HVR3#Fl_sMHoNRlC"kOb_0C#"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'kOb_0C#r4j:j;9' -@sR@4U:.dn:c.:4nn:d+:4.O_bkCr#0jj:49jRf:ljRNROE7)ww]sRbHOlRbCk_#40r9T -S=kOb_0C#r -49SO7=bCk_#M0_#r_C4S9 -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4d.ncn4.. -";N3HRs_0DFosHMCNlRb"Ok#_C0 -";N#HR$CM_M8OFHRMo"HFsoNHMD -";N3HRV_#lVlsF#"0RO_bkCR#0c -";N3HRV_#l00F#Rb"Ok#_C0"Rc;H -NR#3VlN_0L#DC0jR"jRjjjjjjs4jjjjRj4jjsjR44j4j4sjj4j4Rjjjjs4Rj4j44js4j4j4Rj4jjs4R44j444s44jjjR444jsjR4444j4sj44j4R4j4js4R444444s -";N3HRV_#lFosHMCNlRb"Ok#_C0 -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRb'Ok#_C0:rj4'j9;R -s@:@U4:.nd4c:.dn:n.+4:kOb_0C#r4j:jf9RjR:jlENORw7w)b]RsRHlO_bkCr#0.S9 -Tb=Ok#_C09r. -=S7O_bkC_#0MC#_r -.9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(n4.d.c4n;." -RNH3Ds0_HFsolMNCOR"bCk_#;0" -RNH#_$MCFMO8oHMRs"FHMoHN;D" -RNH3lV#_FVslR#0"kOb_0C#R;c" -RNH3lV#_#0F0OR"bCk_#c0R"N; -HVR3#0l_NCLD#"0RjjjjRjjjjjsj4jjRjs4jj4j4R4jj44sjjjjR4sjjj44jRjj444sj4jjR4s4jj444R4j44js444jRjs4j44j4R44j44s4j4jR4sjj4444R4444;s" -RNH3lV#_HFsolMNCOR"bCk_#;0" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNCOR'bCk_#j0r:94j's; -RU@@:n4.::dc4:.nd4n+.b:Ok#_C0:rj4Rj9fjj:ROlNEwR7wR)]blsHRkOb_0C#r -d9SOT=bCk_#d0r97 -S=kOb_0C#__M#C9rd -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30_DC04FR;H -NRM#$_lV#_RH8".(4n4dc."n.;H -NR03sDs_FHNoMl"CRO_bkC"#0;H -NRM#$_OCMFM8HoFR"sHHoM"ND;H -NR#3Vls_VF0l#Rb"Ok#_C0"Rc;H -NR#3VlF_0#"0RO_bkCR#0c -";N3HRV_#l0DNLCR#0"jjjjjRjjjjsjR4jjjj4s4jj4jRj4j4s4Rjjjj4jsjj444Rjjj4s4R4jjj44s4j444Rj444sjR4j4jj4s44j4jR4444s4Rjj4j4js44444R44"4s;H -NR#3Vls_FHNoMl"CRO_bkC"#0;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CRO_bkCr#0jj:49 -';s@R@Uj:4.n:d:.4j:+dU4Q.:ujp_d.jr:Rj9fjj:ROlNEwR7wR1]blsHRpQu_jjd71ww]9rj -=STQ_upj_djO9rj -=S7h(_4 -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"pQu_jjd"N; -HkR3MNVsOM_H8RCGjs; -RU@@:.4j::dn4:j.d4U+.u:Qpd_jj:r.jf9RjR:jlENORw7w1b]RsRHlQ_upj7djw]w1r -49SQT=ujp_dOj_r -49Sh7=_ -4USiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CRQ_upj"dj;H -NRM3kVOsN_8HMC4GR;R -s@:@U4:j.d4n:jd.:U.+4:pQu_jjdrj.:9jRf:ljRNROE71ww]sRbHQlRujp_dwj7wr1].S9 -Tu=Qpd_jjr_O.S9 -7_=h4Sg -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCQR"ujp_d;j" -RNH3VkMs_NOHCM8G;R. -@sR@dU:dn6::6dd:+..41.:vv_qQrtqj9:(R:fjjNRlO7ERw]w1RHbslvR1_Qqvt(qr9T -S=_1vqtvQq9r( -=S71qv_vqQt_rM#jS9 -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddU.U4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@UU:d.::cd:U.44g+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9rn -=ST1qv_vqQtr -n9S17=vv_qQ_tqM4#r9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(d.Ud44dU"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -RU@@:.dg:dc:g..:j.+4:_1vqtvQq:rj(f9RjR:jlENORw7w)b]RsRHl1qv_vqQtr -69S1T=vv_qQrtq6S9 -7v=1_QqvtMq_#9r. -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4U4d.d"U4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@Ud:g(cg:d(j:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqcS9 -Tv=1_Qqvtcqr97 -S=_1vqtvQq#_Mr -d9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(U4ddd.4U;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@cU:jc6::6cj:+.c41.:vv_qQrtqj9:(R:fjjNRlO7ERw]w)RHbslvR1_Qqvtdqr9T -S=_1vqtvQq9rd -=S71qv_vqQt_rM#cS9 -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddU.U4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@U4:cd::cc:4d.4.+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9r. -=ST1qv_vqQtr -.9S17=vv_qQ_tqM6#r9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(d.Ud44dU"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -RU@@:Uc4:cc:4.U:d.+4:_1vqtvQq:rj(f9RjR:jlENORw7w)b]RsRHl1qv_vqQtr -49S1T=vv_qQrtq4S9 -7v=1_QqvtMq_#9rn -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4U4d.d"U4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@Uc:d.cd:c..:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqjS9 -Tv=1_Qqvtjqr97 -S=Uh_c -_HSiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(U4ddd.4U;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4U:dnd:4d:4d6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -d9SBT=pji_jhj__h1YB9rd -=S7B_pij_jjhY_1h.Br9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -d;N3HR#_$MH0MHPRND";j" -@sR@4U:dnd:4d:4d6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -c9SBT=pji_jhj__h1YB9rc -=S7B_pij_jjhY_1hdBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -c;N3HR#_$MH0MHPRND";j" -@sR@4U:dnd:4d:4d6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -69SBT=pji_jhj__h1YB9r6 -=S7B_pij_jjhY_1hcBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -6;N3HR#_$MH0MHPRND";j" -@sR@4U:dnd:4d:4d6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -n9SBT=pji_jhj__h1YB9rn -=S7B_pij_jjhY_1h6Br9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -n;N3HR#_$MH0MHPRND";j" -@sR@4U:dnd:4d:4d6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -(9SBT=pji_jhj__h1YB9r( -=S7B_pij_jjhY_1hnBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -(;N3HR#_$MH0MHPRND";j" -@sR@4U:dnd:4d:4d6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -U9SBT=pji_jhj__h1YB9rU -=S7B_pij_jjhY_1h(Br9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -U;N3HR#_$MH0MHPRND";j" -@sR@4U:dnd:4d:4d6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -g9SBT=pji_jhj__h1YB9rg -=S7B_pij_jjhY_1hUBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -g;N3HR#_$MH0MHPRND";j" -@sR@4U:dnd:4d:4d6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr94j -=STB_pij_jjhY_1h4BrjS9 -7p=Bij_jj__h1BYhr -g9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC4GRjN; -H#R3$HM_MPH0N"DRj -";s@R@Ud:4d4:n:d4d:+(64B.:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1h_YrhB4 -49SBT=pji_jhj__h1YB4r497 -S=iBp_jjj_1h_YrhB4 -j9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC4GR4N; -H#R3$HM_MPH0N"DRj -";s@R@Uc:46::.4:c6d.+4:iBp_aBh_4hr:Rj9fjj:ROlNEwR7wR)]blsHRiBp_aBh_jhr9T -S=iBp_aBh_jhr97 -S=ckM_ OD_0OM_4M__4Hr9B -SpBi=pmi_1_ZQH) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bih_Ba"_h;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PDjR""s; -RU@@:64c:4.:cd6:+:4.B_piB_hah:r4jf9RjR:jlENORw7w1b]RsRHlB_piB_hah9r4 -=STB_piB_hah9r4 -=S7B_piB_hah9rj -pSBip=Bi1_mZHQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"iBp_aBh_;h" -RNH3VkMs_NOHCM8G;R4 -RNH3M#$_HHM0DPNR""j;R -s@:@U4:6U.6:4U+:d4B.:pBi_hua_rj4:9jRf:ljRNROE7)ww]sRbHBlRpBi_hua_r -j9SBT=pBi_hua_r -j9Sk7=MO._DO _Mb0__4Hr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bih_Ba"_u;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PDjR""s; -RU@@:U46:4.:6dU:+:4.B_piB_hau:r4jf9RjR:jlENORw7w)b]RsRHlB_piB_hau9r4 -=STB_piB_hau9r4 -=S7B_piB_hau9rj -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_aBh_;u" -RNH3VkMs_NOHCM8G;R4 -RNH3M#$_HHM0DPNR""j;R -s@:@U4:d(d46:dd(:(.+4:Z1Q v_7q:r4jf9RjR:jlENORw7w1b]RsRHl1 QZ_q7vr -j9S1T=Q_Z 7rvqjS9 -70=#N_0ClENOH\MC3Z1Q v_7qr_cjS9 -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNC1R"Q_Z 7"vq;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PD4R""s; -RU@@:(4d::d64:d(d4(+.Q:1Z7 _v4qr:Rj9fjj:ROlNEwR7wR1]blsHRZ1Q v_7q9r4 -=ST1 QZ_q7vr -49S#7=0CN0_OlNECHM\Q31Z7 _vcq_r -49SiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CR1 QZ_q7v"N; -HkR3MNVsOM_H8RCG4N; -H#R3$HM_MPH0N"DR4 -";s@R@Uj:4.n:d:.4j:+dU4B.:pji_juj__h1YB:rgjf9RjR:jlENORw7w)b]RsRHlB_pij_jjuY_1hjBr9T -S=iBp_jjj_1u_YrhBjS9 -70=#N_0ClENOH\MC3iBp_jjj_1u_Y_hBd9rj -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGjN; -H#R3$HM_MPH0N"DRj -";s@R@Uj:4.n:d:.4j:+dU4B.:pji_juj__h1YB:rgjf9RjR:jlENORw7w)b]RsRHlB_pij_jjuY_1h4Br9T -S=iBp_jjj_1u_YrhB4S9 -7p=Bij_jj__u1BYhr -j9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC4GR;H -NR$3#MM_HHN0PDjR""s; -RU@@:.4j::dn4:j.d4U+.p:Bij_jj__u1BYhrjg:9jRf:ljRNROE7)ww]sRbHBlRpji_juj__h1YB9r. -=STB_pij_jjuY_1h.Br97 -S=iBp_jjj_1u_YrhB4S9 -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;R. -RNH3M#$_HHM0DPNR""j;R -s@:@U4:j.d4n:jd.:U.+4:iBp_jjj_1u_YrhBg9:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__u1BYhr -d9SBT=pji_juj__h1YB9rd -=S7B_pij_jjuY_1h.Br9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -d;N3HR#_$MH0MHPRND";j" -@sR@4U:jd.:nj:4.U:d+:4.B_pij_jjuY_1hgBr:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1u_YrhBcS9 -Tp=Bij_jj__u1BYhr -c9SB7=pji_juj__h1YB9rd -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGcN; -H#R3$HM_MPH0N"DRj -";s@R@Uj:4.n:d:.4j:+dU4B.:pji_juj__h1YB:rgjf9RjR:jlENORw7w)b]RsRHlB_pij_jjuY_1h6Br9T -S=iBp_jjj_1u_YrhB6S9 -7p=Bij_jj__u1BYhr -c9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC6GR;H -NR$3#MM_HHN0PDjR""s; -RU@@:.4j::dn4:j.d4U+.p:Bij_jj__u1BYhrjg:9jRf:ljRNROE7)ww]sRbHBlRpji_juj__h1YB9rn -=STB_pij_jjuY_1hnBr97 -S=iBp_jjj_1u_YrhB6S9 -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;Rn -RNH3M#$_HHM0DPNR""j;R -s@:@U4:j.d4n:jd.:U.+4:iBp_jjj_1u_YrhBg9:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__u1BYhr -(9SBT=pji_juj__h1YB9r( -=S7B_pij_jjuY_1hnBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -(;N3HR#_$MH0MHPRND";j" -@sR@4U:jd.:nj:4.U:d+:4.B_pij_jjuY_1hgBr:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1u_YrhBUS9 -Tp=Bij_jj__u1BYhr -U9SB7=pji_juj__h1YB9r( -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGUN; -H#R3$HM_MPH0N"DRj -";s@R@Uj:4.n:d:.4j:+dU4B.:pji_juj__h1YB:rgjf9RjR:jlENORw7w)b]RsRHlB_pij_jjuY_1hgBr9T -S=iBp_jjj_1u_YrhBgS9 -7p=Bij_jj__u1BYhr -U9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCgGR;H -NR$3#MM_HHN0PDjR""s; -RU@@:d4d::n44:dd(46+.p:Bij_jj__h1BYhr:44jf9RjR:jlENORw7w)b]RsRHlB_pij_jjhY_1hjBr9T -S=iBp_jjj_1h_YrhBjS9 -70=#N_0ClENOH\MC3iBp_jjj_1h_Y_hB.9rj -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGjN; -H#R3$HM_MPH0N"DRj -";s@R@Ud:4d4:n:d4d:+(64B.:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1h_YrhB4S9 -Tp=Bij_jj__h1BYhr -49SB7=pji_jhj__h1YB9rj -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG4N; -H#R3$HM_MPH0N"DRj -";s@R@Ud:4d4:n:d4d:+(64B.:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1h_YrhB.S9 -Tp=Bij_jj__h1BYhr -.9SB7=pji_jhj__h1YB9r4 -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG.N; -H#R3$HM_MPH0N"DRj -";s@R@Ud:4U.:d:U4d:+dc4).:Wj_jjh_QajRf:ljRNROE71ww]sRbH)lRWj_jjh_QaT -S=_)Wj_jjQ -haSh7=_ -4dSiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CR)jW_jQj_h;a" -RNH3M#$_HHM0DPNR""4;H -NRM3H_FDFb;Rd -@sR@4U:46(:d4:4(n:6+:4.e_vqQRhafjj:ROlNEwR7wR1]blsHRqev_aQh -=STe_vqQ -haSh7=_ -4cSiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CRe_vqQ"ha;H -NR$3#MM_HHN0PD4R""N; -HHR3MF_DF4bR4s; -RU@@:g44::dU4:4gc4j+.p:Biz_ma)_u 6_.R:fjjNRlO7ERw]w)RHbslpRBiz_ma)_u 6_. -=STB_pim_zau_) .S6 -7_=h4S6 -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pmi_zua_). _6 -";N3HR#_$MH0MHPRND";4" -RNH3_HMDbFFR -g;s@R@U4:4(d:6:(44:+6n4A.:tiqB_jjd_aQhR:fjjNRlO7ERw]w1RHbsltRAq_Bij_djQ -haSAT=tiqB_jjd_aQh -=S7hn_4 -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"qAtBji_dQj_h;a" -RNH3M#$_HHM0DPNR""4;H -NRM3H_FDFb;RU -@sR@4U:ddU:.d:4Uc:d+:4.qj1_djj_j1j_YRhBfjj:ROlNEwR7wR1]blsHR_q1j_djj_jj1BYh -=STqj1_djj_j1j_Y -hBSh7=_Sn -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCqR"1d_jjj_jjY_1h;B" -RNH3M#$_HHM0DPNR""4;R -s@:@U4:dUd4.:ddU:c.+4:_q1j_jjQRhafjj:ROlNEwR7wR1]blsHR_q1j_jjQ -haSqT=1j_jjh_Qa7 -S=(h_ -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"_q1j_jjQ"ha;H -NR$3#MM_HHN0PD4R""N; -HHR3MF_DF4bR;R -s@:@U4:dUd4.:ddU:c.+4:_71j_jj Ahqpf RjR:jlENORw7w)b]RsRHl7j1_j j_hpqA T -S=_71j_jj AhqpS -7_=hUB -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlR1"7_jjj_q hA"p ;H -NR$3#MM_HHN0PDjR""s; -RU@@:.4j::dn4:j.d4U+.1:7q4Bi_aQhR:fjjNRlO7ERw]w1RHbsl1R7q4Bi_aQh -=ST7B1qiQ4_hSa -7_=hgB -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlR1"7q4Bi_aQh"N; -H#R3$HM_MPH0N"DR4 -";N3HRHDM_FRFb4 -j;s@R@U4:4(d:6:(44:+6n4A.:tj_jjjRf:ljRNROE71ww]sRbHAlRtj_jjw7w1S] -Tt=A_jjj_SO -7_=h4Sj -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCAR"tj_jj -";N3HRHDM_FRFb(s; -RU@@:U4d::d.4:dUd4c+.7:p1j_jjh_QajRf:ljRNROE71ww]sRbHplR7j1_jQj_hSa -T7=p1j_jjh_Qa7 -S=4h_4B -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlR7"p1j_jjh_Qa -";N3HR#_$MH0MHPRND";4" -RNH3_HMDbFFR -n;s@R@Ud:4U.:d:U4d:+dc4z.:7j1_jQj_hfaRjR:jlENORw7w1b]RsRHlz_71j_jjQ -haSzT=7j1_jQj_hSa -7_=h4S. -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCzR"7j1_jQj_h;a" -RNH3M#$_HHM0DPNR""4;H -NRM3H_FDFb;R6 -@sR@4U:dd(:6d:4((:d+:4.q7j_vfqRjR:jlENORw7w1b]RsRHlq7j_vSq -Tj=q_q7v -=S7#00NCN_lOMEHCq\3jv_7q -_.SiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CRq7j_v;q" -RNH3M#$_HHM0DPNR""4;R -s@:@U4:d(d46:dd(:(.+4:iBp_jjd_f]RjR:jlENORw7wRHbslpRBid_jj -_]SBT=pji_d]j_ -=S7h -_4SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_d]j_"N; -H#R3$HM_MPH0N"DR4 -";s@R@Ud:4U.:d:U4d:+dc4).:Wj_jjv_7qjRf:ljRNROE71ww]sRbH)lRWj_jjv_7qT -S=_)Wj_jj7 -vqSh7=_S. -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNC)R"Wj_jjv_7q -";N3HR#_$MH0MHPRND";4" -RNH3_HMDbFFR -.;s@R@Ud:4(6:d:(4d:+d(47.:1j_jjv_7qjRf:ljRNROE71ww]sRbH7lR1j_jjv_7qT -S=_71j_jj7 -vqSh7=_Sd -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNC7R"1j_jjv_7q -";N3HR#_$MH0MHPRND";4" -RNH3_HMDbFFR -j;s@R@Ud:4(6:d:(4d:+d(4q.:1j_jjv_7qjRf:ljRNROE71ww]sRbHqlR1j_jjv_7qT -S=_q1j_jj7 -vqSh7=_Sc -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCqR"1j_jjv_7q -";N3HR#_$MH0MHPRND";4" -RNH3_HMDbFFR -j;s@R@Ud:4(6:d:(4d:+d(4q.:vqQt_1Az_q hA_p QRhafjj:ROlNEwR7wR1]blsHRQqvtAq_z 1_hpqA h_QaT -S=QqvtAq_z 1_hpqA h_Qa7 -S=6h_ -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA h_Qa -";N3HR#_$MH0MHPRND";4" -RNH3_HMDbFFR;4. -@sR@cU:g.U::Ucg:4d+.p:Biz_ma)_u d_dR:fjjNRlO7ERw]w)RHbslpRBiz_ma)_u d_d -=STB_pim_zau_) dSd -7p=Biz_ma)_u d_d_SH -B=piB_piu_) nSn -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pmi_zua_)d _d -";N3HR#_$MH0MHPRND";4" -@sR@4U:4dg:U4:4gj:c+:4.B_pim_zauR) fjj:ROlNEwR7wR)]blsHRiBp_amz_ u) -=STB_pim_zau -) SB7=pmi_zua_). _6B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Biz_ma)_u -";N3HR#_$MH0MHPRND";4" -@sR@4U:ddj:cd:4jn:d+:4.B_pij_jj7fdRjR:jlENORw7w1b]RsRHlB_pij_jj7Sd -Tp=Bij_jjd_7 -=S7B_pij_jj7S. -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCBR"pji_j7j_d -";N3HR#_$MH0MHPRND";4" -@sR@4U:.dg:c.:4gn:d+:4.B_pij_jj7f.RjR:jlENORw7w1b]RsRHlB_pij_jj7S. -Tp=Bij_jj._7 -=S7B_pij_jj7S4 -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCBR"pji_j7j_. -";N3HR#_$MH0MHPRND";4" -@sR@4U:6.U::U46:4d+.p:Biz_ma)_u j_6R:fjjNRlO7ERw]w)RHbslpRBiz_ma)_u j_6 -=STB_pim_zau_) 6Sj -7p=Biz_ma)_u j_6_SH -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pmi_zua_)6 _j -";N3HR#_$MH0MHPRND";4" -@sR@4U:6.U::U46:4d+. :)1R afjj:ROlNEwR7wR)]blsHR1) wa7w -)]S)T= a1 _SO -7B=eBB -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlR ")1" a;R -s@:@U4:.cd46:.dc:(.+4:iBp_amz_aQhR:fjjNRlO7ERw]w)RHbslpRBiz_mah_QaT -S=iBp_u X_SO -7p=Biz_ma)_u -_7SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pim_zaQ"ha;H -NR$3#MM_HHN0PD4R""s; -RU@@:U4.::dc4:.Ud4n+.p:Bij_jj4_7R:fjjNRlO7ERw]w1RHbslpRBij_jj4_7 -=STB_pij_jj7S4 -7p=Bij_jjj_7 -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_"74;H -NR$3#MM_HHN0PD4R""s; -RU@@:(44::6d4:4(64n+.t:Aq_Bij_djQ_ha7jRf:ljRNROE71ww]sRbHAlRtiqB_jjd_aQh_S7 -Tt=Aq_Bij_djQ_ha77 -S=qAtBji_dQj_hSa -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCAR"tiqB_jjd_aQh_;7" -RNH3M#$_HHM0DPNR""4;R -s@:@U4:4Ud4n:4dU:U.+4:iBp_amz_ u)__6j7jRf:ljRNROE7)ww]sRbHBlRpmi_zua_)6 _j -_7SBT=pmi_zua_)6 _j -_7SB7=pmi_zua_)6 _jB -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Biz_ma)_u j_6_;7" -RNH3M#$_HHM0DPNR""4;R -s@:@U4:.dd4c:.dd:n.+4:iBp_amz_ u)_f7RjR:jlENORw7w)b]RsRHlB_pim_zau_) 7T -S=iBp_amz_ u)_S7 -7p=Biz_ma)_u B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Biz_ma)_u "_7;H -NR$3#MM_HHN0PD4R""s; -RU@@:n4.::dc4:.nd4n+.p:Bij_jjj_7R:fjjNRlO7ERw]w1RHbslpRBij_jjj_7 -=STB_pij_jj7Sj -7p=Bij_jj -_OSiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jj7;j" -RNH3M#$_HHM0DPNR""4;R -s@:@U4:d.d4c:dd.:n.+4:qeu_f7RjR:jlENORw7w1b]RsRHle_uq7T -S=qeu_S7 -7u=eq -_OSiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CRe_uq7 -";N3HR#_$MH0MHPRND";4" -@sR@4U:dnd:4d:4d6:(+:4.NOPC_bCGR:fjjNRlO7ERw]w)RHbslPRNCCO_GSb -TP=NCCO_GSb -7p=Bij_jj__u1BYhr -g9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRNOPC_bCG"N; -H#R3$HM_MPH0N"DRj -";s@R@Ud:46c:d:64d:+dn4B.:pji_jhj_ jRf:ljRNROE7)ww]sRbHBlRpji_jhj_ T -S=iBp_jjj_ -h SB7=pji_jhj__h1YB4r49B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj _h"N; -H#R3$HM_MPH0N"DRj -";sjRf:ljRNROEA7Q_Qb)RsRHlqj1_dSj -m1=q_jjd_SO -Qqj=1j_jjv_7qQ -Sm1=q_jjd - Sm=dkM_N80OH _;R -sfjj:ROlNEQRA_)7QRHbsl1Rq_jjj -=Smqj1_jOj_ -jSQ=_q1j_jjQ -haS=Qmqj1_jSj -mA =tiqB_jjd_aQh;R -sfjj:ROlNEQRA_)7QRHbslWR)_jjj -=Sm)jW_jOj_ -jSQ=_)Wj_jjQ -haS=Qm)jW_jSj -mA =tiqB_jjd_aQh;R -sfjj:ROlNEQRA_)7QRHbsl1R7_jjd -=Sm7j1_dOj_ -jSQ=_71j_jj7 -vqS=Qm7j1_dSj -mk =M8d_0 NO_ -H;sjRf:ljRNROEA7Q_Qb)RsRHlz_71j -jjSzm=7j1_jOj_ -jSQ=4kM_1z7_jjj_aQh -mSQ=1z7_jjj - Sm=qAtBji_dQj_h -a;sjRf:ljRNROEA7Q_Qb)RsRHlp_71j -jjSpm=7j1_jOj_ -jSQ=4kM_1p7_jjj_aQh -mSQ=1p7_jjj - Sm=qAtBji_dQj_h -a;sjRf:ljRNROEA7Q_Qb)RsRHl1 QZr -j9S1m=Q_Z O9rj -jSQ=Z1Q v_7q9rj -mSQ=Z1Q 9rj - Sm=dkM_N80OH _;R -sfjj:ROlNEQRA_)7QRHbslQR1Z4 r9m -S=Z1Q r_O4S9 -Q1j=Q_Z 7rvq4S9 -Q1m=QrZ 4S9 -mk =M8d_0 NO_ -H;sjRf:ljRNROEQwAzRHbslrRq4 -n9Sqm=_4OrnS9 -Qqj=r94n;R -sfjj:ROlNEARQzbwRsRHlq(r49m -S=Oq_r94( -jSQ=4qr( -9;sjRf:ljRNROEQwAzRHbslrRq4 -U9Sqm=_4OrUS9 -Qqj=r94U;R -sfjj:ROlNEARQzbwRsRHlqgr49m -S=Oq_r94g -jSQ=4qrg -9;sjRf:ljRNROEQwAzRHbslrRq. -j9Sqm=_.OrjS9 -Qqj=r9.j;R -sfjj:ROlNEARQzbwRsRHlq4r.9m -S=Oq_r9.4 -jSQ=.qr4 -9;sjRf:ljRNROEQwAzRHbslrRq. -.9Sqm=_.Or.S9 -Qqj=r9..;R -sfjj:ROlNEARQzbwRsRHlqdr.9m -S=Oq_r9.d -jSQ=.qrd -9;sjRf:ljRNROEQwAzRHbslrRq. -c9Sqm=_.OrcS9 -Qqj=r9.c;R -sfjj:ROlNEARQzbwRsRHlq6r.9m -S=Oq_r9.6 -jSQ=.qr6 -9;sjRf:ljRNROEQwAzRHbslrRq. -n9Sqm=_.OrnS9 -Qqj=r9.n;R -sfjj:ROlNEARQzbwRsRHlq(r.9m -S=Oq_r9.( -jSQ=.qr( -9;sjRf:ljRNROEQwAzRHbslrRq. -U9Sqm=_.OrUS9 -Qqj=r9.U;R -sfjj:ROlNEARQzbwRsRHlqgr.9m -S=Oq_r9.g -jSQ=.qrg -9;sjRf:ljRNROEQwAzRHbslrRqd -j9Sqm=_dOrjS9 -Qqj=r9dj;R -sfjj:ROlNEARQzbwRsRHlq4rd9m -S=Oq_r9d4 -jSQ=dqr4 -9;sjRf:ljRNROEA7Q_Qb)RsRHlqSj -mj=q_SO -Qqj=jv_7qQ -Smj=q - Sm=dkM_N80OH _;R -sfjj:ROlNEARQzbwRsRHlMu X_q1uBS -m =MX1u_u qB_SO -QMj= _Xu1Buq s; -R:fjjNRlOQERARzwblsHR)A )m -S=)A ) -_OS=QjA) );R -sfjj:ROlNEARQzbwRsRHlAjt_dSj -mt=A_jjd_SO -QAj=td_jjs; -R:fjjNRlOmERARzwblsHR_Atj -jjSAm=tj_jjQ -Sjt=A_jjj_ -O;sjRf:ljRNROEmwAzRHbsltRAq_Bij -djSAm=tiqB_jjd -jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEQwAzRHbsltRAq_Bij -jjSAm=tiqB_jjj_SO -QAj=tiqB_jjj;R -sfjj:ROlNEARQzbwRsRHlB_pij -djSBm=pji_dOj_ -jSQ=iBp_jjd;R -sfjj:ROlNEARQzbwRsRHlB_pij -jjSBm=pji_jOj_ -jSQ=iBp_jjj;R -sfjj:ROlNEARQzbwRsRHlB_pimQ1Z -=SmB_pimQ1Z_SO -QBj=pmi_1;ZQ -fsRjR:jlENORzmAwsRbHBlRp7i_Qme_zSa -mp=BiQ_7ez_maQ -Sjp=BiX_ u;_O -fsRjR:jlENORzmAwsRbHBlRp i_XSu -mp=BiX_ uQ -Sjp=BiX_ u;_O -fsRjR:jlENORzmAwsRbHwlRuBz_1m -S=zwu_ -B1S=QjkgM4_kVb__O#Hs; -R:fjjNRlOmERARzwblsHRpQu_jjdr -j9SQm=ujp_djjr9Q -Sju=Qpd_jjr_Oj -9;sjRf:ljRNROEmwAzRHbsluRQpd_jj9r4 -=SmQ_upjrdj4S9 -QQj=ujp_dOj_r;49 -fsRjR:jlENORzmAwsRbHQlRujp_d.jr9m -S=pQu_jjdr -.9S=QjQ_upj_djO9r.;R -sfjj:ROlNEARQzbwRsRHlQrupjS9 -mu=Qpr_OjS9 -QQj=ujpr9s; -R:fjjNRlOQERARzwblsHRpQur -49SQm=uOp_r -49S=QjQrup4 -9;sjRf:ljRNROEQwAzRHbsluRQp9r. -=SmQ_upO9r. -jSQ=pQur;.9 -fsRjR:jlENOR_AQ7RQ)blsHRq71B -i4S7m=1iqB4 -_OS=Qj7B1qiQ4_hSa -Q7m=1iqB4m -S =MX1u_u qB_ -O;sjRf:ljRNROEA7Q_Qb)RsRHl7Baqim -S=q7aBOi_ -jSQ=q71B_i4OQ -Sma=7q -BiS=m k_Md8O0N ;_H -fsRjR:jlENORzmAwsRbHqlRe - BSqm=e - BS=Qje;BB -fsRjR:jlENORzmAwsRbHqlRe_ B -XuSqm=e_ B -XuS=QjNOPC_bCG;R -sfjj:ROlNEARmzbwRsRHl m -S=S -QOj=bCk_#d0r9s; -R:fjjNRlOQERARzwblsHRqeu -=Sme_uqOQ -Sju=eqs; -R:fjjNRlOmERARzwblsHRqev -=Sme -vqS=Qje_vqQ;ha -fsRjR:jlENORzQAwsRbH)lR1Sa -m1=)a -_OS=Qj);1a -fsRjR:jlENORzmAwsRbH)lR a1 -=Sm) 1aQ -Sj =)1_ aOs; -R:fjjNRlOAERQQ_7)sRbH)lRWm -S=_)WOQ -SjW=)_jjj_q7v -mSQ= -)WS=m ABtqid_jjh_Qa;_H -fsRjR:jlENORzQAwsRbHwlRB9rj -=SmwOB_r -j9S=QjwjBr9s; -R:fjjNRlOQERARzwblsHRrwB4S9 -mB=w_4Or9Q -SjB=wr;49 -fsRjR:jlENORzmAwsRbHqlRvqQt_1Az_q hA -p Sqm=vqQt_1Az_q hA -p S=QjqtvQqz_A1h_ q Ap_aQh;R -sfjj:ROlNEARmzbwRsRHlqtvQqz_A1q_7a7q_QS) -mv=qQ_tqA_z17qqa_)7Q -jSQ=QqvtAq_z71_q_aq7_Q)Os; -R:fjjNRlOmERARzwblsHRQqvtAq_z 1_hpqA m_pWm -S=QqvtAq_z 1_hpqA m_pWQ -Sjp=Biz_ma)_u d_d;R -sfjj:ROlNEzRAwRa]blsHRQBQhm -S=QBQhQ -SjM=k6H_OHSM -mk =M_4nOMHH_ -H;sjRf:ljRNROEq.h7RHbslMRk6H_OHgM_ -=Smk_M6OMHH_Sg -Qkj=MO6_H_HM6Q -S4M=k6H_OHnM_;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_ -4jSkm=MO6_H_HM4Sj -Qkj=MO6_H_HM(Q -S4M=k6H_OHUM_;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_ -44Skm=MO6_H_HM4S4 -Qkj=MO6_H_HMgQ -S4_=qHjrd9s; -R:fjjNRlOqERhR7.blsHR6kM_HOHMm -S=6kM_HOHMQ -SjM=k6H_OH4M_jQ -S4M=k6H_OH4M_4s; -R:fjjNRlOqERhR7.blsHR4kM.H_OH4M_ -=Smk.M4_HOHM -_4S=Qjqr_H. -c9S=Q4qr_H.;69 -fsRjR:jlENOR7qh.sRbHklRM_4.OMHH_S. -mM=k4O._H_HM.Q -Sj_=qHnr.9Q -S4_=qH(r.9s; -R:fjjNRlOqERhR7.blsHR4kM.H_OHdM_ -=Smk.M4_HOHM -_dS=Qjqr_H. -U9S=Q4qr_H.;g9 -fsRjR:jlENOR7qh.sRbHklRM_4.OMHH_Sc -mM=k4O._H_HMcQ -Sj_=qHjrd9Q -S4_=qH4rd9s; -R:fjjNRlOqERhR7.blsHR4kM.H_OH6M_ -=Smk.M4_HOHM -_6S=Qjk.M4_HOHM -_4S=Q4k.M4_HOHM;_. -fsRjR:jlENOR7qh.sRbHklRM_4.OMHH_Sn -mM=k4O._H_HMnQ -SjM=k4O._H_HMdQ -S4M=k4O._H_HMcs; -R:fjjNRlOqERhR7.blsHR4kM.H_OHSM -mM=k4O._H -HMS=Qjk.M4_HOHM -_6S=Q4k.M4_HOHM;_n -fsRjR:jlENOR7qh.sRbHklRMO6_H_HM4m -S=6kM_HOHM -_4S=Qjqj1_dHj_ -4SQ=Oq_r9.j;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_S. -mM=k6H_OH.M_ -jSQ=Oq_r9.4 -4SQ=Oq_r9..;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Sd -mM=k6H_OHdM_ -jSQ=Oq_r9.d -4SQ=Hq_r9.c;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Sc -mM=k6H_OHcM_ -jSQ=Hq_r9.6 -4SQ=Hq_r9.n;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_S6 -mM=k6H_OH6M_ -jSQ=Hq_r9d4 -4SQ=Hq_r9.(;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Sn -mM=k6H_OHnM_ -jSQ=Hq_r9.U -4SQ=Hq_r9.g;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_S( -mM=k6H_OH(M_ -jSQ=6kM_HOHM -_4S=Q4k_M6OMHH_ -.;sjRf:ljRNROEq.h7RHbslMRk6H_OHUM_ -=Smk_M6OMHH_SU -Qkj=MO6_H_HMdQ -S4M=k6H_OHcM_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#F.c_r -j9Shm=__gnHQ -Sj_=hgHn__S4 -Q14=vv_qQ_tqH9rn;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#N4c_r -c9Shm=_j44_S4 -QAj= _))OQ -S4_=hg -.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_Nr -c9Shm=_j44 -jSQ=4h_44j_ -4SQ=_1vqtvQq9rd;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#N4c__jjr9m -S=4h_j4j_ -jSQ=)A ) -_HS=Q4hn_g;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Njcr9m -S=4h_jSj -Qhj=_j4j_S4 -QN4=P_COC_GbHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncjr_4jS9 -m_=h4_j44Q -Sj_=hgSd -QA4= _))Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncj9rj -=Smhj_44Q -Sj_=h4_j44Q -S4p=Bij_jj _h_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_44_r -j9Shm=_.4j_S4 -QAj= _))HQ -S4_=hg -.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_j4r9m -S=4h_jS. -Qhj=_.4j_S4 -Q14=vv_qQrtqd -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_4d_r -j9Shm=_c4j_S4 -Qhj=__gdHQ -S4_=hgHn_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Ndc__j.r9m -S=4h_j.c_ -jSQ=_1vqtvQq__j#kJlG4N__SH -Q14=vv_qQ_tqH9rj;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Ndc__jdr9m -S=4h_jdc_ -jSQ=4h_j4c_ -4SQ=4h_j.c_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Ndc_r -j9Shm=_c4j -jSQ=4h_jdc_ -4SQ=_1vqtvQqr_Hd -9;sjRf:ljRNROEq.h7RHbslMRk4o_LN_O j_djH_M08 -_4Skm=ML4_o NO_jjd_0HM_j8__S4 -Q#j=0CN0_OlNECHM\M3kdo_LN_O j_djH_M08 -_HS=Q4qtvQqz_A1h_ q Ap_aQh_#4_JGlkN__4Hs; -R:fjjNRlOqERhR7.blsHR4kM_NLoOj _dHj_M80_ -=Smk_M4LOoN d_jjM_H0__8jQ -SjM=k4o_LN_O j_djH_M08__j4Q -S4v=qQ_tqA_z1 AhqpQ _hda__l#Jk_GNHs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)jd_N_Sj -m_=h4 -(gS=Qjh(_4g__4jQ -S4 =MX1u_u qB_ -H;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3.kMnD_O j_jjC_b_S4 -m0=#N_0ClENOH\MC3.kMnD_O j_jjC_b_S4 -QOj=bCk_#H0_r -j9S=Q4O_bkC_#0H9rd;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_.nO_D j_jjbSC -m0=#N_0ClENOH\MC3.kMnD_O j_jjC_b -jSQ=N#00lC_NHOEM3C\knM._ OD_jjj__bC4Q -S40=#N_0ClENOH\MC3.kMnD_O j_jjC_b_ -c;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3.kMnD_O j_jjC_b_4c_ -=Sm#00NCN_lOMEHCk\3M_.nO_D j_jjbcC__S4 -Q#j=0CN0_OlNECHM\M3k.On_Dj _jbj_C -_.S=Q4qj1_jQj_h -a;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3.kMnD_O j_jjC_b_Sc -m0=#N_0ClENOH\MC3.kMnD_O j_jjC_b_Sc -Q#j=0CN0_OlNECHM\M3k.On_Dj _jbj_C__c4Q -S4P=NCCO_G -b;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC36kM_ OD_jjj__MC4m -S=N#00lC_NHOEM3C\k_M6O_D j_jjM4C_ -jSQ=iBp_jjj_ -h S=Q4e_uq7;_H -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k6D_O j_jjC_M_S. -m0=#N_0ClENOH\MC36kM_ OD_jjj__MC.Q -Sjb=Ok#_C09rj -4SQ=kOb_0C#_4Hr9s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_M6O_D j_jjMSC -m0=#N_0ClENOH\MC36kM_ OD_jjj_ -MCS=Qj#00NCN_lOMEHCk\3MO6_Dj _jMj_C -_4S=Q4#00NCN_lOMEHCk\3MO6_Dj _jMj_C;_. -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__j49r4 -=SmO_bkC_#0Mj#__44r9Q -Sj_=h4_n(HQ -S4_=h4_nUHs; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#jr_.4S9 -mb=Ok#_C0#_M_.j_r -49S=Qjhn_4g -_HS=Q4h(_46;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#r_j4S9 -mb=Ok#_C0#_M_4jr9Q -Sjb=Ok#_C0#_M_4j_r -49S=Q4O_bkC_#0Mj#__4.r9s; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA h_Qa__.#kJlG4N_ -=SmqtvQqz_A1h_ q Ap_aQh_#._JGlkN -_4S=Qjh6_g -4SQ=_1vqtvQqr_Hj -9;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 AhqpQ _h.a__l#Jk_GN.m -S=QqvtAq_z 1_hpqA h_Qa__.#kJlG.N_ -jSQ=_1vqtvQqr_H(S9 -Q#4=0CN0_OlNECHM\M3k6o_LN_O j_djH_M08;_H -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p Q_ha.J_#lNkG -=SmqtvQqz_A1h_ q Ap_aQh_#._JGlkNQ -Sjv=qQ_tqA_z1 AhqpQ _h.a__l#Jk_GN4Q -S4v=qQ_tqA_z1 AhqpQ _h.a__l#Jk_GN.s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Fc.r_4jS9 -m_=hgHn__S4 -Q1j=vv_qQ_tqH9r. -4SQ=_1vqtvQqr_Hc -9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3.kMU#_N_jjd -=Sm#00NCN_lOMEHCk\3M_.UNj#_dSj -Q#j=0CN0_OlNECHM\M3k.NU_#d_jj -_4S=Q4#00NCN_lOMEHCk\3M_.UNj#_d.j_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_46O_D j_jjM4C__Sj -m0=#N_0ClENOH\MC34kM6D_O j_jjC_M_j4_ -jSQ=N#00lC_NHOEM3C\k6M4_ OD_jjj__MC4Q -S4v=eqh_Qa;_H -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4O6_Dj _jMj_Cm -S=N#00lC_NHOEM3C\k6M4_ OD_jjj_ -MCS=Qj#00NCN_lOMEHCk\3M_46O_D j_jjM4C__Sj -Qe4=uHq_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MLn_od_jj -_4S#m=0CN0_OlNECHM\M3kno_L_jjd_S4 -QMj= _Xu1Buq -_OS=Q4qj1_dOj_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MLn_od_jjm -S=N#00lC_NHOEM3C\k_MnLjo_dSj -Q#j=0CN0_OlNECHM\M3kno_L_jjd_S4 -QB4=pji_jOj_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCB\3pji_juj__h1YB__d49rj -=Sm#00NCN_lOMEHCB\3pji_juj__h1YB__d49rj -jSQ=iBp_jjj_ -7jS=Q4B_pij_jj7H4_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCB\3pji_juj__h1YB__d.9rj -=Sm#00NCN_lOMEHCB\3pji_juj__h1YB__d.9rj -jSQ=iBp_jjj__7.HQ -S4p=Bij_jjd_7_ -H;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3iBp_jjj_1u_Y_hBd9rj -=Sm#00NCN_lOMEHCB\3pji_juj__h1YBr_djS9 -Q#j=0CN0_OlNECHM\p3Bij_jj__u1BYh_4d_r -j9S=Q4#00NCN_lOMEHCB\3pji_juj__h1YB__d.9rj;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCB\3pji_jhj__h1YB__.49rj -=Sm#00NCN_lOMEHCB\3pji_jhj__h1YB__.49rj -jSQ=iBp_jjj_ -7.S=Q4B_pij_jj7 -d;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3iBp_jjj_1h_Y_hB.9rj -=Sm#00NCN_lOMEHCB\3pji_jhj__h1YBr_.jS9 -Q#j=0CN0_OlNECHM\p3Bij_jj__h1BYh_4._r -j9S=Q4#00NCN_lOMEHCk\3MO6_Dj _jMj__M#$Os; -R:fjjNRlOqERhR7.blsHR_1vqtvQq__j#kJlG4N__S4 -mv=1_Qqvtjq__l#Jk_GN4 -_4S=Qjqj1_djj_j1j_Y_hBHQ -S4 =MX1u_u qB_ -O;sjRf:ljRNROEq.h7RHbslvR1_Qqvtjq__l#Jk_GN4m -S=_1vqtvQq__j#kJlG4N_ -jSQ=_1vqtvQq__j#kJlG4N__S4 -Q#4=0CN0_OlNECHM\M3k6D_O j_jj__M#O$M;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __j#kJlG4N_ -=Sm7j1_j j_hpqA __j#kJlG4N_ -jSQ=_)WOQ -S4v=1_Qqvtnqr9s; -R:fjjNRlOqERhR7.blsHR_71j_jj Ahqpj __l#Jk -GNS7m=1j_jjh_ q Ap_#j_JGlkNQ -Sj1=7_jjj_q hA_p jJ_#lNkG_S4 -QN4=P_COC;Gb -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jNjd__j4_ -=Smh(_4g__4jQ -Sj_=h4_(g4Q -S4W=)_ -O;sjRf:ljRNROEq.h7RHbslMRkd0_8N -O Skm=M8d_0 NO_SH -Qkj=M8d_0 NO_4H_ -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M8(_#d_jj -_4S#m=0CN0_OlNECHM\M3k(#_8_jjd_4H_ -jSQ=Z1Q __OH9r4 -4SQ=_qjO;_H -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k(#_8_jjd -=Sm#00NCN_lOMEHCk\3M8(_#d_jj -_HS=Qj#00NCN_lOMEHCk\3M8(_#d_jj__H4Q -S4Q=1ZO _r;j9 -fsRjR:jlENOR7qh.sRbHklRM_4gV_bkO6#__S4 -mM=k4Vg_bOk_#__64Q -Sj_=qO(r49Q -S4t=Aq_Bij_jjOs; -R:fjjNRlOqERhR7.blsHR4kMgb_Vk#_O_.6_ -=SmkgM4_kVb__O#6 -_.S=QjwOB_r -j9S=Q4wOB_r;49 -fsRjR:jlENOR7qh.sRbHklRM_4gV_bkO6#_ -=SmkgM4_kVb__O#6Q -SjM=k4Vg_bOk_#__64Q -S4M=k4Vg_bOk_#__6.s; -R:fjjNRlOqERhR7.blsHR4kMgb_Vk#_O_S4 -mM=k4Vg_bOk_# -_4S=Qjqj1_dHj_ -4SQ=Hq_r94n;R -sfjj:ROlNEhRq7b.RsRHlkgM4_kVb__O#.m -S=4kMgb_Vk#_O_S. -Qqj=_4HrUS9 -Qq4=_4Hrg -9;sjRf:ljRNROEq.h7RHbslMRk4Vg_bOk_# -_dSkm=M_4gV_bkOd#_ -jSQ=4kMgb_Vk#_O_S4 -Qk4=M_4gV_bkO.#_;R -sfjj:ROlNEhRq7b.RsRHlkgM4_kVb_ -O#Skm=M_4gV_bkOS# -Qkj=M_4gV_bkOd#_ -4SQ=4kMgb_Vk#_O_ -6;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB__j#kJlG4N_ -=Smqj1_djj_j1j_Y_hBjJ_#lNkG_S4 -QAj=tiqB_jjd_aQh -4SQ=_1vqtvQq9r(;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hBjJ_#lNkG_S. -m1=q_jjd_jjj_h1YB__j#kJlG.N_ -jSQ=XM uu_1q_B OQ -S40=#N_0ClENOH\MC3.kMU#_N_jjd_ -H;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB__j#kJlGSN -m1=q_jjd_jjj_h1YB__j#kJlGSN -Qqj=1d_jjj_jjY_1hjB__l#Jk_GN4Q -S41=q_jjd_jjj_h1YB__j#kJlG.N_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_.UNj#_d4j_ -=Sm#00NCN_lOMEHCk\3M_.UNj#_d4j_ -jSQ=Hq_r94n -4SQ=Hq_r94U;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_.UNj#_d.j_ -=Sm#00NCN_lOMEHCk\3M_.UNj#_d.j_ -jSQ=Hq_r94g -4SQ=4kMgb_Vk#_O_ -6;sjRf:ljRNROEQRheblsHRnkM_ OD_Cbs__nnHm -S=nkM_ OD_Cbs__nnHQ -SjM=knD_O s_bCn_n;R -sfjj:ROlNEhRQesRbHBlRpui_)n _n -_HSBm=pui_)n _nQ -Sjp=Bi)_u n_n_ -j;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_SH -m7=p1j_jjh_Qa -_HS=Qjp_71j_jjQ;ha -fsRjR:jlENOReQhRHbslMRk47_p1j_jjh_Qa -_HSkm=Mp4_7j1_jQj_hSa -Qkj=Mp4_7j1_jQj_hja_;R -sfjj:ROlNEhRQesRbHzlR7j1_jQj_hHa_ -=Smz_71j_jjQ_haHQ -Sj7=z1j_jjh_Qas; -R:fjjNRlOQERhbeRsRHlk_M4z_71j_jjQ_haHm -S=4kM_1z7_jjj_aQh -jSQ=4kM_1z7_jjj_aQh_ -j;sjRf:ljRNROEQRheblsHR_qjO -_HSqm=j__OHQ -Sjj=q_ -O;sjRf:ljRNROEQRheblsHRZ1Q __OH9r4 -=Sm1 QZ_HO_r -49S=Qj1 QZ_4Or9s; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#jr_4.S9 -mb=Ok#_C0#_M_4j_r -.9S=Qjh(_4. -_HS=Q4h(_44;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#r_j.S9 -mb=Ok#_C0#_M_.jr9Q -Sjb=Ok#_C0#_M_4j_r -.9S=Q4#00NCN_lOMEHCk\3M_.nO_D j_jjb.C__ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#r_4jS9 -mv=1_QqvtMq_#__j49rj -jSQ=4h_jH4_ -4SQ=4h_jH._;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#.9rj -=Sm1qv_vqQt__M#jr_.jS9 -Qhj=_c4j_SH -Qh4=_j4j_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#r_djS9 -mv=1_QqvtMq_#__jd9rj -jSQ=_1vqtvQq#_M_4j_r -j9S=Q41qv_vqQt__M#jr_.j -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9rj -=Sm1qv_vqQt__M#j9rj -jSQ=_1vqtvQq#_M_dj_r -j9S=Q4hj_4d;_H -fsRjR:jlENOR7qh.sRbHklRM8d_0 NO_S4 -mM=kd0_8N_O H -_4S=QjMu X_q1uBH _ -4SQ=_q1j_jj7_vqHs; -R:fjjNRlOQERhbeRsRHlh(_4g -_HShm=_g4(_SH -Qhj=_g4(;R -sfjj:ROlNEhRQesRbHhlR_U4(_SH -m_=h4_(UHQ -Sj_=h4;(U -fsRjR:jlENOReQhRHbslvRqQ_tqA_z17qqa_)7Q_Hj_ -=SmqtvQqz_A1q_7a7q_QO)_ -jSQ=QqvtAq_z71_q_aq7_Q)O;_j -fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p jJ_#lNkG_SH -m1=7_jjj_q hA_p jJ_#lNkG_SH -Q7j=1j_jjh_ q Ap_#j_JGlkNs; -R:fjjNRlOQERhbeRsRHlk_M41qv_vqQt_#j_JGlkN__.H -_jSkm=M14_vv_qQ_tqjJ_#lNkG_S. -Qkj=M14_vv_qQ_tqjJ_#lNkG_H._;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\W3)_jjj_aQh_Hd_ -=Sm#00NCN_lOMEHC)\3Wj_jjh_Qa -_dS=Qj#00NCN_lOMEHC)\3Wj_jjh_Qa__djs; -R:fjjNRlOQERhbeRsRHlk_M41qv_vqQt_H6__SH -m_=h(S6 -Qhj=__(6js; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MOg_Dj _jMj_C -_HS#m=0CN0_OlNECHM\M3kgD_O j_jjC_M_SH -Q#j=0CN0_OlNECHM\M3kgD_O j_jjC_M;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3k4O6_Dj _jMj_C -_HS#m=0CN0_OlNECHM\M3k4O6_Dj _jMj_C -_HS=Qj#00NCN_lOMEHCk\3M_46O_D j_jjM -C;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\k4M4_ OD_jjj__MCH -_jS#m=0CN0_OlNECHM\M3k4O4_Dj _jMj_CQ -Sj0=#N_0ClENOH\MC34kM4D_O j_jjC_M_ -H;sjRf:ljRNROEQRheblsHR_Atj_djO -_HSAm=td_jj__OHQ -Sjt=A_jjd_ -O;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\k_MnLjo_dHj_ -=Sm#00NCN_lOMEHCk\3MLn_od_jj -_HS=Qj#00NCN_lOMEHCk\3MLn_od_jjs; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MLU_od_jj -_HS#m=0CN0_OlNECHM\M3kUo_L_jjd -jSQ=N#00lC_NHOEM3C\k_MULjo_djj_;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kco_LN_O j_jjHm -S=N#00lC_NHOEM3C\k_McLOoN j_jjQ -Sj0=#N_0ClENOH\MC3ckM_NLoOj _jjj_;R -sfjj:ROlNEhRQesRbHklRMO._Db _snC_n -_HSkm=MO._Db _snC_n -_HS=Qjk_M.O_D b_sCn -n;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\kjM4_NLoOj _dHj_MH0_ -=Sm#00NCN_lOMEHCk\3M_4jLOoN d_jjM_H0Q -Sj0=#N_0ClENOH\MC34kMjo_LN_O j_djH_M0js; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_.nO_D j_jjbHC_ -=Sm#00NCN_lOMEHCk\3M_.nO_D j_jjbHC_ -jSQ=N#00lC_NHOEM3C\knM._ OD_jjj_;bC -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC36kM_ OD_jjj__MCHm -S=N#00lC_NHOEM3C\k_M6O_D j_jjMHC_ -jSQ=N#00lC_NHOEM3C\k_M6O_D j_jjM -C;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\kUM._ OD_jjj__bCHm -S=N#00lC_NHOEM3C\kUM._ OD_jjj_ -bCS=Qj#00NCN_lOMEHCk\3M_.UO_D j_jjbjC_;R -sfjj:ROlNEhRQesRbHOlRbCk_#M0_#__jH9r4 -=SmO_bkC_#0M4#r9Q -Sjb=Ok#_C0#_M_4jr9s; -R:fjjNRlOQERhbeRsRHlh(_4c -_HShm=_c4(_SH -Qhj=_c4(;R -sfjj:ROlNEhRQesRbHhlR_d4(_SH -m_=h4_(dHQ -Sj_=h4;(d -fsRjR:jlENOReQhRHbsl_Rh4_nUHm -S=4h_nHU_ -jSQ=4h_n -U;sjRf:ljRNROEQRheblsHR4h_nH(_ -=Smhn_4( -_HS=Qjhn_4(s; -R:fjjNRlOQERhbeRsRHlh(_46 -_HShm=_64(_SH -Qhj=_64(;R -sfjj:ROlNEhRQesRbHhlR_g4n_SH -m_=h4_ngHQ -Sj_=h4;ng -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3.kMnD_O j_jjC_b_H._ -=Sm#00NCN_lOMEHCk\3M_.nO_D j_jjb.C__SH -Q#j=0CN0_OlNECHM\M3k.On_Dj _jbj_C;_. -fsRjR:jlENOReQhRHbsl_Rh4_(4Hm -S=4h_(H4_ -jSQ=4h_( -4;sjRf:ljRNROEQRheblsHR4h_(H._ -=Smh(_4. -_HS=Qjh(_4.s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0Mj#__.Hr9m -S=kOb_0C#_rM#.S9 -QOj=bCk_#M0_#r_j. -9;sjRf:ljRNROEQRheblsHR4h_jHd_ -=Smhj_4d -_HS=Qjhj_4ds; -R:fjjNRlOQERhbeRsRHlhj_4j -_HShm=_j4j_SH -Qhj=_j4j;R -sfjj:ROlNEhRQesRbHhlR_644_SH -m_=h4_46HQ -Sj_=h4;46 -fsRjR:jlENOReQhRHbslvR1_Qqvtjq__l#Jk_GNHm -S=_1vqtvQq__j#kJlGHN_ -jSQ=_1vqtvQq__j#kJlG -N;sjRf:ljRNROEQRheblsHR4h_4Hj_ -=Smh4_4j -_HS=Qjh4_4js; -R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#H9rc -=Sm1qv_vqQt_rM#cS9 -Q1j=vv_qQ_tqMj#_r;c9 -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_HjS9 -mv=1_QqvtMq_#9rj -jSQ=_1vqtvQq#_M_jjr9s; -R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_aQh_#._JGlkN -_HSqm=vqQt_1Az_q hA_p Q_ha.J_#lNkG_SH -Qqj=vqQt_1Az_q hA_p Q_ha.J_#lNkG;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p Q_ha4J_#lNkG_H._ -=SmqtvQqz_A1h_ q Ap_aQh_#4_JGlkN__.HQ -Sjv=qQ_tqA_z1 AhqpQ _h4a__l#Jk_GN.s; -R:fjjNRlOQERhbeRsRHlk_M4qtvQqz_A1h_ q Ap_aQh_#._JGlkN -_HSkm=Mq4_vqQt_1Az_q hA_p Q_ha.J_#lNkG -jSQ=4kM_QqvtAq_z 1_hpqA h_Qa__.#kJlGjN_;R -sfjj:ROlNEhRQesRbHBlRpji_d]j__SH -mp=Bid_jj__]HQ -Sjp=Bid_jj;_] -fsRjR:jlENOReQhRHbslpRBid_jj__]4J_#lNkG_SH -mp=Bid_jj__]4J_#lNkG_SH -QBj=pji_d]j__#4_JGlkNs; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCB\3pji_d]j__V._4 -_HS#m=0CN0_OlNECHM\p3Bid_jj__].4_V -jSQ=N#00lC_NHOEM3C\B_pij_dj]__.Vj4_;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\Q31Z7 _vcq__4Hr9m -S=N#00lC_NHOEM3C\1 QZ_q7v_4cr9Q -Sj0=#N_0ClENOH\MC3Z1Q v_7q__cj9r4;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\Q31Z7 _vcq__jHr9m -S=N#00lC_NHOEM3C\1 QZ_q7v_jcr9Q -Sj0=#N_0ClENOH\MC3Z1Q v_7q__cj9rj;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqMF#_c__jH9rj -=Smh._g -jSQ=gh_.;_H -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -69S1m=vv_qQ_tqH9r6 -jSQ=_1vqtvQq9r6;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqMF#_c__4H9rj -=Smhd_g -jSQ=gh_d;_H -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#__HFHc_r -(9Shm=_ -g6S=Qjh6_g_ -j;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\k_MdLOoN d_jjM_H0__8Hm -S=N#00lC_NHOEM3C\k_MdLOoN d_jjM_H0__8HQ -Sj0=#N_0ClENOH\MC3dkM_NLoOj _dHj_M80_;R -sfjj:ROlNEhRQesRbHklRML4_o NO_jjd_0HM_H8_ -=Smk_M4LOoN d_jjM_H0 -_8S=Qjk_M4LOoN d_jjM_H0__8js; -R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_aQh_#d_JGlkN -_HSqm=vqQt_1Az_q hA_p Q_hadJ_#lNkG_SH -Qqj=vqQt_1Az_q hA_p Q_hadJ_#lNkG;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p Q_ha4J_#lNkG_H4_ -=SmqtvQqz_A1h_ q Ap_aQh_#4_JGlkN__4HQ -Sjv=qQ_tqA_z1 AhqpQ _h4a__l#Jk_GN4s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_nHr9m -S=_1vqtvQqr_HnS9 -Q1j=vv_qQrtqn -9;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M__Fc.r_HjS9 -m_=hgSn -Qhj=__gnHs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_.Hr9m -S=_1vqtvQqr_H.S9 -Q1j=vv_qQrtq. -9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HcS9 -mv=1_QqvtHq_r -c9S=Qj1qv_vqQtr;c9 -fsRjR:jlENOReQhRHbsl_Rh4_jcHm -S=4h_jHc_ -jSQ=4h_j -c;sjRf:ljRNROEQRheblsHR4h_jH._ -=Smhj_4. -_HS=Qjhj_4.s; -R:fjjNRlOQERhbeRsRHlhj_44 -_HShm=_44j_SH -Qhj=_44j;R -sfjj:ROlNEhRQesRbHhlR_64j_SH -m_=h4_j6HQ -Sj_=h4;j6 -fsRjR:jlENOReQhRHbsl_Rh4_jnHm -S=4h_jHn_ -jSQ=4h_j -n;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M_4Hr9m -S=_1vqtvQq#_Mr -49S=Qj1qv_vqQt__M#j9r4;R -sfjj:ROlNEhRQesRbHhlR_(4j_SH -m_=h4_j(HQ -Sj_=h4;j( -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_H.S9 -mv=1_QqvtMq_#9r. -jSQ=_1vqtvQq#_M_.jr9s; -R:fjjNRlOQERhbeRsRHlhj_4g -_HShm=_g4j_SH -Qhj=_g4j;R -sfjj:ROlNEhRQesRbHhlR_U4j_SH -m_=h4_jUHQ -Sj_=h4;jU -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_HdS9 -mv=1_QqvtMq_#9rd -jSQ=_1vqtvQq#_M_djr9s; -R:fjjNRlOQERhbeRsRHlh4_44 -_HShm=_444_SH -Qhj=_444;R -sfjj:ROlNEhRQesRbHhlR_.44_SH -m_=h4_4.HQ -Sj_=h4;4. -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_H6S9 -mv=1_QqvtMq_#9r6 -jSQ=_1vqtvQq#_M_6jr9s; -R:fjjNRlOQERhbeRsRHlh4_4c -_HShm=_c44_SH -Qhj=_c44;R -sfjj:ROlNEhRQesRbHhlR_d44_SH -m_=h4_4dHQ -Sj_=h4;4d -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_HnS9 -mv=1_QqvtMq_#9rn -jSQ=_1vqtvQq#_M_njr9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#FHc_r -j9Shm=_ -g4S=Qjh4_g_ -H;sjRf:ljRNROEQRheblsHRUkM_HOHM -_HSkm=MOU_H_HMHQ -SjM=kUH_OH -M;sjRf:ljRNROEQRheblsHR4kMcH_OHHM_ -=SmkcM4_HOHMQ -SjM=k4Oc_H_HMjs; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MN4_#d_jj__Hjm -S=N#00lC_NHOEM3C\k_M4Nj#_dSj -Q#j=0CN0_OlNECHM\M3k4#_N_jjd_ -H;sjRf:ljRNROEQRheblsHRHq_r9.( -=Smqr_H. -(9S=Qjqr_O.;(9 -fsRjR:jlENOReQhRHbsl_RqHUr.9m -S=Hq_r9.U -jSQ=Oq_r9.U;R -sfjj:ROlNEhRQesRbHqlR_.Hr6S9 -m_=qH6r.9Q -Sj_=qO6r.9s; -R:fjjNRlOQERhbeRsRHlqr_H. -n9Sqm=_.HrnS9 -Qqj=_.Orn -9;sjRf:ljRNROEQRheblsHRHq_r9.c -=Smqr_H. -c9S=Qjqr_O.;c9 -fsRjR:jlENOReQhRHbslMRk6H_OHHM_ -=Smk_M6OMHH_SH -Qkj=MO6_H;HM -fsRjR:jlENOR7qh.sRbHklRM_4nOMHH -=SmknM4_HOHMQ -SjM=k6H_OHHM_ -4SQ=4kMcH_OH -M;sjRf:ljRNROEQRheblsHRXM uu_1q_B Hm -S=XM uu_1q_B HQ -Sj =MX1u_u qB_ -O;sjRf:ljRNROEq.h7RHbslMRk4Oc_H -HMSkm=M_4cOMHH_Sj -QMj= _Xu1Buq -_OS=Q4k_MUOMHH_ -H;sjRf:ljRNROEQRheblsHR4Q_US4 -m1=q_jjd_SH -Qqj=1d_jj;_O -fsRjR:jlENOReQhRHbslMRk4O._H_HMHm -S=4kM.H_OHHM_ -jSQ=4kM.H_OH -M;sjRf:ljRNROEq.h7RHbslMRkUH_OHSM -mM=kUH_OHSM -Qqj=1d_jj -_HS=Q4k.M4_HOHM;_H -fsRjR:jlENOReQhRHbslMRk4Vg_bOk_# -_HSkm=M_4gV_bkOH#_ -jSQ=4kMgb_Vk#_O;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_rM#6S9 -mv=1_QqvtMq_#r_j6S9 -Qhj=_444_SH -Qh4=_.44_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9rd -=Sm1qv_vqQt__M#j9rd -jSQ=4h_jHU_ -4SQ=4h_jHg_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_rM#.S9 -mv=1_QqvtMq_#r_j.S9 -Qhj=__ggHQ -S4_=h4_j(Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_Mr -49S1m=vv_qQ_tqMj#_r -49S=Qjhj_46 -_HS=Q4hj_4n;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_c9rn -=Smh4_4dQ -Sjv=1_Qqvt.qr9Q -S4P=NCCO_G -b;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_6jr9m -S=4h_4S. -Qhj=__g4HQ -S4v=1_Qqvt.qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rNc6S9 -m_=h4 -44S=Qjh._g_SH -Q14=vv_qQrtqd -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_djr9m -S=4h_jSg -Qhj=__g4HQ -S4v=1_Qqvtcqr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rNcdS9 -m_=h4 -jUS=QjB_pij_jjhS -Q14=vv_qQrtq6 -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_4jr9m -S=4h_jSn -Qhj=__g4HQ -S4v=1_Qqvtnqr9s; -R:fjjNRlOQERhbeRsRHlA) )_SH -m =A)H)_ -jSQ=)A );_O -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4#_N_jjd -=Sm#00NCN_lOMEHCk\3MN4_#d_jj -_HS=Qjqj1_dHj_ -4SQ=)A );_O -fsRjR:jlENOReQhRHbsl_RqH4rd9m -S=Hq_r9d4 -jSQ=Oq_r9d4;R -sfjj:ROlNEhRQesRbHqlR_.HrgS9 -m_=qHgr.9Q -Sj_=qOgr.9s; -R:fjjNRlOQERhbeRsRHlqr_Hd -j9Sqm=_dHrjS9 -Qqj=_dOrj -9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H(S9 -mv=1_QqvtHq_r -(9S=Qj1qv_vqQtr;(9 -fsRjR:jlENOReQhRHbslPRNCCO_GHb_ -=SmNOPC_bCG_SH -QNj=P_COC;Gb -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -j9S1m=vv_qQ_tqH9rj -jSQ=_1vqtvQq9rj;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqjJ_#lNkG_H4_ -=Sm1qv_vqQt_#j_JGlkN__4HQ -Sjv=1_Qqvtjq__l#Jk_GN4s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_dHr9m -S=_1vqtvQqr_HdS9 -Q1j=vv_qQrtqd -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_Nr -.9Shm=_(4j -jSQ=4h_44c_ -4SQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#N4c_r -.9Shm=_c44_S4 -QAj= _))OQ -S4p=Bij_jj _h_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_njr9m -S=4h_4Sc -Qhj=_c44_S4 -Q14=vv_qQrtq4 -9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H4S9 -mv=1_QqvtHq_r -49S=Qj1qv_vqQtr;49 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#__rFc(S9 -m_=hgj6_ -jSQ=iBp_jjj_ -h S=Q41qv_vqQtr;49 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMF#_cr_4jS9 -m_=hgHd_ -jSQ=_1vqtvQqr_H4S9 -Q14=vv_qQ_tqH9r6;R -sfjj:ROlNEhRQesRbHBlRpji_jhj_ -_HSBm=pji_jhj_ -_HS=QjB_pij_jjh - ;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_F_jjr9m -S=gh_. -_HS=QjB_pij_jjhS -Q#4=0CN0_OlNECHM\M3k4O4_Dj _jMj_Cs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rFcjS9 -m_=hgH4_ -jSQ=)A ) -_OS=Q4NOPC_bCG_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9rn -=Sm1qv_vqQt__M#j9rn -jSQ=4h_4Hd_ -4SQ=4h_4Hc_;R -sfjj:ROlNEhRQesRbHAlRtiqB_jjd_aQh_H7_ -=SmABtqid_jjh_Qa__7HQ -Sjt=Aq_Bij_djQ_ha7s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_M6LOoN d_jjM_H0 -_8S#m=0CN0_OlNECHM\M3k6o_LN_O j_djH_M08 -_HS=QjABtqid_jjh_QaQ -S4t=Aq_Bij_djQ_ha7s; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA h_Qa__4#kJlG4N_ -=SmqtvQqz_A1h_ q Ap_aQh_#4_JGlkN -_4S=QjABtqid_jjh_QaQ -S4v=1_Qqvtjq__l#Jk_GN4;_H -fsRjR:jlENOReQhRHbsl_RhgHU_ -=SmhU_g_SH -Qhj=_;gU -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p Q_hadJ_#lNkG -=SmqtvQqz_A1h_ q Ap_aQh_#d_JGlkNQ -Sj_=hgHU_ -4SQ=N#00lC_NHOEM3C\k_M6LOoN d_jjM_H0__8Hs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA h_Qa__4#kJlG.N_ -=SmqtvQqz_A1h_ q Ap_aQh_#4_JGlkN -_.S=QjqtvQqz_A1h_ q Ap_aQh_#d_JGlkNQ -S41=q_jjd_ -H;sjRf:ljRNROEq.h7RHbslMRk4v_qQ_tqA_z1 AhqpQ _h.a__l#Jk -GNSkm=Mq4_vqQt_1Az_q hA_p Q_ha.J_#lNkG_Sj -Qqj=vqQt_1Az_q hA_p Q_ha4J_#lNkG_H._ -4SQ=QqvtAq_z 1_hpqA h_Qa__.#kJlGHN_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#j_JGlkN__jNSc -mv=1_Qqvtjq__l#Jk -GNS=Qj1qv_vqQtr -c9S=Q4NOPC_bCG;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_H.__ -NcShm=_ -gUS=Qj1qv_vqQt_jHr9Q -S4v=1_QqvtHq_r;49 -fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tq6__HNSc -m_=hgSg -Q1j=vv_qQrtqnS9 -QN4=P_COC;Gb -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_cr_.jS9 -m_=h4 -jdS=Qjh4_g -4SQ=_1vqtvQq9rj;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#N4cr9m -S=4h_jS6 -Q1j=vv_qQrtq(S9 -Q14=vv_qQ_tqjJ_#lNkG_ -4;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HN(cr9m -S=4h_4S6 -Qhj=_ -g6S=Q41qv_vqQt_jHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_Mr -c9S1m=vv_qQ_tqMj#_r -c9S=Qjh4_4j -_HS=Q41qv_vqQt_#j_JGlkN;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#_r -(9Shm=__UcHQ -Sj_=h4_jdHQ -S4_=h4_46Hs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\B_pij_dj]__.VSj -m0=#N_0ClENOH\MC3iBp_jjd_.]_ -jSQ=N#00lC_NHOEM3C\B_pij_dj]__.VS4 -Q#4=0CN0_OlNECHM\M3kUo_LN_O j_djH;M0 -fsRjR:jlENOR7qh.sRbHBlRpji_d]j__#4_JGlkN -_4SBm=pji_d]j__#4_JGlkN -_4S=Qjqj1_j7j_vHq_ -4SQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0s; -R:fjjNRlOQERhbeRsRHlqj1_j7j_vHq_ -=Smqj1_j7j_vHq_ -jSQ=_q1j_jj7;vq -fsRjR:jlENOReQhRHbslpRBid_jj -_HSBm=pji_dHj_ -jSQ=iBp_jjd_ -O;sjRf:ljRNROEq.h7RHbslpRBid_jj__]4J_#lNkG -=SmB_pij_dj]__4#kJlGSN -Qqj=1j_jjv_7q -_HS=Q4B_pij_djHs; -R:fjjNRlOQERhbeRsRHlQU_4dm -S=_q1j_jjHQ -Sj1=q_jjj_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_Nj_d__j4m -S=4h_(4g_ -jSQ=_q1j_jjHQ -S4t=Aq_Bij_djQ_haHs; -R:fjjNRlOQERhbeRsRHlQU_4.m -S=_)Wj_jjHQ -SjW=)_jjj_ -O;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_#4_JGlkN -_4S7m=1j_jjv_7q__4#kJlG4N_ -jSQ=_)Wj_jjHQ -S40=#N_0ClENOH\MC3UkM_NLoOj _dHj_M -0;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\kcM._NLoOj _dHj_MH0_ -=Sm#00NCN_lOMEHCk\3M_.cLOoN d_jjM_H0 -_HS=Qj#00NCN_lOMEHCk\3M_.cLOoN d_jjM_H0s; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vq4J_#lNkG -=Sm7j1_j7j_v4q__l#Jk -GNS=Qj7j1_j7j_v4q__l#Jk_GN4Q -S40=#N_0ClENOH\MC3.kMco_LN_O j_djH_M0Hs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_MULOoN d_jjM_H0m -S=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0Q -Sj_=h4_(g4Q -S40=#N_0ClENOH\MC34kMjo_LN_O j_djH;M0 -fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 AhqpQ _hja_3Ss -mv=qQ_tqA_z1 AhqpQ _hja_3dkM -jSQ=4kM_QqvtAq_z 1_hpqA h_Qa__.#kJlG -N;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 AhqpQ _hja_3Sl -mv=qQ_tqA_z1 AhqpQ _hja_34kM -jSQ=QqvtAq_z 1_hpqA h_QaQ -S4M=k4v_qQ_tqA_z1 AhqpQ _h.a__l#Jk;GN -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p Q_haj -3MSqm=vqQt_1Az_q hA_p Q_hajM3kjQ -SjM=k4o_LN_O j_djH_M08Q -S4v=qQ_tqA_z1 AhqpQ _hja_3dkM;R -sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p Q_haj -3bShm=_S6 -Qqj=vqQt_1Az_q hA_p Q_hajM3k4Q -S4v=qQ_tqA_z1 AhqpQ _hja_3jkM;R -bfjj:RDVN#tCRht7Rh -7;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3dkM_NLoOj _dHj_M80_ -=Sm#00NCN_lOMEHCk\3MLd_o NO_jjd_0HM_S8 -QAj=tiqB_jjd_aQh -4SQ=qAtBji_dQj_h7a__ -H;sjRf:ljRNROEQRheblsHRqAtBji_dQj_hHa_ -=SmABtqid_jjh_Qa -_HS=QjABtqid_jjh_Qas; -R:fjjNRlOQERhbeRsRHlB_pij_dj]__4#kJlG4N__SH -mp=Bid_jj__]4J_#lNkG_H4_ -jSQ=iBp_jjd_4]__l#Jk_GN4s; -R:fjjNRlOQERhbeRsRHl7j1_j7j_vjq_3Ss -m1=7_jjj_q7v_kj3MSd -Q7j=1j_jjv_7q__4#kJlG -N;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_lj3 -=Sm7j1_j7j_vjq_34kM -jSQ=_71j_jj7 -vqS=Q47j1_j7j_v4q__l#Jk;GN -fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q3_jMm -S=_71j_jj7_vqjM3kjQ -Sjp=Bid_jj__]4J_#lNkG_H4_ -4SQ=_71j_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHl7j1_j7j_vjq_3Sb -m_=hdQ -Sj1=7_jjj_q7v_kj3MS4 -Q74=1j_jjv_7q3_jk;Mj -fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_sj3 -=Smqj1_j7j_vjq_3dkM -jSQ=_q1j_jj7_vq4J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHlqj1_j7j_vjq_3Sl -m1=q_jjj_q7v_kj3MS4 -Qqj=1j_jjv_7qQ -S41=q_jjj_q7v_#4_JGlkNs; -R:fjjNRlOqERhR7.blsHR_q1j_jj7_vqj -3MSqm=1j_jjv_7q3_jk -MjS=Qj#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM_SH -Qq4=1j_jjv_7q3_jk;Md -fsRjR:jlENOR.m)RHbsl1Rq_jjj_q7v_bj3 -=Smh -_cS=Qjqj1_j7j_vjq_34kM -4SQ=_q1j_jj7_vqjM3kjs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\kcM._NLoOj _dHj_MS0 -m0=#N_0ClENOH\MC3.kMco_LN_O j_djH -M0S=QjB_pij_dj]Q -S4p=Bid_jj;_O -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4Lj_o NO_jjd_0HM -=Sm#00NCN_lOMEHCk\3M_4jLOoN d_jjM_H0 -_jS=Qjp_71j_jjOQ -S47=z1j_jj;_O -fsRjR:jlENOReQhRHbsl_RQ4 -UcSzm=7j1_jHj_ -jSQ=1z7_jjj_ -O;sjRf:ljRNROEQRheblsHR4Q_US6 -m7=p1j_jj -_HS=Qjp_71j_jjOs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k4Md_NLoOj _dHj_MS0 -m0=#N_0ClENOH\MC3dkM4o_LN_O j_djH -M0S=Qjp_71j_jjHQ -S47=z1j_jj;_H -fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q__4#kJlGSN -m1=q_jjj_q7v_#4_JGlkNQ -Sjp=Bid_jj -_HS=Q4#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCq\3jv_7q -_.S#m=0CN0_OlNECHM\j3q_q7v_S. -Qzj=7j1_jOj_ -4SQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0s; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_d4LOoN d_jjM_H0 -_HS#m=0CN0_OlNECHM\M3kdL4_o NO_jjd_0HM_SH -Q#j=0CN0_OlNECHM\M3kdL4_o NO_jjd_0HM;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHC1\3Q_Z 7_vqc9rj -=Sm#00NCN_lOMEHC1\3Q_Z 7_vqcr_jjS9 -Q#j=0CN0_OlNECHM\M3kUo_LN_O j_djH -M0S=Q4#00NCN_lOMEHCk\3M_d4LOoN d_jjM_H0s; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM_SH -m0=#N_0ClENOH\MC3UkM_NLoOj _dHj_MH0_ -jSQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\1 QZ_q7v_4cr9m -S=N#00lC_NHOEM3C\1 QZ_q7v_jc_r -49S=Qj#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM -4SQ=N#00lC_NHOEM3C\k4Md_NLoOj _dHj_MH0_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCB\3pji_d]j__V._4m -S=N#00lC_NHOEM3C\B_pij_dj]__.Vj4_ -jSQ=iBp_jjd_4]__l#Jk_GNHQ -S4p=Bid_jj__]Hs; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#jd_Nr -.9S#m=0CN0_OlNECHM\M3k.On_Dj _jbj_C -_.S=QjO_bkCr#04S9 -QO4=bCk_#.0r9s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9r4 -=SmO_bkC_#0H9r4 -jSQ=kOb_0C#r;49 -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jN4d_r -49Shm=_g4n -jSQ=kOb_0C#r -j9S=Q4O_bkC_#0H9r4;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#___Ndj9r4 -=Smhn_4UQ -Sjb=Ok#_C09r. -4SQ=kOb_0C#r;d9 -fsRjR:jlENOReQhRHbslbROk#_C0r_HdS9 -mb=Ok#_C0r_HdS9 -QOj=bCk_#d0r9s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9r. -=SmO_bkC_#0H9r. -jSQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jN4dr9m -S=4h_nS( -QOj=bCk_#H0_r -.9S=Q4O_bkC_#0H9rd;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_.UO_D j_jjbSC -m0=#N_0ClENOH\MC3.kMUD_O j_jjC_b_Sj -Q#j=0CN0_OlNECHM\M3k6D_O j_jjC_M_SH -Q#4=0CN0_OlNECHM\M3k.On_Dj _jbj_C;_H -fsRjR:jlENOReQhRHbslbROk#_C0_#C. -3sSOm=bCk_#C0#_k.3MSd -QNj=P_COC;Gb -fsRjR:jlENOR7qh.sRbHOlRbCk_#C0#_l.3 -=SmO_bkC##0C3_.k -M4S=Qjhn_4c -_HS=Q4NOPC_bCG;R -sfjj:ROlNEhRq7b.RsRHlO_bkC##0C3_.Mm -S=kOb_0C##.C_3jkM -jSQ=kOb_0C#r -d9S=Q4O_bkC##0C3_.k;Md -fsRjR:jlENOR.m)RHbslbROk#_C0_#C. -3bSOm=bCk_#M0_#r_CdS9 -QOj=bCk_#C0#_k.3MS4 -QO4=bCk_#C0#_k.3M -j;sjRf:ljRNROEQRheblsHRkOb_0C##4C_3Ss -mb=Ok#_C0_#C4M3kdQ -SjP=NCCO_G -b;sjRf:ljRNROEq.h7RHbslbROk#_C0_#C4 -3lSOm=bCk_#C0#_k43MS4 -QOj=bCk_#M0_#9r. -4SQ=CNPOG_Cbs; -R:fjjNRlOqERhR7.blsHRkOb_0C##4C_3SM -mb=Ok#_C0_#C4M3kjQ -Sjb=Ok#_C09r. -4SQ=kOb_0C##4C_3dkM;R -sfjj:ROlNE)Rm.sRbHOlRbCk_#C0#_b43 -=SmO_bkC_#0MC#_r -.9S=QjO_bkC##0C3_4k -M4S=Q4O_bkC##0C3_4k;Mj -fsRjR:jlENOReQhRHbslbROk#_C0_#Cj -3sSOm=bCk_#C0#_kj3MSd -QNj=P_COC;Gb -fsRjR:jlENOR7qh.sRbHOlRbCk_#C0#_lj3 -=SmO_bkC##0C3_jk -M4S=QjO_bkC_#0M4#r9Q -S4P=NCCO_G -b;sjRf:ljRNROEq.h7RHbslbROk#_C0_#Cj -3MSOm=bCk_#C0#_kj3MSj -QOj=bCk_#40r9Q -S4b=Ok#_C0_#CjM3kds; -R:fjjNRlOmER)b.RsRHlO_bkC##0C3_jbm -S=kOb_0C#__M#C9r4 -jSQ=kOb_0C##jC_34kM -4SQ=kOb_0C##jC_3jkM;R -sfjj:ROlNEhRQesRbHelRvQq_hja_3Ss -mv=eqh_Qa3_jk -MdS=Qj#00NCN_lOMEHCk\3M_.UO_D j_jjb -C;sjRf:ljRNROEq.h7RHbslvReqh_Qa3_jlm -S=qev_aQh_kj3MS4 -Q#j=0CN0_OlNECHM\M3k.On_Dj _jbj_C -_cS=Q4#00NCN_lOMEHCk\3M_.UO_D j_jjb -C;sjRf:ljRNROEq.h7RHbslvReqh_Qa3_jMm -S=qev_aQh_kj3MSj -Qej=vQq_hSa -Qe4=vQq_hja_3dkM;R -sfjj:ROlNE)Rm.sRbHelRvQq_hja_3Sb -m_=h4Sc -Qej=vQq_hja_34kM -4SQ=qev_aQh_kj3M -j;sjRf:ljRNROEQRheblsHRiBp_jjd_j]_3Ss -mp=Bid_jj__]jM3kdQ -Sj1=)a;_O -fsRjR:jlENOR7qh.sRbHBlRpji_d]j__lj3 -=SmB_pij_dj]3_jk -M4S=Qj#00NCN_lOMEHCB\3pji_d]j__S. -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlB_pij_dj]3_jMm -S=iBp_jjd_j]_3jkM -jSQ=iBp_jjd_S] -QB4=pji_d]j__kj3M -d;sjRf:ljRNROEmR).blsHRiBp_jjd_j]_3Sb -m_=h4Q -Sjp=Bid_jj__]jM3k4Q -S4p=Bid_jj__]jM3kjs; -R:fjjNRlOQERhbeRsRHl7j1_j7j_v4q__l#Jk_GN4 -_HS7m=1j_jjv_7q__4#kJlG4N__SH -Q7j=1j_jjv_7q__4#kJlG4N_;R -sfjj:ROlNEhRQesRbH)lRWj_jjv_7q3_jsm -S=_)Wj_jj7_vqjM3kdQ -Sj1=q_jjj_q7v_#4_JGlkNs; -R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vqj -3lS)m=Wj_jjv_7q3_jk -M4S=Qj)jW_j7j_vSq -Qq4=1j_jjv_7q__4#kJlG -N;sjRf:ljRNROEq.h7RHbslWR)_jjj_q7v_Mj3 -=Sm)jW_j7j_vjq_3jkM -jSQ=_71j_jj7_vq4J_#lNkG_H4_ -4SQ=_)Wj_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHl)jW_j7j_vjq_3Sb -m_=h.Q -SjW=)_jjj_q7v_kj3MS4 -Q)4=Wj_jjv_7q3_jk;Mj -fsRjR:jlENOReQhRHbslWR)_jjj_aQh_sj3 -=Sm)jW_jQj_hja_3dkM -jSQ=(h_6s; -R:fjjNRlOqERhR7.blsHR_)Wj_jjQ_haj -3lS)m=Wj_jjh_Qa3_jk -M4S=Qj#00NCN_lOMEHC)\3Wj_jjh_Qa -_dS=Q4h6_(;R -sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3SM -mW=)_jjj_aQh_kj3MSj -Q)j=Wj_jjh_QaQ -S4W=)_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_)Wj_jjQ_haj -3bShm=_ -4dS=Qj)jW_jQj_hja_34kM -4SQ=_)Wj_jjQ_hajM3kjs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)jm -S=QqvtAq_z71_q_aq7_Q)O -_jS=Qjh(_4U -_HS=Q4h(_4g;_H -fsRjR:jlENOReQhRHbsl_RQ4 -UnS)m=W -_HS=Qj)OW_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__ -NdShm=_U4( -jSQ=qAtBji_dQj_hSa -Q)4=W;_H -fsRjR:jlENOR)Xm.sRbHOlRbCk_#C0# -=SmO_bkC_#0MC#_r -j9S=QjNOPC_bCG -4SQ=kOb_0C#r;j9 -fsRjR:jlENOReQhRHbsluReq__7Hm -S=qeu_H7_ -jSQ=qeu_ -7;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kM6D_O j_jjC_M_S4 -m0=#N_0ClENOH\MC34kM6D_O j_jjC_M_S4 -QOj=bCk_#d0r9Q -S4b=Ok#_C0r_H4 -9;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_dHr9m -S=4h_nHc_ -jSQ=4h_(Hd_ -4SQ=4h_(Hc_;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#__rN.4S9 -m_=h4 -(6S=QjO_bkCr#04S9 -QO4=bCk_#H0_r;j9 -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__HNjd_r -d9Shm=_c4( -jSQ=4h_(S6 -QO4=bCk_#H0_r;d9 -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC34kM6D_O j_jjC_M_H4_ -=Sm#00NCN_lOMEHCk\3M_46O_D j_jjM4C__SH -Q#j=0CN0_OlNECHM\M3k4O6_Dj _jMj_C;_4 -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__HNddr9m -S=4h_(Sd -QOj=bCk_#.0r9Q -S40=#N_0ClENOH\MC34kM6D_O j_jjC_M_H4_;R -sfjj:ROlNEhRQesRbHOlRbCk_#H0_r -j9SOm=bCk_#H0_r -j9S=QjO_bkCr#0j -9;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_Nj_dr_4.S9 -m_=h4 -(.S=QjO_bkC_#0H9rj -4SQ=kOb_0C#_4Hr9s; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#jd_N_.jr9m -S=4h_(S4 -QOj=bCk_#j0r9Q -S4b=Ok#_C09rd;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_h4a__l#Jk -GNS7m=1iqB4h_Qa__4#kJlGSN -Q7j=1iqB4h_Qa__j#kJlGHN_ -4SQ=N#00lC_NHOEM3C\k_M4Nj#_dHj_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_44O_D j_jjMSC -m0=#N_0ClENOH\MC34kM4D_O j_jjC_M_SH -Q#j=0CN0_OlNECHM\M3kgD_O j_jjC_M_SH -Q#4=0CN0_OlNECHM\M3k4O6_Dj _jMj_C;_H -fsRjR:jlENOReQhRHbslvReqh_Qa -_HSem=vQq_hHa_ -jSQ=qev_aQh;R -sfjj:ROlNEhRQesRbHelRuHq_ -=Sme_uqHQ -Sju=eq;_O -fsRjR:jlENOR7qh.sRbHklRMN._#d_jjm -S=.kM__N#j -djS=Qj#00NCN_lOMEHCk\3MN4_#d_jj -_HS=Q4k_M41qv_vqQt_#j_JGlkN__.Hs; -R:fjjNRlOQERhbeRsRHlB_pij_jj7H4_ -=SmB_pij_jj7H4_ -jSQ=iBp_jjj_;74 -fsRjR:jlENOReQhRHbslpRBij_jj._7_SH -mp=Bij_jj._7_SH -QBj=pji_j7j_.s; -R:fjjNRlOQERhbeRsRHlB_pij_jj7Hd_ -=SmB_pij_jj7Hd_ -jSQ=iBp_jjj_;7d -fsRjR:jlENOReQhRHbsl1Rq_jjd_jjj_h1YB -_HSqm=1d_jjj_jjY_1hHB_ -jSQ=_q1j_djj_jj1BYh;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_H6_ -=Smh6_(_Sj -Qhj=__ggHQ -S4v=1_QqvtHq_r;(9 -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\W3)_jjj_aQh_Sd -m0=#N_0ClENOH\MC3_)Wj_jjQ_had -_jS=Qj)HW_ -4SQ=_1vqtvQqr_H( -9;sjRf:ljRNROEq.h7RHbslMRk4v_1_Qqvtjq__l#Jk_GN.m -S=4kM__1vqtvQq__j#kJlG.N__SH -Q7j=1j_jjh_ q Ap_#j_JGlkN -_HS=Q41qv_vqQt_#j_JGlkN;_H -fsRjR:jlENOReQhRHbsluRQpd_jj__j.s_3 -=SmQ_upj_djj__.3dkM -jSQ=CNPOG_Cbs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.j__ -3lSQm=ujp_djj__3._k -M4S=QjQ_upO9r. -4SQ=CNPOG_Cbs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.j__ -3MSQm=ujp_djj__3._k -MjS=QjQ_upj_djO9r. -4SQ=pQu_jjd_.j__M3kds; -R:fjjNRlOmER)b.RsRHlQ_upj_djj__.3Sb -m_=h4Sg -QQj=ujp_djj__3._k -M4S=Q4Q_upj_djj__.3jkM;R -sfjj:ROlNEhRQesRbHQlRujp_djj__34_sm -S=pQu_jjd_4j__M3kdQ -SjP=NCCO_G -b;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j4l_3 -=SmQ_upj_djj__434kM -jSQ=pQu_4Or9Q -S4P=NCCO_G -b;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j4M_3 -=SmQ_upj_djj__43jkM -jSQ=pQu_jjd_4Or9Q -S4u=Qpd_jj__j4k_3M -d;sjRf:ljRNROEmR).blsHRpQu_jjd_4j__ -3bShm=_ -4US=QjQ_upj_djj__434kM -4SQ=pQu_jjd_4j__M3kjs; -R:fjjNRlOQERhbeRsRHlQ_upj_djj__j3Ss -mu=Qpd_jj__jjk_3MSd -QNj=P_COC;Gb -fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_lm -S=pQu_jjd_jj__M3k4Q -Sju=Qpr_OjS9 -QN4=P_COC;Gb -fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_Mm -S=pQu_jjd_jj__M3kjQ -Sju=Qpd_jjr_OjS9 -QQ4=ujp_djj__3j_k;Md -fsRjR:jlENOR.m)RHbsluRQpd_jj__jjb_3 -=Smh(_4 -jSQ=pQu_jjd_jj__M3k4Q -S4u=Qpd_jj__jjk_3M -j;sjRf:ljRNROEq.h7RHbslMRk41_q_jjd_jjj_h1YB__j#kJlG4N_ -=Smk_M4qj1_djj_j1j_Y_hBjJ_#lNkG_S4 -Qqj=1d_jjj_jjY_1hjB__l#Jk_GNHQ -S40=#N_0ClENOH\MC34kM__N#j_djHs; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_.UNj#_dHj_ -=Sm#00NCN_lOMEHCk\3M_.UNj#_dHj_ -jSQ=N#00lC_NHOEM3C\kUM.__N#j;dj -fsRjR:jlENOReQhRHbsl_RqHnr49m -S=Hq_r94n -jSQ=Oq_r94n;R -sfjj:ROlNEhRQesRbHqlR_4HrUS9 -m_=qHUr49Q -Sj_=qOUr49s; -R:fjjNRlOQERhbeRsRHlqr_H4 -g9Sqm=_4HrgS9 -Qqj=_4Org -9;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#j_JGlkNm -S=q71B_i4Q_hajJ_#lNkG -jSQ=iBp_jjj_1h_YrhBnS9 -Q14=vv_qQrtq4 -9;sjRf:ljRNROEQRheblsHRiBp_jjj__7jHm -S=iBp_jjj__7jHQ -Sjp=Bij_jjj_7;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MO6_Dj _jMj__M#$Om -S=N#00lC_NHOEM3C\k_M6O_D j_jjM$_#MSO -QBj=pji_j7j_j -_HS=Q4B_pij_jj7 -4;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3ckM_NLoOj _jSj -m0=#N_0ClENOH\MC3ckM_NLoOj _jjj_ -jSQ=qAtBji_jOj_ -4SQ=CNPOG_Cb;_H -fsRjR:jlENOReQhRHbsl_RQ4 -U(S7m=aiqB_SH -Q7j=aiqB_ -O;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3gkM_ OD_jjj_ -MCS#m=0CN0_OlNECHM\M3kgD_O j_jjC_M -jSQ=q7aBHi_ -4SQ=qeu_ -O;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3UkM__Loj -djS#m=0CN0_OlNECHM\M3kUo_L_jjd_Sj -QAj=td_jj__OHQ -S40=#N_0ClENOH\MC3nkM__Loj_djHs; -R:fjjNRlOQERhbeRsRHlhg_g_SH -m_=hgHg_ -jSQ=gh_gs; -R:fjjNRlOqERhR7.blsHR_q1j_jjQ_ha4J_#lNkG -=Smqj1_jQj_h4a__l#Jk -GNS=Qjhg_g_SH -Q#4=0CN0_OlNECHM\M3k4#_N_jjd_ -H;sjRf:ljRNROEQRheblsHRq71B_i4Q_hajJ_#lNkG_SH -m1=7q4Bi_aQh_#j_JGlkN -_HS=Qj7B1qiQ4_hja__l#Jk;GN -fsRjR:jlENOReQhRHbsl1R)a -_HS)m=1Ha_ -jSQ=a)1_ -O;sjRf:ljRNROEX.m)RHbslpRBiz_ma)_u 6_._Sj -m_=h4S6 -QBj=pmi_zua_). _6Q -S40=#N_0ClENOH\MC3dkM_ OD_0Fk_Cbs_;6j -fsRjR:jlENOR7qh.sRbHklRMz4_7j1_jQj_hSa -mM=k47_z1j_jjh_Qa -_jS=Qj7j1_j j_hpqA Q -S47=z1j_jjh_Qa;_H -fsRjR:jlENOR7qh.sRbHklRMp4_7j1_jQj_hSa -mM=k47_p1j_jjh_Qa -_jS=Qj7j1_j j_hpqA Q -S47=p1j_jjh_Qa;_H -fsRjR:jlENOR7qh.sRbHklRMOn_Db _snC_nm -S=nkM_ OD_Cbs_ -nnS=QjB_piB_hah9r4 -4SQ=iBp_aBh_4ur9s; -R:fjjNRlOQERhbeRsRHlB_piB_hahr_HjS9 -mp=Bih_Ba__hH9rj -jSQ=iBp_aBh_jhr9s; -R:fjjNRlOqERhR7.blsHR.kM_ OD_Cbs_ -nnSkm=MO._Db _snC_nQ -Sjp=Bih_Ba__hH9rj -4SQ=iBp_aBh_jur9s; -R:fjjNRlOqERhR7.blsHRiBp_ u)_ -nnSBm=pui_)n _n -_jS=Qjk_M.O_D b_sCnHn_ -4SQ=nkM_ OD_Cbs__nnHs; -R:fjjNRlOXERmR).blsHR4t_jSg -mM=kcD_O M_O0__M49r4 -jSQ=iBp_aBh_jhr9Q -S4p=Bih_Bar_h4 -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -46Skm=MO._DO _Mb0_r -49S=QjB_piB_hau9rj -4SQ=iBp_aBh_4ur9s; -R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBjJ_#lNkG_SH -m1=q_jjd_jjj_h1YB__j#kJlGHN_ -jSQ=_q1j_djj_jj1BYh_#j_JGlkNs; -R:fjjNRlOQERhbeRsRHlB_pim_zau_) dHd_ -=SmB_pim_zau_) dHd_ -jSQ=iBp_amz_ u)_;dd -fsRjR:jlENOReQhRHbslpRBiz_ma)_u j_6_SH -mp=Biz_ma)_u j_6_SH -QBj=pmi_zua_)6 _js; -R:fjjNRlOQERhbeRsRHlk_McO_D O_M0M__4H9r4 -=Smk_McO_D O_M0M__4H9r4 -jSQ=ckM_ OD_0OM_4M_r;49 -fsRjR:jlENOReQhRHbslpRBi1_mZHQ_ -=SmB_pimQ1Z_SH -QBj=pmi_1_ZQOs; -R:fjjNRlOQERhbeRsRHlk_M.O_D O_M0br_H4S9 -mM=k.D_O M_O0__bH9r4 -jSQ=.kM_ OD_0OM_4br9s; -R:fjjNRlOQERhbeRsRHlB_pim_zau_) 67j__SH -mp=Biz_ma)_u j_6_H7_ -jSQ=iBp_amz_ u)__6j7s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_MdO_D F_k0b_sC6Sj -m0=#N_0ClENOH\MC3dkM_ OD_0Fk_Cbs_ -6jS=QjB_pim_zau_) 6Sj -QB4=pmi_zua_)6 _j__7Hs; -R:fjjNRlOQERhbeRsRHlknM4_HOHM -_HSkm=M_4nOMHH_SH -Qkj=M_4nOMHH;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hjB_3Ss -m1=q_jjd_jjj_h1YB3_jk -MdS=Qjk_M4qj1_djj_j1j_Y_hBjJ_#lNkG_ -4;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jlm -S=_q1j_djj_jj1BYh_kj3MS4 -Qqj=1d_jjj_jjY_1hSB -Qk4=Mq4_1d_jjj_jjY_1hjB__l#Jk_GN4s; -R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_Mj3 -=Smqj1_djj_j1j_Y_hBjM3kjQ -Sj0=#N_0ClENOH\MC34kM__N#j -djS=Q4qj1_djj_j1j_Y_hBjM3kds; -R:fjjNRlOmER)b.RsRHlqj1_djj_j1j_Y_hBj -3bShm=_Sn -Qqj=1d_jjj_jjY_1hjB_34kM -4SQ=_q1j_djj_jj1BYh_kj3M -j;sjRf:ljRNROEQRheblsHR_q1j_jjQ_haj -3sSqm=1j_jjh_Qa3_jk -MdS=Qjqj1_jQj_h4a__l#Jk;GN -fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa3_jlm -S=_q1j_jjQ_hajM3k4Q -Sj1=q_jjj_aQh -4SQ=_q1j_jjQ_ha4J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3SM -m1=q_jjj_aQh_kj3MSj -Qhj=__ggHQ -S41=q_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_q1j_jjQ_haj -3bShm=_S( -Qqj=1j_jjh_Qa3_jk -M4S=Q4qj1_jQj_hja_3jkM;R -sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_sj3 -=Sm7j1_j j_hpqA 3_jk -MdS=Qjk_M.Nj#_d -j;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p j -3lS7m=1j_jjh_ q Ap_kj3MS4 -Q7j=1j_jjh_ q Ap -4SQ=.kM__N#j;dj -fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_Mj3 -=Sm7j1_j j_hpqA 3_jk -MjS=Qjk_M41qv_vqQt_#j_JGlkN -_.S=Q47j1_j j_hpqA 3_jk;Md -fsRjR:jlENOR.m)RHbsl1R7_jjj_q hA_p j -3bShm=_SU -Q7j=1j_jjh_ q Ap_kj3MS4 -Q74=1j_jjh_ q Ap_kj3M -j;sjRf:ljRNROEQRheblsHRq71B_i4Q_haj -3sS7m=1iqB4h_Qa3_jk -MdS=Qj7B1qiQ4_h4a__l#Jk;GN -fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa3_jlm -S=q71B_i4Q_hajM3k4Q -Sj1=7q4Bi_aQh -4SQ=q71B_i4Q_ha4J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja_3SM -m1=7q4Bi_aQh_kj3MSj -Q7j=1iqB4h_Qa__j#kJlGHN_ -4SQ=q71B_i4Q_hajM3kds; -R:fjjNRlOmER)b.RsRHl7B1qiQ4_hja_3Sb -m_=hgQ -Sj1=7q4Bi_aQh_kj3MS4 -Q74=1iqB4h_Qa3_jk;Mj -fsRjR:jlENOReQhRHbsltRA_jjj_sj3 -=SmAjt_jjj_3dkM -jSQ=N#00lC_NHOEM3C\k_MULjo_d -j;sjRf:ljRNROEq.h7RHbsltRA_jjj_lj3 -=SmAjt_jjj_34kM -jSQ=_Atj_djOQ -S40=#N_0ClENOH\MC3UkM__Loj;dj -fsRjR:jlENOR7qh.sRbHAlRtj_jj3_jMm -S=_Atj_jjjM3kjQ -Sjt=A_jjj_SO -QA4=tj_jj3_jk;Md -fsRjR:jlENOR.m)RHbsltRA_jjj_bj3 -=Smhj_4 -jSQ=_Atj_jjjM3k4Q -S4t=A_jjj_kj3M -j;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_sj3 -=Smp_71j_jjQ_hajM3kdQ -Sj1=7_jjd_ -O;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jlm -S=1p7_jjj_aQh_kj3MS4 -Qpj=7j1_jQj_hSa -Q74=1d_jj;_O -fsRjR:jlENOR7qh.sRbHplR7j1_jQj_hja_3SM -m7=p1j_jjh_Qa3_jk -MjS=Qj#00NCN_lOMEHCk\3M8(_#d_jj -_HS=Q4p_71j_jjQ_hajM3kds; -R:fjjNRlOmER)b.RsRHlp_71j_jjQ_haj -3bShm=_ -44S=Qjp_71j_jjQ_hajM3k4Q -S47=p1j_jjh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa3_jsm -S=1z7_jjj_aQh_kj3MSd -Q7j=1d_jj;_O -fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_hja_3Sl -m7=z1j_jjh_Qa3_jk -M4S=Qjz_71j_jjQ -haS=Q47j1_dOj_;R -sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj -3MSzm=7j1_jQj_hja_3jkM -jSQ=_qjOQ -S47=z1j_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsl7Rz1j_jjh_Qa3_jbm -S=4h_.Q -Sj7=z1j_jjh_Qa3_jk -M4S=Q4z_71j_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHlABtqid_jjh_Qa3_jsm -S=qAtBji_dQj_hja_3dkM -jSQ=N#00lC_NHOEM3C\k_McLOoN j_jjs; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3Sl -mt=Aq_Bij_djQ_hajM3k4Q -Sjt=Aq_Bij_jjOQ -S40=#N_0ClENOH\MC3ckM_NLoOj _j -j;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj -3MSAm=tiqB_jjd_aQh_kj3MSj -QAj=tiqB_jjd_aQh -4SQ=qAtBji_dQj_hja_3dkM;R -sfjj:ROlNE)Rm.sRbHAlRtiqB_jjd_aQh_bj3 -=Smhn_4 -jSQ=qAtBji_dQj_hja_34kM -4SQ=qAtBji_dQj_hja_3jkM; - - - diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr deleted file mode 100644 index 4c3f8ee..0000000 --- a/Logic/BUS68030.srr +++ /dev/null @@ -1,116 +0,0 @@ -#Build: Synplify Pro G-2012.09LC-SP1 , Build 035R, Mar 19 2013 -#install: C:\Program Files (x86)\ispLever\synpbase -#OS: Windows 7 6.1 -#Hostname: DEEPTHOUGHT - -#Implementation: logic - -$ Start of Compile -#Sun Jun 22 21:24:14 2014 - -Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013 -@N|Running in 64-bit mode -Copyright (C) 1994-2012 Synopsys, Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc. - -@N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns -@N:"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Top entity is set to BUS68030. -File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling -VHDL syntax check successful! -File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling -@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral -Post processing for work.bus68030.behavioral -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:34:136:36|Pruning register CLK_000_NE_D -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:53:117:56|Pruning register FPU_CS_INT -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Pruning register CLK_REF(1 downto 0) -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:29:110:31|Pruning register DTACK_D0 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D4 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:35:124:37|Pruning register CLK_OUT_NE -@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:61:133:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ... -@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ... -@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:35:137:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Trying to extract state machine for register SM_AMIGA -Extracted state machine for register SM_AMIGA -State machine has 8 reachable states with original encodings of: - 000 - 001 - 010 - 011 - 100 - 101 - 110 - 111 -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Trying to extract state machine for register cpu_est -Extracted state machine for register cpu_est -State machine has 11 reachable states with original encodings of: - 0000 - 0010 - 0011 - 0100 - 0101 - 0110 - 0111 - 1010 - 1011 - 1100 - 1111 -@END -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jun 22 21:24:14 2014 - -###########################################################] -Map & Optimize Report - -Synopsys CPLD Technology Mapper, Version maplat, Build 621R, Built Mar 19 2013 -Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc. -Product Version G-2012.09LC-SP1 -@N: MF248 |Running in 64-bit mode. -Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral)) -original code -> new code - 000 -> 00000001 - 001 -> 00000010 - 010 -> 00000100 - 011 -> 00001000 - 100 -> 00010000 - 101 -> 00100000 - 110 -> 01000000 - 111 -> 10000000 -Encoding state machine cpu_est[0:10] (view:work.BUS68030(behavioral)) -original code -> new code - 0000 -> 0000 - 0010 -> 0010 - 0011 -> 0011 - 0100 -> 0100 - 0101 -> 0101 - 0110 -> 0110 - 0111 -> 0111 - 1010 -> 1010 - 1011 -> 1011 - 1100 -> 1100 - 1111 -> 1111 ---------------------------------------- -Resource Usage Report - -Simple gate primitives: -DFFRH 47 uses -DFFSH 27 uses -DFF 1 use -BI_DIR 12 uses -IBUF 30 uses -OBUF 16 uses -BUFTH 1 use -AND2 212 uses -INV 173 uses -OR2 21 uses -XOR2 4 uses - - -@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. -G-2012.09LC-SP1 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 96MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jun 22 21:24:16 2014 - -###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs deleted file mode 100644 index d7c8bc401edf736f1c3fc164360d215ea3492e64..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11522 zcmaKx1ymCZ_x}YX93_e%F+!!07$q>6iik9l(jp=vJ-U&QZt0Rxq(_4&A-w@3HyWfS z9itiW2YsL4`#$gE``oGG`Q?@Q-uCBnZCNCX z=NnLzT)cU9HVajo!T4?nxWLtp=|dis1;RV(Y!;nk(gPOs*YO{j4CFqEH#OFX zYTd*6UwaSn#;AX?ru4X}MUAMN@LFHNj&{h^6VuQn%i%duB8-x?OFRya4(D$0I-0)> zqsi!#$iHC+nzu;E6GA9E6VX1X#@}9=r$A}D9NY1E`4Ae2G$G)dQ<7l~?T zn^zN;o_+EeY<_4*GUgW@Su;kM{Zqv3NUD@{*JWP%TK46rY6nU1!gbIq7s23Wl)}bS zyt_0v>~&44avpu|w~aa7kw|NE^il$@v{T<;D?c52%yx^w%;C;Fy%$gVSzz8UpT8gf z&Z7AID42gy7f-Wk)@j4WW`p0hBoW&m?P~olEXYh;nPVwhO)6l6oWiCtho_t@wKD#G+OEK-vorCY6KcKi0FUfoj@rBi%;g#uEZJFR8_W@h%!K4)vZVT14rJ36-|$4r`(4Sn}^c>WRI zFWBTGf;ag9rKQz@BMoerw0vptM46>;{otr$Bs*gMcq5=)Sb2G7@8_*_>IFCV45pLI z7odF|Y*uI$xJooruS&HnOKEt`r8vxk>X9mwr|;WXb_+?Xly^$ypH*$`_}g$(LX zWS;V!ohcYOSIQOY`R_*k^e@DikJo9UajD|Tmv)wLEM2~Bcq$b{q3&@W4$sk6-3;Nz zT`|_tM`?QO6p);h{^hA#vZ%^z@gO6jx!H{!<4c(QOf$aId-2pyQ?FHBoh*4Yq4l`7 zGRe2#krmJFfotw;QhaQtI?1dA?R9r1&<$7Q?ulbDagMY+*OKqUIuFe5mvTeCgk9E< zwx$0tj=dWt2U`hP23OTVrzk=cx>^Wh^Ac_UYp4`>K>gSf zd$WsLlz>8>wTw;-^76iE?T2AP6VbM7vSGpq@W~T+OUGNRl$>j*-eWFH)@)qWCftiK z^U(fIu*D`kV6}+j3l{7v#9R4LhBlbEeR7N_NAl`r&zU&nV}2DUHCh{&`n!7KeFb~K zD`W@ObZ8(hbuqD+bB0o30;FLjw^MK^7q*sL?bZ6u#6iM;+;=I-G(N?0kmF1KX3V;S z!cye!_Ysuz8l#|^-b^1!tv=?=j)e}yt$EUm#zHr*Kn40? z@QQMfU>BkS5Xvvy4N&Of?goXc{(zV73GI<^M~d0mBl!0=o%Rq{K$_g)NVvk&+49BN z-<#)O3InhUg+bVF1vvad0Xh3W72xXsPyke2D3DeGLeXSM031Hh4T$=q0zhZrJcd6g zDAZgiDAoW%#l$YM03rWp7NDqqX3;kYgO`_s`UgA90idFbPr&{9QA`Hj4~W{^_}aE? zn7j`g#1(oUXl*UN(^a@H&c|}j#lMPpZVW2BFcv5SfOrM|w=v2sI6U~^*E3?UbsxiP4`%rhtnSK zUxAQ7&jV4o2t-J}44}Zp3+k!>mGJ_&l>`OL;B)1b|LCZ?I(OwF{zWhUoryyAMJ9^X z004J4_xvB42v_IU{LcpdatpdhK}fIyu1>NYA;25Kuf^#I2;J1;yc;3LTj{Jm1K7q2 zICF^x@7wY8BDZ!O_Q=)MgQGY_9J%jm&Zw6!{sq)8wF@Ns6?-cH1CE+~nk3Mn`GG$K zuLd2eL}|`mDDR!C@>g$?K(U)Wiy+wF)h_VtM_l0P!rOI@2DF(m0CyL!W9T`GA*y8E zu)UdWQjh?jGgqVwb`!DR^At(}b>!yiR>CXzdwHImPwY9V^76>?zr>Kx{}Vg!*Z&ae z#)m_>bRGT;%el}6wetPv)WRLPgU=&*`$yzewg{7T6}WU!2KWCWg#>zTeSxife_zGF ztpCTr{I>qjf%#>8!KwTe<=?KO;38zaBv80;I5J`u@XuCrtNC^65_A7W`guvu-Cuwz zFDPFP;ySk^3l~!@4n-N_*@+e@wV=kH<{nMr&RQaXZJNHkZAw z!{2o-&^<50?=<+ef_?vI5daEQAOBs1-%(tkDu1y(3%L0sD4`(UDE?w7NHDzS4}HK# z=ra6Q5*KWq*ANEaCgF`Z?*JG4ysEt90Ny8f+eM)}<+Z%UC*e|lp>yO06Wd`@p6Mr0 zKZSUfZ36|A!QYy3fvCLvm8uJ&yMKwmxCaokf0%o2EdcL-Qg!85Jep~(mkz%;wmt|uSe8QCx& zG(QeJwEVwQ@~=8v5TznmabZR9w{w z`}>8U==qWZ4QZLw3v;XG?`PK4Y*rCohBVd3GJrAh)N5jq!_TNAu zfeQRSwSt2epm4i@$}0cI=2$?fDEtNad1=n=F7}n6(D^arA>}3u=jU<^F9m7Zb#wA^ zYLamCknx6yITnTTYj)a&^Q)0m_CA^a@2kT{rvC70p5}+=J%s1qZ7;4K8KMl}FF;M$ zCzbi*C5^@B0~S6aSp)8`#OeH_q)@?^ooIALrdf^I^4!(Adl51??VN0ZEJ9YHub;Ur zl>#+U~{(>dJLB%pS_kRbk z7L0TPP;z(ngqLzFhohCA2CHuUfiD0rFONNMc&WNTIFggoQL{6Gp9J%NQvBDcpuF@>RDdoM=P4gciNO==F!u1>O(whFOheh zO_LK2B+}d#ld~EO%J?(p@)}gX3Ga@&*G-j-LanW7x7<1@Ccb@j2M0X83W4pq))`rK zpc2WCA2LGx%}IJZof#g7uisLcI|09t{DKj@i?M>_wfX6$@}JTYZ(zM*`-1~(hNYG! zkn;`}U-xxI`LR;F7-8{UvK~^OsfMWv(Cs1B;=>o%Kref6=E3IVb?M?`Cm>-ed5vi` zz!{v~&tAJ&_Dxq7k73J4N;Ltw@VWw)gk2!5)ak=tCeff-v(a^waciO=_FP}Q$~ZFG z_Oqx#?7C)?aDbcF#$1qVTj165$%93EHfcM1){)Z8g=>i25s-q36`j-02;bqd43SG^ zY39f_hc{~NBbTyC{&%G+7sbO@w1r4!L62$$5n=)7AIB8Cfh??A`w8z=VSIRJXU!br zlh9|{e7czWs-l&g0%?WaXZ)O{?eDjaceNvHz8+LjL)@xqJU#4@V~s2JGClHG8iOtJfmUIVg{0a>?E?0Jxrw2qN{^Z5v#+Qbo0?PS|x)M-_ELuh42V8|z_H3f(&Mjr^J4Hx?;2OiqfSA?wMw%JpFf%~IkU z=g)~_@ZGwU8fGM}&BV;LJmf#SBkac^fH0hg3n~;7A9tf z$yjA{&=_*Kf|Y_`9~?-#k!`1M-b_z;*t}ER6y$OR-cc4Ru9w{6yOqzQ{(d*ObV4es722DT z06BE_K<<+YqEA#pFo-WZX{DTvUyqus_cpHXFN7L<*8!?Jb+Oz8H66#%BsDoX*D1 zNQNVt-J119nd^XM@oy;iIN-u-m0C00Y!u628U4d?>xI0rTj|x;-dZozCcLZWEV;Yf zO=LO)>S!%K8#XK2sN|0%gzm5EAvjDQuVS#k!O4qSH$ zIH}h0Kc!aIkIA~5HO>uyY0+_cK7LI?Pp22^p9Cq&p8o!P_r#MRq2rZ}dlA@Zl8A9t z-I^IU#G?+uZo?yYk8C<9ajX=~`D;$cI6E7xfVb<;i?JOC$=H*Ch>ef6i8GY&_$ft! z=@0breBVz(Bs}C7j?5C&BzS{l{mG+`++r;zM^RFA$alv)h%pbI3Xqo0VharS9a}LW zo{i4WFDgdUj(dD$zF(2;1ic~&Gn^p`0*==OT{2Vg?fzlwOFXh*Xf;j9OTlODW=&XS z(|+pf{4VwDi&xW=W!1pcDQw(y;y#p8waC_zntX^OC~!@WmjcO8$wygA5k>iw(Ew&Y z&g8cmz97Xi2PV6{zb*P|PSASk?DE5jWwVVZg;3l+VCzjq`_U7m9`wC$zh0tt}b;lVxZjWJwd z3`q}of0{oc~nPCh2T`HiKN)Nj~8; zvG#^x)RAZTb&1HPiCd`Z!wyczoXv!`#5qEs<#FO$o2&!oCtUTZlfapGEYG%9Tw841 zV1SWbqVexPq;K0G5|70reZHR^RqI#$ykBQsCj<78)ZXP4{p3c|12$*sbsz$AR`Sk* zZ3&r|+?ckki*4EUPdmIx=>vMFg^0gwjJN%a@OHg&A1_4!!qAzX;BvP^vN@Y=Azdm5 z6Ht7&+Oz|+U@_6G$+1tcC->$if0vz2FNKvOgs!Y>^!5CdV){;oxNkkj{uh;`Hy!&9 zFuX`wTHY5GB~qhakf?k4*64N$BImH8(6LA&GGX6@WN6Esrucgi#m=IX6NgiltC2Dv zw!#G(YhMKE51Gep2R6Q6F$OTJ8o`ZyZHz|(TBeOv>M~bW@Jm)s-d5eWCj1;SUMPdn zZMUd5lFnLP2uxf#DIG}eUa=heLNnIb(r{S*N}X{ez7oi9P^HQZo~)E{Wbj~L+}-u# zwz^`dq#ocza@B%q`mskIEFu zcp^0VYQ5q;Tr>>eb2*j3TsCWK^c}O`3ti6XOMBZ513Zd1F*NNT&1kD%JfIS2_Wi0L z3OXldvNX|I?kBvEJ6YGfCj4r2r#%cMh zrOkn<6)nOquQy$#-a|TybNQ&`A4OVMcMR`kHoHH`^hki!&rp!fAJL&rC^(*r(FN(ERT-G)bYQB6*P(kSP8TWlg#)|-* z{#^%`BRwScMjr!Zo(SxZA5gu#idQ?T@-UENg^c%BZ&{DP3{`!7>_ox_$uq56_g|Mu z)r!C-9F|rBbo$fN6ajz$&ezKiWQ9Kz{jAaXxd(X7-*y^01J*FbpMVD8n2tDtYaMn} zKXb^vAY6i}%Hmy9ZQ6*Q3rxPbcV&ogFaLgHT7fiG3*%K0;sEtD0)Q8k$O{Ty5)vQb zNK^Z%@ggaY8pGA*_B|5wPWt@kQSh{XhH2){I@ou^N_#4gj_QFt)b1kgjZ>YTw^~xV zmp=3NzDPeg=?W%)Y*ZN#sQvtE^M^O1H9sh5q_PE`)y_lvA21~icV5~_(~6b3yUMNs z5%hc~y8WG(wIjr5lTP7daF;p#=ruc?-S8%7HQak#m-+k`63=%9DKOQ|@YU4l@`8*9 z{exa{NRr)fHDvHI-Kc$5M!wl}tX9Yup!mI~Rkw$t3V?2jw?uU}nQ07rMu11FMcboy zN9t;$2=-%z_CvEN4m+FBi!PKxOdtv8Cjfzr=oeN4{KHc~u{4UOwW)XLT`Y0;iaoWv zY<92~<#<{Eh+9e}%()J%(qqh1?-ldOP)I zDuD^z(D)3|)8m2^$tYP`QoL7+q?I9;V3)9s;?4x3B^~5%K1nXFk=W<&bkSQGB*6rs zU?5pN;+b%k!Q9uP2t|vQm6YY^YER`u?Fom|=VuM3=&iEt2cxqRDD3RZYX}MNXPh>9 z!6_rQ!pVeg*(YZVvxZED$iQ(gwc%^iT;dwM?Gabq>rK{IKhhM6jPX&4-pDo{ez|edQ{h;gwupK8q^J#~{X{ooj~1`C<>!yv z5e^lNu5nH<@fvfPZy)zLk&ilWyS(!@O?4pXerLMz+z@Dd--Zfo(j;Vo|JkUd%*1=p znSmD?W}YRL=*(!LUh@$fXcf z%gll^Luh?mp2eDwR$~NT^+KG8gzkoA=@k0Gx6-^7WzP{KwY%7mjI)YK9h&r~r#E)A z!)lzscUGRyvgx$1eyA{ZKbAjbFL-7>&M#R$lj*|c03fBtvV4Ih>}bTwq@;2_)Dje+_pch?>8U+>rB^? z!N|?J=yW+9HNyszyOH;F811EJf=G7YE8AEP>p$-+nL`zYf%*-w|AE-7AWZ z{P8mBx-at#-OI;}36BD>BQ(4Wdr@(BXhe}$kBwjw5WlrEqk%?@)fLmBI#!A4+Zy6* zD(RNlW{LQ(AN<6>#T(grqvGbf5DyrHa+J@{rZqN40(BI zf=mw*7!T2rWW0@;D>w0{RZgF+i&I%mg)o?}og60kvVIEVhy( zN1d%IAIR;j*w~rJsM|>bF3D%)8?&gkr6wg(6LjkrAEtu8wrdE8==N_e-K=kx3a-|J zVvvwST|xTU8Bt6FC!k02cEn09DDa_)+mOC*9-jCkN&F-}gTSXB6S0$NvVsdAQUg&v zm0Y*0mySysa=HxM9-=k&U}xzpqkw zY{jk~tqyeCdm8x|9?u|gBasZb-qX+%+j}7+DLxETm5^!n6{_WrU1`Pp(~ql+@82mk z_N7+>&hMhFwj&~QBU8gs)3q$e;t9%fINA4bZ>2#o`B^dpV3SgzOC+I+`37=gcvc2z+cXlg z<1+E6ongJ7PcTQ(em=W#zRspplQYN&@7q4&$mAS0w#f7e+y)imic z;@)lo|Hl3Y_ZcQG9tj^Ad&NsaoS%TXcbrG8#5BAomkFXS3Be^Qm3YpqZ;ub00=*Ih z$y*OL=IqlVBOS-ShL|tf_jUYSU8>l?7(0c>Z>|2crDDl2JPZt|2&GU1FeC z;3YzN2r^66q;RsnUOmZ(U#S}WKs&#jCkF8`U1apb)zy~m>R#FCeG3L62bKQFxa#zA z;azIF_Bq0PHi3dc&yFZ|?m?9G>Pk~GAA@sGG&IPb>#gaxWr-fhK?uGGaKzU4p6H@N z(1P>fb7A$XNLysgYsbL1}Df4x{ZIscn9{(Pv3d;MdI-IbVY(b|=)OCGFsLxr-+I z(+t5R<2Ce=(vWUb!=6Lwih-pJU%}$*zLdA$M*Y7OTc<5L|IAqanw@ez8}aQ@+Ue5W zsm^N-t8)yJ`|L?IzoI~!IKi_t?dnpX&}-ww;p7-mBP=dGek3x=nC8u98DedZ5>3;>|?N>*EMpNfTNu6g(=L|W4Cm(5)z+GSv_Np$5q~a zC-}0579sV1*nb41?|H+Ap?S*a?Oo*WPrZ4T;f^g zFAc=$x@Itg`^$rD5an57qz*eR2;HicJH5Iu@<3^CPoy9)!YmxxzyU(*ATIz0WR zy-eZi>08yMyY#ciKE|Ua{2Bgr{tPtrbArGOW-2RQZZF8@C@ey7IzxJ;Z&P>7#cglD zQd%seu0K<+G0Ql|l-v5)kt|im>897?%EWj+*6kt&PU^?8@Q%G)qlam99*g%<4d%3Y z@3ypF-jUq2d!52+EY;^C)M1e5@6_|spzM)hu;As9jn4k+!=YC`A$RbmnNE@6Zd_~6 zIK%u*ctvbIK@-NV?ZoJl3{^ziwt1>hqMVh<>oIS3R%5)%OVgmIo+_ytKZNyVO-4q@ zlBg)(%C$vRL4gMvZFwv~_~fa*9=&$t!X^9nSGS7pz3MH$CDzNIm)#OYhf=CErPZE) zqrX3fc`3mBz`-(dhePn}J0tCy&jK~N@IOSGqhp2J%tL>lq%f=r8iaU!@x8Z9iq7^G$zRrr=25 zwjuLOmC+xf%zlmE4U@vuO?kTa1>2zduGKAhmD4%>ktRCsaj(Obx$E)1G?>f(cnli=HOyj0s_Ur-jF#c5RQ-QX=zyR!HcTeAC*8ToXM*Vj`lWJk`((KN_eK6NZt`@z|_RvT56Q`^JF0_-7M+$hdG`b zpI+upkd88CxhfA;Ngy&@#y{Plr5Theo_^30%#9c`%jd>^C_2GqCsD#4&Pqzy-X4DT zc2ILq%wm^zac^vDQ~7GC$|8NJ@v@iDz04U>RD-SL{^!Pb@`@d)P(WEp#)-YkVmR|K z>wF~zYo5~+F{4pyos}!$*qWW7Jq-zu#n+iU$CF(SV(%H?Q}NTPQS5x7E2^8OtPHT0L=Z}(|yEa(wIX?wT8~$n9u19H7fY&V6dWYJMIr7Bz6!#?{qTT4s zd(rcJN|)->Y_%nAQui#AIHyuH4+*6y&LEz(9$yDA_d3U&0ck1_=ApM z#J8%gnXC+?!KP>lNcdzW9nOl_Ut&R*_)wY>&uP{kY+^ebgwSQdnh<%#W2g(zG)+mc zH%rKR7rdek7_(~zYn#r2FGYt%*l72+Ft^QA%Oc6RIYl8YG1+(#eM4^pLYk;vv6;v;iX9ZEpJHK1ogfw`9aNs(H|x^&CrFtU%&-}3PGR74(=mh$ot3z!s= zkMAa|*3>gwar7xu>jjS%_Dd9F)G$qQ!=+AVc7KgMNGav$}qj56VBs zm$(?vP7VAjMi^liN3M3qPY*cu^IEX$k6+n1$w%&HJ~1Fmnx?LZNo#+?nTZ&&6_iG) z){~{U=xUl9(;u7N%lu>?-Tw0Eo5PXSQ^`gYr)m5ov+c$-*#Ev5hp9Y}thE|(y8m`E zBLBO`>I<(fr+vdc90S4mk&= z=X^?-l_x;V2ixhvZFAL0w4Le)bFkaB>ep=^kJ`kSChAN^Jp}buqzvW8hc?3IamdJS z#dREV&i-R+Yj;)hHfqehDm0x_Ki!115@mM47Y51VID47)4y#hRuM%?|D<#ss4_j?q zm4l#5C!@%Yn{kfO#CqNk+EAZ69dI*>fZjQQE!&e*C-j3a(Myq129#FM;^)W)Qqzyf z$H4+Fc}`q=D?qQ;$9@sUgwLsk1Bszl!c7wT?r1dg=%EhE+WQuB~b)pIqo?*GYP9Yy{Bz6u=dGmSeoyr1CVl{!RBp4 z$&KMUd00o5BuK0)#{8>m{*+?aaVuZ_Hg~U?icds~O52fwXoABg$^&y$o{JRjQ*UQk zsUWU}97)J@80~?Ju}9MmL@E&TOt=p>Tk2Uwb+zU4DezSH@1kT=%oA3{(e9;?cZ_O}$-S*AFtHUXoTY_u6 zTHY#wRZm4NrKS>*r5N+wG;Q&Z?8bRUGI~t=PLAsnTy*R6sxcBSB~3Uxa8cV6b_ZaU zO3G~ZbfQMJbfJ@JSKhurnB~6GBBg>#4YRokaoD6_{CH9dwq$d)E6=pfH3?fwDlMDF z&atxIXw2Yf-BM-l_STJISUhXN`CI#bP06UczQc6oY;3BKB)PZi^VD=NDR82JiTn%c zs5JX+b5rJdNz*gj&`d*U&V$rCGHLS7=ke%KWNJ(*_APRj9aCIL?0;0mQ>`uMbEB6cB_4)NrJi(<~-7$?t4wo+dKd;R46aWAK diff --git a/Logic/Programming.xcf b/Logic/Programming.xcf index dd6e33d..345510d 100644 --- a/Logic/Programming.xcf +++ b/Logic/Programming.xcf @@ -19,8 +19,8 @@ 0 C:\Users\Matze\Documents\GitHub\68030tk\Logic\68030_tk.jed - 06/22/14 21:24:26 - 0x5CF9 + 07/18/14 14:05:38 + 0xE862 Erase,Program,Verify