From f65f1a4bec01a476dd8f3648477db64d4e05a399 Mon Sep 17 00:00:00 2001 From: MHeinrichs Date: Thu, 29 Dec 2016 21:50:37 +0100 Subject: [PATCH] resetfix + pdfs --- Layout and PCB/68030-TK-V09f.brd.pdf | Bin 0 -> 587399 bytes Layout and PCB/68030-TK-V09f.sch.pdf | Bin 0 -> 37333 bytes Layout and PCB/68030-TK-V09g.b#1 | 8330 +++++++++ Layout and PCB/68030-TK-V09g.brd | 8127 +++++++++ Layout and PCB/68030-TK-V09g.s#1 | 14769 +++++++++++++++ Layout and PCB/68030-TK-V09g.sch | 14884 ++++++++++++++++ Logic/68030-68000-bus_working.vhd | 561 + Logic/68030_TK.tcl | 1281 ++ Logic/68030_tk-Resetfix-soft_timing.zip | Bin 0 -> 7280 bytes Logic/68030_tk.bl2 | 2 +- Logic/68030_tk.bl3 | 2 +- Logic/68030_tk.crf | 2 +- Logic/68030_tk.eq3 | 2 +- Logic/68030_tk.ipr | 2 +- Logic/68030_tk.jed | 2 +- Logic/68030_tk.lco | 4 +- Logic/68030_tk.out | 900 + Logic/68030_tk.plc | 2 +- Logic/68030_tk.prd | 4 +- Logic/68030_tk.rpt | 2 +- Logic/68030_tk.tt2 | 2 +- Logic/68030_tk.tt3 | 2 +- Logic/68030_tk.tt4 | 2 +- Logic/68030_tk.tte | 2 +- Logic/68030_tk.vcl | 4 +- Logic/68030_tk.vco | 4 +- Logic/68030_tk.xrf | 2 +- Logic/68030_tk.zip | Bin 0 -> 7375 bytes Logic/BUS68030.bl0 | 2 +- Logic/BUS68030.bl1 | 2 +- Logic/BUS68030.edi | 2 +- Logic/BUS68030.prj | 2 +- Logic/BUS68030.srm | 6 +- Logic/BUS68030.srr | 8 +- Logic/BUS68030.srs | Bin 13149 -> 13150 bytes Logic/Programming.xcf | 8 +- Logic/bus68030.exf | 2 +- Logic/bus68030.srf | 48 +- Logic/dm/BUS68030_comp.xdm | 4 +- Logic/run_options.txt | 2 +- Logic/synlog/BUS68030_multi_srs_gen.srr | 2 +- Logic/synlog/bus68030_fpga_mapper.srr | 2 +- .../report/BUS68030_compiler_runstatus.xml | 2 +- .../report/BUS68030_fpga_mapper_runstatus.xml | 2 +- Logic/syntmp/BUS68030_srr.htm | 56 +- Logic/syntmp/BUS68030_toc.htm | 2 +- Logic/syntmp/run_option.xml | 2 +- Logic/syntmp/statusReport.html | 6 +- Logic/synwork/BUS68030_comp.fdep | 2 +- Logic/synwork/BUS68030_comp.fdeporig | 2 +- Logic/synwork/BUS68030_comp.srs | Bin 12720 -> 12719 bytes Logic/synwork/BUS68030_mult.srs | Bin 13149 -> 13150 bytes Logic/synwork/BUS68030_mult_srs/skeleton.srs | Bin 1282 -> 1283 bytes Logic/synwork/BUS68030_s.srs | Bin 12720 -> 12719 bytes 54 files changed, 48977 insertions(+), 81 deletions(-) create mode 100644 Layout and PCB/68030-TK-V09f.brd.pdf create mode 100644 Layout and PCB/68030-TK-V09f.sch.pdf create mode 100644 Layout and PCB/68030-TK-V09g.b#1 create mode 100644 Layout and PCB/68030-TK-V09g.brd create mode 100644 Layout and PCB/68030-TK-V09g.s#1 create mode 100644 Layout and PCB/68030-TK-V09g.sch create mode 100644 Logic/68030-68000-bus_working.vhd create mode 100644 Logic/68030_tk-Resetfix-soft_timing.zip create mode 100644 Logic/68030_tk.zip diff --git a/Layout and PCB/68030-TK-V09f.brd.pdf b/Layout and PCB/68030-TK-V09f.brd.pdf new file mode 100644 index 0000000000000000000000000000000000000000..e237b6ed3c43f0e699ad545c4e9af24c13792441 GIT binary patch literal 587399 zcmeFY1yq#X+CMzBlt@SljI>Hj(B0i22-4|@G>9~aC?zcnQc4L@N`r)S4Itg1bSot# z%y$n@oaemfto8lB_rK1!&ROe>a}T?&eZ}7Ux36pOTXt=EMIIr9s-JESat!;l}t8>`_KhU`_@L-w)oyq;y z*>7Dyfig{i*a2W@dD)#az}y8WaRc%me+p>{h-w4kyDSF-r5=C+VBqlt)WxV`b4l+8 zhz@Yz0W!8gvB|AJ%z$uqlDG5#Y$q=YgFpqLFqjAo0*Aw4w}>PqiEN#0FeZlm)zscD zwqQOvOApIO&h~&-miD&pU^vh~_b&we|3IMd#6wBX1K>o&rzZpUaP!0@G{6vE2#ko& z+7b-qg#ZI1^V?q;6+N(>ZtBk+6o_W|}E9=2{yU_N=<#|Ud% zT_su5KZF+k2N~{ZSv|D1_5c`rT6tWmq2dULPsC@4u<>{R7UU=5Q?^CeKk&Fr$|5}6 zwQb$xoE=>N=1v}9VL(9VN6v0~E|%7S3I60r#HViSWDj&8a%lxVMZ_aOCZK_wzPzoq zvyCmrFzz0}q;n)fPoTeouBj@jD1xxCus{~TKM;Bv7C@*sDLlY6@n|67XRNq=+7YX zDGDp+vb+bgWHADgf14*p<+Te&?YB%-FFrK4x(;N-e> zn_oasNLWNvPF_J#Nm)fzPyeog;XNZ`Ya3fT`v-`J?jD|xy`Fgcgggs<{vs?qB0eGU zRZ=oC<#kqePHx`2{DSvoJwwAIqhsR}lT+Uo7MGS+R@c@y zcK7xV4u2jUpPXX!!UEy^rq-XD{SUp!0llz+F~B9j=!J#t1w1(9xOg|A_!Kfa1eUIp zEU@4!RI+hzKQ!D=Xsfo(s_beIocva-%k!1pnI3G!pUrbK-A^gL``Fliz_ zqrW9JEIr&1n5MiCLC9|(fCvKS`TGI^5dlmey0-4lo^I9vi~)NInD2L#0AtcBj>2Ft z21ss*3FE{47n1(#Ed9GVOMmYp{oynH<}3YH z_t*3v^?&^RYu!Hsqdy`SA&9`AU?ljT3r73^7-6vEe+5PW@jnG4p?|Q)zZ{G%UA+JL zNB@o7{>>t{e-1c*1(`q6fBeM&(O=X5Gyg~3KLe*fPE3SgfAf_7i01wSJtZLkoG@7O zzXB(K_@99j>>nf9e>FJ4F!ADlJ*EG8O8-8d5+-=N0Igj{Yo*`!ehcJqj&U&I+@E=% z7SrZ`<}qO!a3*z0^LKsxv*7J=?*EVA4fc5cfSY|M4H~`0oLjjFXcy&;b7XWtxVq z4Z`xz8%be)7#O%uH2up<5SMg++%o*-%>m3Np)IH90{;C79FzR(+XO(~{*MABH%o6! zmwbP|KLOlJ67ebNS%QD_!7z2dyT6w;KpMDhy}WP*Vn$334hFuL5hNxj2nN1?=0w2I z-_-@`0LS{$xBiU|*wZezwcm5X;LCY+`H}~pB4DgbZi+(S-*6Wr#_tf1sQ|LT_xB6o zOM_wTa38pB{k`nc%757A_tz)jnArL+fv(~s;B}FIc!T0e+DL;&seqU}qhM3hLNw_; ztNl;G;2`!u5FIYW42uqzj@Hep^^4%vgV?6bl7Y&afyTYN8SeOTF7q!oi!=m{M%fCx zt#(V%v*s7A-_h&Lf#}Vq^WMO-^Y7>LK*D*sw1@j=U{fy|-Mde=$kc>B->gPooCTt{ z=g~jEXQE#Q`W7_x2A0m32u|m&2mUyaDA@&_P_l2+piipN+vdM6%qwYcZ4JFJeth!X z+hF9&#(qp7+C1>gq43N(aNn!x{IJQi$=vt+!V5kAJ?YSVN-FT^bX#TKwtpVIBXw~h zCGo21Viia?{dz2PbDQt5*ZlQGuGX*Iz>~glx5kyz)70H%K6AAU^NX?z`EK*xNprVZ z^ML(BzCe!g@ppm6-^QDM74ik1Fx;_6>lGj09&I|O)ID!K-D;}a+|P=_UNOJpK6+tj zyImL^s7j4qAyYf44%F|tn>fiBc*4@uJJ$?Fhnocc+Um9OI6PgRG+*+5c_e7MA867P zxG>2vzIi(3lrT5y^sCz}x9Uf7;9>4lbMs)SfaCdJZ@)AN{wfS>s#i9wCmNS3eN%e+ zO9;JaXNvx5x^uXhy7$5vt0BR>Bl6U~BfYfYwAcB4^+Dm+!X{Td)9Rm6KI9ko0zHrK z3^lHO-%N#FoH)yz-+q0#I$!dT?}tRg#GV#cxv{^{`EqaSd8MGa*y4EbW^4JyikgV| zi#DknI~((L8Q`fKSiA2|OVLVGztDrk$68eT&KDn2%_D?BpY;*|}zILA4 zM9HurR+KNgd4Bf5bkDrOLjxW1?)zrJoYaJ~uw#?R)(0uU+AYA4)96E$bE%l_!zOX` zdGb8^2)%f+80c34%bbe($$wfPZ8QL<`qk5l#B`QhPcUcQueE=B>2?;c)GTF1mJsuZw4l@zaRIV5N)R z*l_btcUCEnBM7c>#tf_yf;9t0#WFa9GQ8X>8BIK|&kcx8lu+2>%S$xl$(xp;p7lta z$L{0lm!#VY^6D`>gW)vReEcQuJnw6oLwZQJSGHYAHWaV&TAm#g1`aU79Q1mJx3RXH71M^in3nNCl$)pfAZ!b#p);+kX zm0OE?&}vLjUE{yc1;1GnAYO_h9=LP%Btv@XQ?w+{H8(7pYkT5nXLs|RsiP>d%GC>K zU!@!-UX?caTx2iOnZdnZOnO>x@Rk6Jn`U9kAN~$vGq%$X)1w`*=C!B@?oki>S?N_d#CFIhx@F(ol5=ZyK(OReoHr) znMJ07?B*hCCs1S^=(mIficUL~qHkM|j7ue-4QHPD`~NDxiffJ*@931Oj*0QZ?}-WI zWoDlI*{M`@vKv<%=x0+1WJ_XVijF#!#twJmJnJR)-hCRWlsYI_9j^FgHm#emMZsG2 z^P5~x^Q*HIf2xTuE@bHSI|0fkPAj-k5H(Q9v;d0k^OA9@K20cJps@SyaDHz9$LIW2 zJ;zY<8mT+g7YMGY{R{un-Xa)QC2Duz_Rnn5j19p%8_UwnRvPO^!-;p*-pgUtaAw}; zEvNfIE@|GUds{pqe00^nPzJm7$+n{$)x28 zlPjSfZ}Fklp?I&K@Abvmvnig&`co`4c%HdF&-o;p7YCY)8uMJMswDG4=cYUsuQDul zy3#9sx6nXo=|DU?$w|7xZM)#>tv#$l+WeL%M`^PS2VvG%^``);lYLO_92l7j!yeoB zj#Vg?A-j97=sC6@S+r){_ZnpPxTjo9)uHN_#QFU5hPR3Rf? zzDL%BSNFw7*fvI?Mgt%m4O%a2utt7wWKxG|a>%*z>f=}9nNx>*S@x9SyacAmbv*IK zKAhJ=B@{L5_IqQtScOhh4O1^)lr-w3vk%Mbdyqbif$nFCJG`y%F@K^-jHgCAHL6o> zz&BDi8vT=P?H4>wh8jE+o^{u0S36;i#a2N7U`i9Vnc0#^kr;WxrM=F?}70?!H zp|V)AmU$L;^)OXQpJzTrDU4@6Z*~=Rw1u@M1QI$|pPS;Ao?GQMo;&c%KL{?0K8P;L zKVZn0Pch;ec+KUg-Q+DlsvY93Gi%3_f0z@1^X0^zC4^f#hf<+%2LCX%D7t&DD&EsvBsI9gyQT8kkXmt83RZLO1I?Y2Y$C(6c9RxE@f)@rey;7u-c^HN-=wAabNJre z71{iDa*^kGDM-_2o!$^p#J1{X)LHZCRUmLiiWQxl%{@7O2B5CqR2Hr@W z_yxs1y28LIXMGN_{mGHJug3Xfj+I9Xi^%SjgDn;!iW9w{4z`@K z;)sPDauw?$27INxu_e@}6Oi(J&N4}3`A*x9v~qur@OgJIrP$Xz56Z%CO|B2hs<5F5 zB`K?r@7`*T8vVSn!th-BR)_^fLq6UY+3}mL0i*u>SP|k-17p(D45Mlb$p)2}0`#&5 zy%t~WHs!79;R|vQ8;Tu~863ovCq7D$z?E`l*O8%@F%Yz>b_*%Jm)7DtU>V)|3AH85%|QJQ6z(srkL5)M-l3J1DlE zIt4^{)F#mhBILsNEkv0zOA`_;Ny?mfsT}+wdtTNh4RW z1=!I2>hSgDMlYFBz_@^JjLCM~Z!$VgFI5ZeJ@aT<5wWol_~I-&pvRk(4`(@YQNU5P z+s)_ZQjH{qzKNBAh08h_8E4rzG4V9uPd**k_AZoR$oFJ4*;G|eK~WtjPI4+Cp2`wi zV22jz7{9S8(TaM&l#3UU#F4<2tM^23e~8<(*4bB0W5>}2K`BwFxntBECcg1??j8ZO z0WwUi>JX~tfVYhhx5r~WL=91}yQ6|$*?lfL9RJCmfmJxb9^c3j6Fy#9CNW-_FJTz6 ztnI{9*dsgJb2~@Ge#@{}m$WBGyj%RcX4CzRig}usHzR)eZ=U5P{cPBKIquOTn!>xT zB5_X#c5dOLfGBoF@c2TI@;aN`JUXy_3p)kG=4tlNiVklG9PxVZTDg-Qt^(=jEuj@| zN1hQM#!9mUWWVQq#v!8@9tOQoa`mh)vPnr?DRS;+IK54`ldFb&SU6&vcJ%?p>Bm1e zjubiWO5i7=BvNWQZCq5T3_ySI+EsW`%05qg>VJ#L^5i8Jn?Ox;X$-MBbFOD^CTjl> z!a7jXX<;|Ppxbu-2%l2<;fHW69|eiduf}9n8*Fx)J1?ugp3J@Z$rVwDheNeJDam4l zu>0og!yGleG``z#O&RF}d4=qlCk~HSA(qlkN)ouXUK8r9K5-FVR`Cl)L`CulEv+*( z^HMdnF2Zqu1fMI1G8Zd%rXF9K?_u-m&o}tV#>Y67P6-ah?%`79yS|Wh(r^J&Icr(s zs@Y>2+1=<4A6{+P$Dy~mp6JsDvqM}wNVV-rh3)m1dnQHOPIrV1++gwVw(qDph(zN@ z)K%&fj6Kb&un3BuoMG~fM&x+U<40tKiU$L;kt1SLK50c$S=uP1g;im{^p*xEYwqaX zvWmJIr)Fh@r5?> z!aVxiAP=-4!A=m7x&tQfB1h+3(|pg`T8Vahx&r%269<{yG>f1ze3~N>Knk|B?%)Md znGEUXdI|eCxw#)nR9k(uHxveLU3X^L!&S}OuLpD4LTbj7vCie0-q_qe%w&RFY$o*& z#m61Yjci``R!eXl;e}*F;JWxR=Su!EI+C38A+jg|ZF+6kwpE@fSFr(a@mTBwm~{7# z)Z>Zp$?CJI^px~P8CbNF)!1i-@80UqoxSrVI=B2_ukP2~Hm)m)G``K{;PSD`5x?RW zlA-TY2C|zcof3w8#Oi4dE$5H9h{(ck7JlEB^NM5Wqc}%;dBvcTq&;`Z^gRh%h^|!d zfffeoz!XQUVvse3U{T=9uZwiNL;+=vFOkMUUhnvn->t4Ob0LNCNvWI{y7;nz>1RP< zOPW<7-)uulp@8sb^xRx~=}Izf^EFEKJ+uEOAuHYh%Ck_jA>yNWvma)h+1^u6SEjg7 zBo96vMygU$hFqS<=9NJwVbzpzNz^Nvyu9rFIdZ0wZ8=%4bbZ~v9q`3%x=Iw}|LANX_@PPg2 z2_;-B>%sccqzIj(%yPAmYGl=*J7l0?IlT1N1$H_84b+mC$7kd3);CBR#ImXEh#r1% zF1i0AU0MB&MTe(*acRXo%!EW+naUd*Z}=o~87c?flr$P^e&C4TbocSx;P9*Y+hTp| z?95Rj9rTov<;?Tlh~Yk;+p`~hc=p~SGssc5sZJ`{Ypyz@q)tRC z*i_Ef0bk?Bcg>;)s@=8t1x;ee+5&uAt9sr=3*%_9?ZyI$2wA7xyCpKcN2d~Gr;k5% z+SsWt5q4Z_+?-~lzL-^9AwRdvh-r)&H=OU}VSH@1LH2QZ3z?UT3%0B(5;+##Na87k zdivXaUp@4DetRHc?Qq&O1*vijD8Td^6!580ma^!rKq41wEW$M zr&zj&JM;El3=~{c%u!7rkACTfgxs1PHk*1mltI=vIZKW0LrX{UnJs>Z=_cx4C@vAl zfB~|iDid0w)!LDc zRgOSdV|%k_SUz}55wWBYD7pTbZI3r-h@zb~j;i@dq+P*aVdnR7?@irx>pBCq`|M*C z^9rf4h~#$xl6A$V+}n$0SokS6Oy&@%k99YrFv3!SN zJZ0~nx6Su%ctU2%3PoEFuPJB1`8I;W6K}P3b$xjMT3wW=)FG4wySwYGzQuwUE_wAay02G^Cl<13fe$TDsfr>@{H~?V{p?d$y^*Q7G~fH^hG?y$OgZKX zTNT6MygF%?M|8JZI79Zub3K`Au_q%HhB%N7x$QPA!DK5#xw#Ssl@$o_FXO7*q~@Ns z$+V4wSJ*UO!yspZ6tN`3r-c?2?NOp~z6WXd{Rqp(qzl56Sd*)qQEQe1!q92xBXv)e z#`Z$iTVTr^X3hEcAc{PGJ8YHnjEs;WityJ#~)0FHVE93cA@M@;ZnsY>iwsObd+mKOPEb zOx;iK=$we-IHuxyH<5r%v2wzcG0kcGCRxiw%=*@Q{cW9UT%Xi$j#t=F@PL73^GdB3 z$sxZ)L)Bdc0_NtvslR!ru}wD@kELrTiC_*3W>U2B2~uH;O7s722nl{RnVKTq@*piP zUnc8?QR+idHu&9aW1Q~$FkYuyHb0`|t8+uKDDqUff`#>7ty$WX;3ceC@=Ld0H+vn< zqTj_E?zY08%DTz!3*=+mKRIJ5cs8Ati~0 z=`x@taVZoRc!LOYNJc@*K0WW-*mUi*NhxX%<4C?_>$&QycPwqrecM0jnO_&mQ1-a} zdH~KFZk9tn+)~NY64_Ok6!vqEMz%-!3pqLnhr-Uu4m)(EMe5p`rPE6f3SbwCrk}}g zF1rRlVJBDi30D*)1Z2oMx$+Xk*0I6`FnbPYiJCa|DsIBe(or;i|c!+GZO zr{78t!wB&DOL}!qaR-WU&D;TeoCB8g+i*7CzT!_W^paTk9m}rfp!QMIhRVUuYVW{< z6X{Hh-zbSvyym9^+a9Fw&?>cTHLHVdop^a-qq1QcYzfK-@Pb_F7Ui{^2V}8+>|GPF z>MXX$BH>Jqk>^OjDne5@Y#g4fbRa_3-Pgc2xM#rg9xFDAiaTWYUTu>U^JnADLT_V- zbmf%8obzmEWu(0a;Jw4DbJQTwOp1*S&4A4Vu2X2Rp~R6YD0r3*BG~3}z-=;)INI`Y zY#g?zQ4Z9Mbc;AEKQ#D2&hd?tbXI7mC>AgUZ=N{#k>IIvY$Pcci^WgVPL-p?#4C}H z=l4II+#I0DxsyZR@HM(p4{R&X)R=(9M!}Pcly5QFQ_ma>9#MRc!lmeVNSTYbr`2u~ zlsL&nsyu((p$`5|JgEy_CqBb&9iq$bDQ$6kFn-18jMjshRTna3?>~myLw+koL;b-Z z?V5*5m>VQqe@qA({wyxmvAWa~M3~L$0Sh*f(w{=ni(6lVN{d9aA33rx7Scn*-Kajr z=%7?7twHK6rhU9^Y(A4MvQ-4im8M9-CFd)zsFfQlMWK2o9Y+RDgbyy#2L*&RQV*%t zER#Zg552{7CK{S&${0U5@}V2>VXV&QlBsU#pRbxrfxW#}uyK|a1pw{DL)sFep^~xD z?0rKELrMmRvnlS_jd!Y|hl|RUE|3u9yJjw)IOFPHX&M7MXW}XHEnUf7 z(R@qtb$RTW;xaEA1#%Ked$lyi+=MvZBnybq-Ii@#=q4`r!*T7w&*FWzv6}L(k|HGK zejMye-G zR}6eTj8X|P+?9wyzAukCM6zh*0|{`_`MCFPm_Db1=0EJN$CK4og$F5LN8dN(&i zJ?mIEbEgxB%6zsNbcR)K+1LA~xh@BWGidQf|^D6$>(hMq0{yXwmvdi5;*C+kH& zET}i|*~&u#=){28ifYgi0?%U8Gf>Gaa}eKs`az<+4RU=w!vB4j4$L*kAjv&RWJvww zk=Zw194c=X3h?US_Q;EuS`*SkoxNa=!Cv!&E4$F;NBdO@r~;flWVbLjoJ>)pl()kG zBHhzf+G3-@M)V!UMq%fI2u~ceH)|G-PnOq@2f%}0-Z?R;tGXP(OT~c7g+oFchGx9P z@Q;P7i~Ap&cpO`Q)`` z6S`j2!J?m+m{cO}7?#-$$b0@I9%$&tMN43LD$(?$9pyc|_tn{8}Pl-iiez+eUB`Rsz+pMC)?J?MrZO#oh z5-*-cxirTGU)c<7ddzH@ML_YYH}M)tELRmgK{D6y(^%Cz4*4}S~idHC%(xy>{Keh3#>8Kr+=cD9`lGdJ?{>FMiYNdDrJ2SLx88UR^)Pv{Hcs?7%=H`hA0Y*Dr)d4t+gndO0KkE z9h6nEhfr9p(!HnbeN-~kWCKfRb6V52`?kkB7?93xlFRn&M~~xKkX@Q7ian8Zl9z`1 z#DRp3`B%((0!eICrQ5C%M7qw;1wB^+DRTCd(68N4dyDX8PB!VZ`!?QozjT(If?Ef2 zr=w54(Q^Veqs!vmU-?;GgmeD1%u6ls`n+xU-2QPf^rnHg&<5)GJ7H_#%bI65#|*^6 zM_K6CrleugbsOp=u~&Yj;3QxT8EWl3CZ3jWn-VXHyJzTlW&@&97aqkr@sW>@Z~l)}BaXJGHnr%zHlm@xit932A|= ztrYs-QFugL&*)>YhwkQM;VT;~WW0MyuGQY?>vQ`jqozrCeT9vB{+w;Dp^Qg(eC^eh zhn8p6VY^sM&-Gx^Z^w2%ElM}HiMStbIh0QuqTyG?okNq8l+7|l!c`8;UX#(kWf2k9 z_A+yO4VkeCS3|1Oi$CxXh^sQuN(3gUO2>pnP}W8>sQumzujkm|vv)!?A<}$?w)eO0 z>VHQ_HpgsdBQlqEY>Ehlyp{u|FBbQ;VA7350Ij~S+KZP6!MM_3P8_Pyofj`+4f{== zX6%;RD!Hu@FR5TDBrIHk#h$(3#9`C$!H=;C%283H*Rj?lT8ifF1}P+T6#ZIINLaf1 zf%?7?u6fl(CY83}t(irNS~(k-^h4|!iy)8J*X5eEim+7@41hB)jo0bsC3Z9$b5=wZ zHLcWK?-_fiq<7u$aM&7h`61F)+g<#^i`l|)bq@s~(hr5gq+6y`*fd_raN`)V#nUaV zZ}7B|bGqeq$~*wmXnkKFgQ=8!X?cEV}It(;X*ZUlh(V%m87xUr|Mvlc-Mj%l6K!sm{DJ{B;J1xxY{ zo5O-5WGjWpup15Upf6(VmY#wJd!~u3*C%x%E`2HuY&WHAVK?KPqD&xLLBH-W18`}h z+c!jUVh0Tui-kmHCUhl_$72!Q;o=;Uzlh5ui7lO~62pGP?#2;AjICgvB9UjvsERUZ zT*jq;v?qUSt68p_e#asp7;8`9$R=n89r=v0OG>$!ZO;=wF^P*~6WEqG27+(J@p-db zvlvm|Rc^eu@4*!dKPFMbOcAN#7Fx%K5775tWdjcG zRGCeF&|eFfQo#zZDZC=QRW1+HiLj(Z?YE1_O3(f1XXa_{@}-`CC2d(u(3-nW!6d=? z#h0{bUFh+(v#8^;@Tavbik?|V^iNiq+eHIslLU8hiFVt#aH!IyXg!Vh9!|?sjN(U- zC0w}!qGBFzc0q7l6UX$R{S;Y0pf*{0oTf^JiU@KDU+Am*-Wp9C8f7_S@=E(xQciiU zKaxv9h$vE1BdOBn>Q#I$1_pJO!_Mr<>s;Juiy+w<@^Lz@6mKYe_>r$-mbMxAdslaU z4gW;RmBW)~TVe}x97HP-qlxn0bG?C3Z{6VQQ{c zn)ewKxnYparn?qIdg#sUXTXos2y@Jqm)vBxzMEck`)1(>R?RUSk>OILDP=?Qim9^` z?Ay4w`msQtzX8pv6%RLHW*X`c-@rs{{I2;>0GXiK=8X$@Y}W(T_km^jkzN>lqk~ce zZftTI&&4mf&zAQ%{94FV`^OE%F9Qs0cvMzlme;zf>*KK~pg+D4<`fphTsWxjhWokm z(K&QXjj{8-d~JNL{@o`3eCpaCLUZ2@; zmY9zkI!$7^+P=ipeyvL2hLvm{dB66Juxg88$Pb!R+ouWCf^Askv2Z!JDo|-hSpJAK z_Yb^x`k?Rpo3xg-Eg?&a5xaQ*+Jmhhcd6gd)+jnP=nmR?6(L%xVzTI=GIxE#P`vR zp6lT?lJT!eNHHM1ykqPDZf zwYOyQ>cGBj#w*i?A8PwKP%D!aP(!3yp4~6HIUj-@aZIX09@Vf(73&#Jl_4)N@SgIZ zHB|*`y6it~VHX)_(`4=yFj8m zOl9fLj=)0V#&iQWZBMM`2R9{HobzhDnrwpauZl=Mk(t7EZbzElau!Dc3q?N;H9wIa zW0Ul0L7YQ7MdmVY3Z+UrfBd9%Egc3>HN@%{^UQ_?QZ=ln;~9qRHOOg5$N-_~5xh``c3iL94t;f`fQO)w7Jm zN?9L7KZ(>n2j_{qBPcwHOO ziz2t8ShFQaN;tXq^F?HWXM3RW@rA&s6nkT5_@MQ(@{W%k^3C#}`M`(Q$FJeUamJFd zSx~HZ7Rs-5DI`hrCHTSe3w#11zQ2SA3Z^CS${M(vjwk0n=M;~H#GIHJs;h^4yt59j zwY49@S$N-_E@K~T_829?SBc~1D?sPC2`QG$T)%!(A=oe2Lw`O+o>14AnMyEX<8kDR zmN19;V3?VPHWy1oD%61^!pO$cID3>xVY#EEE$Un#Cc`q4=ANeaw^7ZU^mCo=^hHB( zO0_Mn1`vDSKe9G02p@Uj1ff)RjIif#P(x}3+XU1SK$CGnw+w{*p=w;p8YQ+>k?*uP z&W)K|+v;z0`5yvjVVe7X_%=Z-V0+jE^_R#EMA;b4v`MXViqJwDacq~daYO4WM;!0c zb%^v7olSP&V650VD(6O3=En)_C0_RZx%Cr6cZ0p>x9Khxx>UhNk!IIPVrvMaQlBNSnHC+51nZ15 zAKW*!;9p-g zVbTHOoU1p%aRUk@u@L|4Vrjm}VtI9NjQL^3sG1sE>QNb0oO;$8g)8H=SU-Nmo2@8S zs;&?`b~!65Rcxl^gA`@BM7B{Rp4Iur$K|KDN)>q7Kqu-Gi;y7EiB5xiE9jm?%lQtA zEj6R2=ckP4hw)z}hpou->8@7?VRd^o?@&?m=oG1PC_ql33#xQeuv{ z7c1I0bNCg~uqzR^G$h*Ubz(P|+sLJ;No-=I@Nn5Er{PdZY$8^cSf=R`i=d^xc=mFO zppo>ut=65X*SoyD0WbJ<`(~odhmJji<2tZ>gn>z9Om(!UnOdmBiCNk~F|eO2fW! zX2(03KV+|Z_M%SQ1WEg=n{7`xr_Rzw{Y^5do&^OVE9dnVnE*$|HPpP}%BgE8O|;@z z-J=2_2THzK)b0H5eg?dQkwi~uLYP$8u<(40K*dGaUwoH6n*66moln_?7j=cf)yMn3 z_{wuW7x>um4{WXVe$~sH|AV1*d8;uvN!v29G@lrZC{L}ZWm=G?Evi4?S{h~?Rsc9O5Z!?rloSeVoxt|* zWJv}(K@llZGau;fq<@TFz+%`IcQJoyw7ZWHWWuuHE3r|nxJMS5qlUQSS zNAz3cu;VN_NO-@$($)Hk17~S>NxNF!d?U~NL93ANLCL{P$CvgVCf`~g^yu|$by>P~ zMFPB>Z>op%A4v>1lvks%@X3B2G3`cCstnxm>Gr*`PMjl=6@;Y=?J8Xm(U5Gc|2XMF z`LNp-rj~pKl&qZIo;l}gZ;{3#o-Ys-q_9T_!f!eTOp?D_Iz)^1Kb^HjS}HnO@9~Yx#Y}Hh#Xy4>_E}TpzZVbn^%Pl* z{WPUIPD$B-J()g#6Jsmp>dqAlDO;d@k2iGF@md0xJ_CL&gXbqX61q-pR=)@N#mTvb zHK8~KQ_IWwNALEi+QpVxU5- zOm-~uEF2uMf%?GdocITJq`cGcgV^H=1QlZDJrF;A3Z3(#fEM9+=KvwcFa0HJ;Z6@G zWm*CH1j3xFeg`+ers=mVf}YjpESazp0}kTyn0#FapQi4|C#lNC{hFu|U+p4J#;EUh zFAvtPb$3=3*Ut;5$mgb|R3JLe9)_g~5oWC;~V>|W`;j8(k zYuI{G3UK*NHmB1bW=n)Gy`)TMOW>MUkh%cQ+5KR_Bf~{5h~UPs5zT_9A2wyDXR#Ws1 z68}Jql;1NBkLl?7suR5M%tg13iu$H8o8ZU1`Bc$m*m!*lc9+8IC}!$NoXUl69ebY^ zHdJ|6i6PjVk+~H{7g!m=i1QkDmgv%~oX88?MWiUR3eLB&4Z&;hqP)=YN{(IQQE&8R zC5&1~d35wy(mn@?3-beS9TAr$M@>_NM!i2MXXAQ>yiTQUz`~2G%*KqhS7<{~OPE2T z?a?HhkGo{=6-JoTk+c-k_gTIfOckbDZ$R&I-*P}X6qeQ1E-r&rzz}A(&v1umVQA9P z+G5)FGqea_*C8WX0o1TX;tK;1-YWL`>S~&R%lUF+P)%X=mG|`r6N5e4t*@&%@q8wA zMl*CmDHIs5WfJ5XZMF;(DIZCO*RsbxA6w0|ntQ*^_@yyW<7fJj?pe+DQ@V7lod*tJ zvZ6_QlQ(f)IGImXmVy~{j9Hi&fzz{;2v>3@tMHL)cYrh6liLqBUQM}IjhK(#!;MYw z^kxaSn8268YS>DmxVIOsFO5SIOQifrJ2Nm?Um9{Zmb^X8=OJEmjPN2B$R(8nFbbz}`$K z9fh5bN@R_9*5tSEtQTq(3-GXeoM;j%mON&)qhRfg?(Uj0f}D#YHBr`2uayhYPL69S z@{STK&fT`+fDSm|D30}o3^E2n7O~-1S2$KO+QP^TAcc%57CRm*8y*PlVF(Uf6zK{P zf*xr^&Dc|;W{rrCo>Gs<@gkKryGaOR)lv5>R+?ulmWXaZ+`c`6H9*UqAgOezC}#vuq>$S@zoA69+`*@uENv$GBsg{6?9*my`pK2byoA5sj5uM5G~q~vy3 zLD^;m{pveX)>-JN?sI$YgUpX5A?s}}XgcC^`><3FS`l1o)XK&FMDLe@l?%AjLFP?j z|27h<8uXg66>KKZP6nNl3n=jXY@q&2AioPKG=z3X%BNKpQ>TC)G$pp8h5|`Bbjr)K zfk$gn<$WOlN&4Bq)|!-_zezJU&=o590#0z0De@(7?rx%6WIB3bW8fK8z?ZAo2S(DYYFuY*x$9PZ2YL26AX4 zK*o(VsX}uCc-Xg#Y~I}N8B`R$oeSCs=iHujM=Hh$m#52;(Fz|>$-_Yq*?w-%bC6ki zdZ|Ux%Zu{I5=a@6qfF0ibW!TrK;2+q#zFHVK*O!47v*XaNEM*Y|1Eke@@$~-%b#@- z7v*=3GED~qA1_~&Gn@`M%>+J{Lr*&1Kyd&b zzM32cO#r$*Qbc>>bB?trqHFin+K{Q3qL&xh8P08Q&@)0u%;Cqc5`#Ql)?~3YckH5l z<4Ql^d$~&afJNhjLJDbIU!4u%45lzaw01a3TmymPLU>!Zl|sJCeftx6~kHn%1$L_fVsS!G+`9q$_T#BVT93dvO+sc*^Xb zCfrADXK$as{(6nS{p-E9zJyQV47tGN8wam-C!L9q>)F>8g&Fl~myiSx{?)fH?kbZN zreT@GK0wFG82c1NU!Q$xtpnuAn6YqT5;YcDe*mzr zl1TshMNWRg!^P$CU9+chWJhcgGYN-Z)Pgb0(rX*ZoV*qUH{VV#&k<@m!K&|(&CaCb zn2a|cD$EGi_RaM9be%Ke6f@4$huGNCR`)q-jB1=JeW4z z30*xGx)RnZx7?IA|SZtIW*%^n#4A9N@ zjv0Uws0nZ$YlXYZkY$e~q@ozz`)eNb(@nGsP26!kUlMfhOoQJB7*1fgGnuX6f5H043*eA94AgMEM zNlVqX1EQiLSJDX0NkbMnoHB0oiIg+B_ZRW6qI^&y{)G@II6Q&AKVhgA; z0|puBiXMfFAqNb0<8X-sP$-)zrW-I(O2Wzw((5fVb~{M-l`Ika5}*j+(OD&YVBtzj znKomAsQ~2I0mgS$naoS2BbF(S6Pn8|csAoyaN{rx0MBGy#k$#f4wH@4YVx!t`X602IcE z*lWCYH<)2sbs5CiEnCFC7^ngStK5+z`xMXgSgf`i(5DC(IZyK!Ok1)YpvB8^DklcU ziI$+6cOhED-kg6`{L&a_qAO|sn4Urk`B%39YsGYlx&rbt^oe{jN45H_4T>PhZm9ldbz}0qMNx4!{270IaYBr~?Rg zBeX|Cj>6sT<`cKWuQuVlB1ZMrJ8{=)?AL5|Qu>--g(T#*E!o7y)hZ=?&A6E%Y*eSN zNiC4Fi8{>QF4HF}xsk^Gk%Kd`6g8?iQ<;*$KD%V|F}b$i+C<8*G+fZ1XiZrN*NhzX;ROoFyycr71{4t?XaA$Uk%c$gG;Nk=Ed!Jyt_#| z84R}b0N!WewIV`jc*#7Cn; z#&Dh23PuAj+ox6k#+3W%Rkusv5TmBO$10p|_PWONv-q7Hj#dd3FY}PeO$&PHOr%FL z;0m3y+g)pwQ1(hl0CrZfFU=@V$V&kG>xLPlwt^@x_gk?IU{@P7JqGsp45T8k^UrRR z<+-AD$btdsOn{w5N9&nIn_Tc^-QklfD+?FdW)$5AA-6Df&QTUbN6d#`m7kx*`1lKF z&w+s*C7*y08Va!4h@$}9m~1m{fP>CJvIRBJGD#(mQ5_3ji_SOH1l*O81kRL5y4?#x zV87Ric?;}``N=wvb4kpO&U|R6x?-g${s!YHj5yq;1A0VUS8pH#bUIg(sEe;flJkAA z0NjfWkt9rWL1M*6VwZlxNFfiVHQ{QMPZ#+x#;suTYTojPq+_I-OvW_ECsC{t4=cbZ zQQB(30hH*g=P|3_#3&+<4_|542e#b&Ra;0pP~gBZd=($yDb>t>z)}p{>Kk`WuP`FNyTs@sY4{!~#GtkXToZ(Q6!aglvj3ip@Z1Ag>f@?_$4`bW_ zO~4Kkw&a*G;)KW35xA2BooWT)mIJ;x`&~ z#Wd4f!G}qmwWE|9R^f>S8%n2}y&ZV~EC-@WkLg^RxDwQwomoyw3Ipok!FjX?xzJE3 zo#|=i!H-dIrSf1pK*?I=u{up!b@RxSfM5iH-sg%`+gT2qS^VBQ(^$_>$O2+@Q*H;b zdyI&rLr=M}aev?)jOMaN-mcTSdt3>_P6>sirG;h@+ z5j4miR9$nCL<$|AIx{MfLH(xD=0(e$VFEoNq-vQNH3M|$Rf=RYW*tz)SMF5R!}`j0 zu9xk+N~vnDXfLbMew*RMy=eg6^nvSfLPKm#@7S2~B^_4Y+;m`6=gj*^-9u`m)Ml=P zQwF?q$!o7p*&ch^eKikszc z_$e)750kC1JXZ>wCBJHiYF2M({;^j2X`ocy%MNn7X(tH9E87u29e6%yF4Q01yjIG5 z&0;CgEJYF+^q7mf@64$7>?R-L-)01m;=t8=a*&Cajpp9A0O$$iVT&CYqBe_zftrcu zf{KCXL16ssrU2<6Bii#(qi4VZjk(wejK};|?)7+B=6OQIPYYkDLqe1S4+~ZDob5`8 z0PzmL5$5f$m1anF>nBx)mLD8omNr0>nh5+dJrx?lOxHp4hIeKuc1Gqv*L0CcS)eN0 z;=r(z&kAx{_~{;OL+xh-@GAO7a1w^J8VHU6irM&YgH6s+7aQUPc9sX<$;Z2NI57+t zd~NICA%o`W*x|L*v`put13zA^_mC)}nXvLm4#jMX`=fza>dAp2y4!}RcgiZ~31%W< zD1VUT?X8styam9mHVo(-BaX-`T7bn6Q63sf3ija_zva>rl{O{+eKA-RZ_x=6C8^PKy+ z@9Vkd`?{|CjPwCNJD<~PcL%uZfzqaHfW3fj&(z!p3UVdo&ZLHh8Hr0gHXTXRd|w4R zzP2R-L%Z_CVxF6N6bqJLEi=0oxh}mJsuY;5ev7SVzNx+d|2SUfW$f{ZcP|rw$UW zKvKz9hMU03Vza=TMU@N4CR4E1<^l%>WI1HZBmeukDlo7UA!+1 zI9R+Hd;dELq4EZ3mH}3z`91_Q!YM?^iygqtiWI#}g8|w!-#qYwAp_zdQmsI>gibKz zVC#E#EnUcgS5@#kxsb2}-|g>da|$}@o%)Z2v3K%5e%$La-I$_^-#^O>J}o<_#T6`T zHb(rIZS1WTcLdAN+PY&m9;M7GO(#D@jeF|E?^2y%h!n?l*(?dDU&zyUfepL#eHe&; zhjvo@X)=93{mGXfMEc?hxz9G~pAc+V8(p69{^)>afv=d`@DQlbS6{O_TuanX{#wZ; zx+lDP6P;_RDBG&o`pb!wO-2zhrOEOB{&)T1we#fc45VbTjP!2bSnj-!X;i*_QpK2) zag3Q{GuS)!!VC8cTLlp+ZE{qXlM`RZo1MxHyHn84rJbDq$^lV4YxJ(vC?t|hntXHp zb_V!LmlI6vr`v_F39$LCti&uZr9iByjMS8+(q5Sj)~gsxQzFBe%`cU0NnNJ>@X$dgGf2@~+WtxFFp zc#65dU<9%BR8v@uF#aC#P40{slNb3mS_9-(i=kFm^P^9tyOuJ2`jtO8{oyXv_>6N?Yp39DX`nW|gpCk&*C|8b*~YIdGcJm049 zTZNMv;hh%~)w-in3@scgU_UuUsKh~bwRB+73g;#iIu<8D^u}b=>n`f6q7eG~Zmh|* z{d*T`Uj@IDWwcT0np7Mb2K!W13GG8(1St~))icq^sxh(fCH^+tb}Ofs{fj-Ui-U~r zC9c_JvCXm8_Cmh1)pOnMRkX~^ER6*htEVy(WHp~JUf_;+E3t)nuSxEcXB^A0?VKv) zIek3IY9KLYi7b3v*NZx`#l77qzem$1`gL!=uH@r37{go2jK(06CqerN5$26`gvk3r zM=w3K#m=F}nbMD$sI4D79pCu*cvI&gm*AnwTh$>c=` z)0HTxL88q!PX=GnKj{p?eF@r-m+iECVEH~ER#c#&bX*pEvu8CbN0>hgCS}g(rVAa~Ark;z`%11ZS1fneJvkGaWem z#CcX}q2l}AmAk?+19!m}AiYpY;Hy9W-voY^B+7~g+aRY7rWFzAM8P&@{AssQ&12I^ z%1k>CPI?V9r(E5U$Vjd%L))v*c^~6kj*#>m7ttjm;r>9*sT#AhMZ$1`M1V8$2Yj3Z z?KmiZVe6D3cn{+wPd9Lj5fKKqh`O{m=B(nUmTu$VLzUMZSLZKIUDjV=Da*{Bx7SGZ zK-z*gxS;POu8Sn4Q>$3$tnAErkSEmqO{`HD%+p@H`pieL8_oV$@a}Z`YZK#8qpGFwjW^2`v}g%g2acK35x25N?Vl5z)yOsY!t(xHsq{$6vPZfNyPo`(d;LJuhyMCnji)a>{VT48 zo|` zctdTvr}g&8s#B}hR{dR#Rus#i@kNpfBEspKZo7}=SGdEwRKdq*vJ(*C?ZE&iQNWol z8{zwNH*eVqB+p`wOXNw**7~|6yEm#p{9*pIff;dNA8rr6%O}O z(=$KYs6w@dczU_UnSe0;dQH!vb0-O>C_VUW@aDlHd=)7I-ms6KuDAhKztdhp$%+f&-t?o<(jkJm^0 zyPv=F;WB;g#7|SR(>BrqDVFI(MH{3v%Q>FO4s0JKG#z9Yu3QhgneJ4^WP6N80KA3$ z@v`Gp;`M0m$M?h*tvF=+7=PSY7f!tOzJA0ZkE*$Rl#9S?SUhPt7`=A3kxQ)g!R6%< zhclQrFR_1quH|s;VQb<3!O@SaEc))m9RA$%-EE#2zhZEQpVjSTLst$3TGQk;<~m2s zDX#??m5AsOMSp)piHqLGAfmVb*}%G&A!c*J5_|Y_#P?v?v3Mco;Lzx0bzJe1L9(rG zZszzwH?GX;CX54nFY0yzE?HSa!>zi_)bVk2-^6X&k$YoZOWn^SNsXln?(^s&kn=j% z2Me@5E-XyR{*0yPZwoo2pZ@ImM_| zifq+=NqM;Q7ok4R=KTwK=aehjE-x>9nJACD?3jL0J9_3Yu5Fs>ns%nm*}V-O*KE~Q zEvG0MR;_?G%bV{uc-^857|pY)a_P|fP1;Ibm-CZ(F}nv(+ZtN=wcYOB86LkAEA{4O z+xFA8P04)j4yO4tQE+T+rl>&-MU+ik!$G@QK-*G2x7M&&9Sd(HAM#3px!!L!i+~gm zp9>J5J4pMWizuXh>rOt>ruFWm>PPL^3^nF$CauVstq;-jwXN&EH{oII@w_FM8xF4R z-iaMkv*Pv6^~knw4fRwWkKo;K2~OMyHr($N(_w&VT|u(0TRriWg_F-V=L*AgUt)`R z+bM3NrNI%iIDc}35E2|3iX2+BK@1Tg@{7IVSdmGy0cLszG9471NZTA?*ss{8#988n zJwo0^Vc6y+kL;ZKeSPxTfD996=GlOm(97)`|Pt6wJ-f^BQGg&4zT!)Hj}QPQZM*U)p=iLd`I|e|-Lho4j{P3q}H&Ewom=?6Y-WHDJ(yjGIf#mtk(tv1{6E+6F+eK-F$Xs=ohkRl6L!97WE2Oik^3 zF_TRNN(wl+Ucf<9f4V0}9%1GoH>C1b76*JVbvLDmu62+{g=$hnwf0vg=oKN`dnm8Y z=#)OF$QSj>bTWjsF>T>^qSQ>zNfsH=7-|J%>8NQ9Vr23h^0%3;-gW>);k%Bh`;K>F zO@1!Uo4~SF1$V`}Z!KuYI_J{0*?Dwo4_hoexijozI5$(al_@&)LH(^H@GVl^;+_?% za#wN0>o>^FSj4Ol?98%_P5>?w83#0&r@E&WeYnVnEg4B{k5(`0&E0*g6;k;YCHcq#v|H#?c2eZ>k|g1*a(bTXJJzI~7#)fGh-)_xn!~3y6tuG1l-{u_ zn>Gr=IBUKUM}-Q*F1zO1zrZ?8hwdJ{ZIf;f>o!AJ8us;>AwqyRUw+3LF(As+PSLf8 z;k5%XZ~>Ns3c#l1Xu)OL!#E1Qo!pqp*}fQ6il>8m`G*c~d)Qq(9c@5|bhf$tK0?tD zQ*|HVF@8@M1l<}9_9k4sokA^<7ioq#?@3#~?~#kU3DI z{RHeJl9hY=Wd(n4G0ioR7;KwA&dOZy)rY8{ilsq4SbM9zxnLSC8QnxkMY|qMe@Se% zn4`eF9sa=&XayR2ZQW$-hF6eQ0#cQE#8_?N=d6wEi^ow0U;F-@=1yiw;S+`P9j(&r zjW}e;`H>Jb@E!>$AdoPch+a2oCcN=jzA222%&0*`;^R}HwydDQ@buPT9GMGqq z4t^Y^^Nw})C!Gy&NC{VMkT3>&$)W54$p|GbH=r;uyfkDrYJ-rHi0bd%ms$@t1a34H z+lEhOkbK2wHtNrhUmF8%^tBK8ss6Z1{k|-A;v3Bz?_w6V?u>12^qGz4fExg>&6!Xp zQ#QSOI{@o+O{=1JU-pS_8&9VW$YSs6Ws2QTr zBHnrGGVFYOTayiN&>I5Ov(0O&GwK_J08ate!yw}T?f7FSZM6Q$V~}c)_Q|uvSF>}V zoaJV(<&ZcVV4*cE-2uWS8(zOJm6QWyd|v~b0bHX&hWhuP8NwrWR^?7j{W#>XS2pSs21a+LKsx=)5)sJIUX`E!s0R(wD05$5EtpgH z`XQ(f0xULg}?i|@l9J1ByJjq6VZ|3Whe=|MaRzR_ktpG0k zwN>entr-8Yl>&=y4L{0MvxWvWt`$L7zIU9!DJ+=GX&A{+A)a$m0VmgT8vbb%D{P?c}6ObQFt!=QRi9{zqg;!Jr` z<;K$-i_cqqi3Hck2esT;KrJvJI_b5$`1%C5AHkrO^U3>bp^s!-m5*Qa)mD)Kzt9Hq`>pp0UFfSF+}?+HCXRKk|)w=1Kn5qcSQc z@w@5?$ynAM<&7!e69?u9;G&Srf$6x`{X5N)eD1aJterNA}6+=wo|xEmR1qXDj$Ff#Wkv8F((Ta%er8io9a5Kbr$WEN(V%oC9Vi_9zQ!9 zfKFN$yA2W%UPM&g{-Z1hE#>FfN%gPM`NFW#oRM|icdS^vHFeDp;gJ%r3SMF-&y4eL z)6Ejk=@{e3=JL!C<&` zgUz{)k;%P?+TjW3^&37s-=|+?z_5s+dAhakz(}E=6OUG;HEQVnSBaBNC#xp+s4m|7 z6+5}-G@S@@U%zo<;o?2*CB)Yo{gXC2k;y*YAChgmCw>8%O!J|ULI#kVY1BN3Q!fbr zwdwLIctE2jd?*y%87UNu0}qio--dt`N zHq{2!pIW=tD;Ou)!*uapF;vqf`xLq0(nV#)Qd74gg*e@&@;9bmO&*Wxo~La?W*L1j zhGFHqE;}TF={2zQVj!}4Sg&B}Yfvgd^4-qmS4TepJzRnhXk|>`PV0?5xaVz4F0TS5 z)N5pyI!)$U&((|TG-c^sDoAqZcE(MU%Ji0dCi%2N)x`evtKcyWbhh-hJlpk1q3C?T zkXsSncb6QmU{f#r1cOP*+L>8K+8+?>FB=?2U6Xu7MpHzkt3P`dK-PhJr0$>gTPBWZ0i*xgBnfhSfd!gZS>gb;Tzv`XA6P;*;@cV9 zfAm|<43I}S)B#(d+L6Mhg1!p8dN2-7z9qFYfamvh``>!`IBQsDl@ol}i*|%zudB|f z*XZv?V$FckMzz@I2thNqTK5Zk1q@fV{!I*&IpNs5E&G=&5j1#lpR7BILUm0o0{GA!aD%l2 zDt55uh_{zvZSnZI$?X(Z$5O9jchK0$(Q6ttmLSiyBn!i4fhugomoB!4T`@znpWfi; zH3aDnRB}oOFQ5vnwI$gM@nGTdy7ljpkYyn<0#?8OrO#%%3z8XthGAjckdKr2uz6p@ z?5kH}z0eC!Q~MfxNa;g2*^4&5cZYPG(_;{l?ili!tnx1ca>CY|W?vzfJgn?%&U>cS zz^3!5dF_6{7lNthgH31WE7#)RtVqWy2`OM}SD;(gLL7q(TDKL1IAWOQI!$NGv(RT= zRVP-rW2(nTT@$W)Gc4>Ws5_;II@yzo2h|&83T3zVxK2rOC4Rr~5IzvPDTGu_XqSg- zoXPiAwp4SacNor(B-mxOk?ptyTNFJWx4Tg>7_XJ^cu(74U?;#>!vwx?eZf}qV-M7| zXDv24-l|OUZ$q_LMjXJSg7~ZfeI7SwLY>m=SJ}vrQRT#cRXC=6ZPnw(8ftLei`k&| zQaCf7B3hl_-_O}O63YU1G$3ly9@dpvZFdd|u6m%`u8|kj4}a~u@OmgkRLX`aOY-#{ z(|Q@^Dg$`Jj^W*vt=VtO#xmOey9ZxHg73the|bv0l`2RD(;f7i7)x5q=8LxC{d+Ja zDrhs|H3xYn-IWze-ukxz3E>uYb8)0Rbp zz573%3qEo@>%^CE3dD{I@W18!k)I30s*W^nA`AmciU9>XxB;@vx)p*(6z}2S1!w@S zbWjvfubaZG5kJ;8^l{~Yo9?Z@+)OQl9R<*7<2<}kh|w$n^c@dhPWgj#U+4&4sx>#$ zx*>2iW9ryEl8E2e2=G&R(MH!!K~70E4>mM`h^Qjq`DCU9-qPKiACKlA%)kGLf{&o z!^}w?`SoRPAc6&|z%4Cn`$=X}-Go75I#iOauQaw88U&aQ2Js1zNbzf6^AFqN`^pi~ z7EhVh=uMapm>MiXK*IulHz{9~RQLl`SVTi-U65OYg-evvoiye(`mm~CXb>?S>JgG( zJQYh7w$=h*Gl%0DlU+!6$!7UP6QjkZ=xvKo5wGZ(Mn(&OPhgiTaIA@uV~0jM=_7Q5 zfq8MHsL5lxS`(gh@S*8}Ol#YddloJZr)Q8wKa)$ld6xLj)d1KuoV5xUm0_q}O z!z2!UezyO2i-dwxiT|O;MAI`CQuU)d6}FxR2tKX2z)qsH*^^@AiM6sVNYN zYeT8eF-}qBwEJDN2kYW4%PQjA9f$_M&;b3EB*>*FB8K!WEQ_>c0{|x}q9iAZEJ7nT z7=ftBkPV3QkYonCkOWE4Hl-L!4A3j6G5W)SV4!V+XhL*0BsYveAFl!K8Z6OBz?-2} zpYxXVEv5m&HMM|tuBeHgcgng6@c8jC0kqB(q_!Wx7|18}Krk|K*LSR@+ynHra&QoT zS+G2jI72Y$jO_05j+-+Vw52tsf*{&CKfX+|bSjykr~!G@xE7>6~52 zTKu*E7+d=ai3P%{@aO%#C!yV-sd*G4u0-bzS}38NqXE$6@Zyb~o%1)9fE3bBAw+E# zGV#8c^bapk1KqI?S`4VUt~z=FT5Axq(usB zaZ1wX)&z)G$l}*y9N)2?Kl!+skz;P`-(!%?BR0lC!0NLNhl)4d=>_dcosA^WHZ$O1 z4syX+BcQbXN=Tjez-}J_d}og_%?UJN=fT>eID`D}s5K!WuAXeBw`Bx7bSF0bSuL_H zKJEXk`f1TV;qwY>HW?+k4=e>*w)Pt?wRIz{Wf@LT_rMqR~c@tz$=AX|7X1y%|`&|CgJClUK#6 zT}Wr5Q39a!u;y2t(U>el;5TNQu}5pqKSnE^Se3FzdUD7({gl8Q`gg>Fw}&WVTMM>n9^9+)oh>UX72F`hewE6h78=RA-$Fl}V*T74NP=ctJt zOQ3R&wW|Lza}w9u{G=gm9%~g>5g*jr1mnKt;VZ;RLxDkx@gA|z#ksl>e1-UbAz6yA z5RH+kThBjoy3J?{yd8Y>tnJ57{+a&zQp0@Kstjw!!LH{Y4Z!x7!TBoMqGmIryUOV| z)SP|}^l<6W1w0f7oAPJIt+2KE#Zn%2^9OQpw{l%YCSpf+w<$$|guzE@QEQV1ZO8z- zQe&9MZD>Ot2vh^hC??{7of*lMrVH5z3vKAJPD2ChpIf>n+8wWWATQ_(uVa7m2RXis zU?q0Exx^RZ19}Lh>#RvLRc6!8bw&s)daUyJ!DC`aopi9_b-ql;6>NDyYzf1@7v{|} z3&Yky7@rx>J$163;`+7Q-oU7nejJ6-tWM6xzlrq z7LOw-G}a?#1hqCte1W_C={r;rsZcy1#t z9iK*_Ay5EM8k!VVPIOSr?Rx%{0H~*YTxLmMfsF6!iX>&-)z7bW8VZXiToiwHUN+ZH z0Z4pyh^j7LA)r*uKZ<#5ah0kn@v4RX6{Qo7XP5^%>2*z4yiYjJfeU;C{d`Znp-|M- zqw<1(REEr>dw?$3Q)wuahx${Bl|e9%|2p}%LCX5zMu$;``nE#i9Xo3>zD^{;KBKul zw1sY=p90)Llp2caBC_o23>vfE3=FXp|Yi5wKj7^exTXR{2Sg^-#&oXRnXqU z4F1-&F<5rHU$;a<;f12B?oEZx##-?6_GO78D3mdU_6Q_pmUH$}*71HYMYKq$JV^-> zFl*9_k^McBxxQ!YBN?3kqc4E!HC*dfpZs;nhC=hAf=4nk>(D${MUr95oIky8vsnGs zX3O4V5tJ(Ar6&v>1I^$Nj9qx|1*z)lebAuRaQR0C-T$=z?bAFYO)B&1Y`|kkKw+5F zBQGGgXT|RkXb)rnxUuU=@MKjr;HAb!Ux3!uB_OAA3^IdXcmP@cjrs~; z#mSQ!&kHU-02KK?R)bC+hzWLk@ZC#{|79ScIM|_cx}pLx+J>H_5Sz11sx3L z(XytM_d<9=BNA*8BRBymK5#ARos_uSz{+21(!^{F&{U` zq>hpiaatSZf{Rp@Ae$p z1U(?ML!R8Z*;beQ&EN0DDO(Z6J2W+`qnjL!1S0~L7bc#hzx#Y)>j07d&edLPUu8{? zJ&l@>)6ykZb|;J`K0(Hke@{7BT=m7ng9!8LrUl|)-v(mM+B13sYG(|p9rIQC73T#S$!`tpM#yLraoAs za~@A=hgsK0Z3A$)bQM)~a87;D2EU5RU4M;7YI%pF5w++P5cL+v(Kxisu~PWP%NM4} zUs+z?wv1aK<2ya> z+1JOEgRk&|dj~13djZfqa9m=ACN8PK8Zv)Hd@qpKO+fsN4IYDO+86gyL;wyLGXYNv zn_)Dyl*Qm`8XZRSSe^eW?vog8JR)7{ej*~!XD7n^%x$+_s;_-vG{j5po6phO>+rti zHymk?vJ+(PDHTtD{=wK9L8WV%tCe50d+${2G)Bcg;hYQWOhdLE*S(Wtot2CmaP4Rx z2F#S88Sb7s zO?{U%3LOw)d78n5gPjA_)*(N;RVxdVvgB9zNJ#s>kWwu?oZuxJ^Ift}ng;Ss>*Z;z zG@`kB@_?=U7vUAv_ab~w5C4?Gs(!n%QgbmGe!3aF2+s9Gn_@u3C=F6PLACs=!9a!;&yAtIeKe zJvf%RSj_a2H%xz9*=B52ZfGT}$1Ak|aKA!z2T|y=bE#wRYUf7e3ht*hUw41`&U4Jc zrO-V|N@Q41CD2lz^88biiDle-Ws~7%m9Y9^_Xw6qoz9xD2+QF#mBE(r8=r$!UE9QU zA*C0GMa($wTvGFC+ns_=te#6r}QkT2Yex}(hxwh^u| zt=E+*wJcXJjLGp@Pc*kUucKAB1<&eQ=8IuXwtZMRLJfz(C>o7XiOhq{>#?b)^T9u6!#Nm%VFAhBr6pT~{x>RG3-Xqc_;bzp%hvQ-T1G z-LkjKqM3w{`9#(#f5 z@~RV#r__pd5S$8i)OI{b#3+9Jo+(wbgAC_-hdJ90KRsJ~;<3Scg{tJ|Zn;t>=+*Fs zno}PUxZ!d&hASS^lU%_+Y#fciPppi`cuX-dYomSVM7#6Kc{-PH54i5hDg-scuhw(y zSpFFFG=_aWfz#|w*lC)9t@A}=D%UaUeN^6o*9I}1tRtLnG$aUGCB176ap7r(4~P_A zTc<>kN1<8!<%`eP%MZ4!@xRciz}^)A1d*)H+N78nhpp$YEmCwyuH+Kw3acpdoqsyx zyxvbC*Ea-OuGPq|b!9>uqoO;@s$7Cw*e$r{a z(LK6{8_)#)kMZGadtb8bwoGhR-3Ag1*`hIx^8Tw=>pep@uU}4VzO;G^TmqUVHh?DF zEb$+M_*L-N8AtmFMoRZOV{KXBMsCoGfc^mGN;ymD{o z34)R33e{j;0EfxNOPus-34Z5v003gB*V> z(E4P^%Kjl^@Z>@;O>#wq4omQP+@)x-Dq6a%Tt8Z)mFzHTY zQC#9l_9}Ist6Cf@F>K#r;n@~kY^h=Jj#>cn067rXzOQWFSIK zd|PmE@9rx1yZ#=g5<@=&fw2x-zD1Oh=F*sbiQ#T+|Jioic{knL637f#iD9vU01mva zH+U$R+^>T8iq>Su>^bPh8(E9LkzWm7S*Uv|Gc!~2)z}^UlSW3+cd*4yqTZle12Jpzbv$AgR5QR2aj=P`kev_n{ud??!U#G2h!S+g3 ze1B6PUT&>Fa!=yrcK?NvXc|MgU1#H{7DiZ$1J63|q?-mjs~Kp+AFmB&pbfl_NI;y8 zqC2chyH~7AH$LZW%I_uiE4q6XHX4L3^p8IT3VCp(ka?gG+g0moBOhH3;J z>+`({lGX7_F2bieA@_8>L`CGyjKGFy1hU{oXq*Vcs!(G!+wDg1(8_@M!bZ;+0J{^Bm)9ccC|4y=bxD zPK{rI9M9|bW%%um%PTP{5;!;;L@%F(Y)C8r0CQ(?ObGmXHuq78Mm$-Ikz06D?QX<0 zfX?g0mAe})4)byjduNwc7P^mkHtKnOEbS|DH>y5$-8`qgnyq+?(p-bWxq1{m#J$*Z z9RR?+t^CoJKD34}LyKWv_za>K?XsgK)FMQm>Oo)>Q5z%+m!R!!~~d`rRqg7YV)r4#;tXf|HI;y zp%*|O$Z^`^H>&sEMnsevvbSPaVxH-r+wv0@{lN|?ozZaGQKv>Rq@47|4bG+dDpNHj-qifDm7vA)a(Q}fIzv)d~1bHBa z)E}^@$2JUDoB?2H9TnmIOpmQ7Kt);Rl)j+#s>!_@ic3y0i!-z;_K~j5+zk-&3JSSB zUcGKCV{Mo5nWLUW%HtN_bRTK4*BO}x5LJO0EHgR|5p#fVTIUrLQ<>&*IP1 zmwGi{5aYsFRxImE_fiR?rDLJ{t4`ZD*t&56X(W3z<~mYt`(%-UwRr3P{dj{v(FowA z7sX{{^hEc0JBOE{t8OHUYx_>W0eO+}wgI_l7y+^*&Qd@j{!b{nCHE&;jShbW=w;c_ z-J1{Yjur(}cG?Ec6nEK%i&N+)_H$0LeC_)kQ~(yYT|S;Nx(Xh^%=%-#&p^%X)qU{M zuzpGm0LJ^x#O48=w#7y#M$Z3v1i;>;6&G{6bM3Z!Zn`59$Yof`*D`~L&0r~KkdGv? z+krdZ9oK1#hoS9$^@|H8!`jN#doD3721wdsXomW=1)|{$;v=O&oE7$-DY|JbKAaKo zkoym!zUs2oaDP`^+Gs641pem-y2O6V0G{nT-M@u*3p$ActkqxskONQ?GLFNB!Sejd zgR(L#>g3gg?%vFjxTV)kEVjS(TX$yeX+L06IY8nM2s2+t%8U(n4V(d@x?lH_cF~PY z#0@DZSc^9}4}-@hh7AD~E-f`f34j2(jTk`pT9;-yW)zn8T8n#>oRBe*Mz$L93@O|O zOEf)qQ70Xv+1wUT+>ROdqL49eey)8IeFeOL8O0{mNv`<%>S)@2IWDTK7P<2KTDR zXI^-BC;W^sSATZ|cLu9TRv+BMKvt=ckJvF;ElaQV1}P17RVGlMS7UYT>#EmBiQgGq zl?pG-u-FQewU!umOjkr#svk5Zf(19kRtUV?z^%E!toZScIH!wH9t!b3QnFvQ)O={Q z)amW-=_A!rt>6Unc6zhicoRCUHeP9F-(Mapa}_#sGsXReq!tL9ds_}hHRl4Rv#R-M zgMB`p%MuuSrEpZP7&{4_0dS9A+5Y|^!`lfYNVJQP`caYd(;yU79#?&I?WP=b?SM@{ zx;+D&Bf9N6veZcuS(<`R;=n(TEYpEcc90WzjaDv><>T){c7sy9Ag}8nS`AM_;$IF1 z3IDys5a|y7{gbk@jKM=^z(a4~7XZ&Y%JCps{hye>Q_yv-SP>%NprAw!6t5xZy zHNIMrLf))qU4AwSC3z2u>1~6&6|_N~15^Tdvwi%{(*ke?K|ho?+s-PA8^Cm@t>&t7 zH3&s0HL54~FM#yC@K1Wq0CD0v-eK#yfUgz)di@SwPa{usk)SL={->V$5t7LMe>4GX zUPk;Th6u1!?|O1v>k@78wbgCeq|y`P$d*Mi&|tcJ=%Ok#Ua2AO86-Jg_Jc*m)cVvz zx-r5ad~Te96Mzh|NM@g>-LhT-O3dg_)t?s0h7{7%SWfWAWbNb^(6Vi#xE@tkbv}Iv zYQlvgcbK8|xABge`ptQ1LZkR60X!($_gOdG-?d2-f?#IO1eNnPb7rCL(;?JVRd^!7 zNPA`dWl-{fj9<6%1A5p)zp?IlH+Pp}Z~{NqVu?=c1*d6rnpxhl!Vl51TY%IDp2{Q+ zP>AKa!wsH#?Lg0}^|6LQ&${itjRDX4(vye-D7d;5Q0n)zKM_~lN%^%e5qIP}^&qOj zla_xPkPnc2=}jq#Ly6s|ApZk{d2IIq^t$?g$^6IJ@CzPp94!DS0WEO-yWm(6a5e+$ zPZIHeRx}3@QQ<2 zIJuO9Dq%FQAS*GfOzeNtVLRW2iniCi?WDVBoA_+vB=9&H6YFmu7s~*s>OOUxeF$JP zkznG-$R89ml=}qmX^|`ifc%kLX91ucWElE74{`B6OAF69OWgmy0R4Lb$TH9Z;Br7x z7RJ8|2+Tp)6$1}&!$0E5VaxQ`&TiJ*#Qd6}K?NKvWA<_1+Dd780^>akXCXIoUxUCR zUadsu(1F7rEz?hQS#HI~wsU&s9flY@KCs?NF~2hLeysY{=7fbgPD8*#pnrzBNV{f1 zX7J4@?b9L6kA2?hkMlmZN*A97#UCwES6Z2cdOZKHyIglY|(fB?5EG`PGNv_XSXv@a7(8bg*p@eki{o5mI8YwZXFtK z*8MB)!=57YtD@1^GpTmdofl9o#ux8dY{5u}4W9L&L*TVq3&HLNiHMkfmepdzDU&96 z4Mu*GXNW-ukgd=(Sdqt$!iTH|!U+bJaBP+tyC%BD6L8O66F1Wp zh=H2tKNu@YP9dGQ(CrJpbj2lSbKDs)h^)6XtHo6~L5$cqyrLN$g7JwdT5>13Kd+wZ z1s)-BvsvN_AjoU!9CP<0Ch^APoeHc;lk--1YhvTjG<&2S>foF_H=qQzS%D~nZ6?s( zE6b{=pgRS_C{JJqBM_*t1I11Vnq9dT%^ftq4jMBs-Z*3C9^2wVye95!O{F`t;vU<7 z$Zu(V!w{$tr+$-b9L_Uh;ucH4Qe0|Xj!9Q_f8OGj#TLDi{uT$gG8p`BV)aK^*v{{d zvac-(QvahY6RWLSO7LKP7I*fvt2}Ttrf{U|o)rJ;+=8Shxe^W8PR4~gpWEwIzt)tL8m>kf?~jK=6y50>%|vL9>6zm_q6Bl!&2 z5>}QV0H8Pj>TsE4XsI8^Jq+u(8ZY?3gymtWM6=AKe{zr44Q z7mM4LxQSo)#FuQd-<~Yc-f0Q>Lgb5HvB;M>5{vg;R83Fcwpv99ybhFcn)UJ|yC09T zGb1an?rM^~S6VqC9`X$1FMP7$IwN7svKiUtm3sQ{;$JfL^rwb4CLiR-G|4`QbP*3B z__%`~nmx zI7XkX*8C~rJ3Sk7BSWFF;PsRFHxI1H-sV|+Q*k0Id~JWriEQ*2?yK>qC+yDXcvAHQ z?8jHl#}1MrUn5pQ5A*%;vu3KIi=DIamBL{^q2(6jbu7+IQ~w0Qh-KT!~1ymgC$F-(A0HotC){gje46ED1iEO;JAM zlY4Lj!88)o(-_x+Zv-*ug^HvWUDK##nU`1wQ%IAmo(l{cKE8WG+=h)**aw|2Xgwe1+VMV zeX-inldBS3V>8|GC+;ToiamGsgp>NIRN9~5XDY6p`Cf%!;}6u!kd#Y6+ELyllhd9# zO`VFRAoBBgxyK!-SMXp=IYiEq%P88MGGisoPwM0eCws7ZQ&foNl=%duBHuUo7@r4A zLpNKX#VC=JD(QDB3(6awpIRC$(b>oEwc7jH5?LROhxP>Cq5$^zwU zGx%f#c~1C3_`o5^NG_fOkJrtCv8p+CZ1sl_bcs^2{giZqmcRDLS?X%DyW7b=slzw}O7UbBkuN$WfE#^0 zqNHY0<{Sr*(hnd-5in);Kbd;YX0Al}t<^6ZtN1|5fRgH=n=c(Sl}z*xq}ns}9{3EFLdCvEpy;N$eGjX7WWrE7 ztNDh(^);9L8EOqO{+Ey!04)P_f%u_2;^#=sqf|^c8IoQD+uV?Qdr=kdkkq1nXYMK4 z^C$lx7Xm&CDW?I%fo33F;d&7sA1rtjbMGH3D#GMK0ZtvL)^f`0o;rs_G_bqsZ?^_i zj!8n2J{bCqmPLd`MKl+bi33Ats4I>^1hwUe%`IYd3ch(#UZso=r`oImJ`J9G_Xp(K zhsPR#ZU`J8IlmPC32;K7VZ~r|aKb9`0+@kB;{B`QXGba)J5sS29=b^&GR&r-copaW zQ85vuVjgL5nxW1Ke>S8Kq61*|65izI1m=MM@t8*Ft_%r;_Ymv>^VOG7F<~xx)erwk z0qjLLRqW56jR*Kb0Ob3g^9f{;Es#Y-z@3i`+*gWj`Ua{wn@1w>>0u=>G@VRiEL!7( zzs|7ldJvRaKlof|ybrMRGl*0GIuIxCAWo#mTQ4Sc7J@5FejNCPg8~7Ef+@>2yv}ok3IC?s|9Hr&}aYZA9)D$RPLh zA}PR@lu1iDvL9G85ZZbhLFb>7$@M|}eEAnYNyK+If{gXu<);6WA7O}}6COoCF;t9# zbPZ3FSZAqo9`jK$-DcNx0a1ausF`x)382FH1&2yLkW1M)empV2heO&Os3O3DIRH`u z&fz22{}oky;1MCQBSNBhLhOG${Y1lTsLusgrISZ7GJF&xP(W<3h7YA1;SmUi_k38x zY>ChJd1K7QBzk?4HN|F96ZSbVPTPgo&Uc z1%QgQ4+G?_l2su?ikthw!27P^12t6)oUTWZLJqG&OU5RFi2XkTkzyCcMo?cxoW?Xg z&Jg-!7yhAtSQ)`bYHBYyU5_B4g`y_MkEEeV zXag!Cj~{`w)e%Tr0g#@jpvB8n#fX>5_%9)&BSKn7gz(Ux<45*~5Z*Ma81o}S=6JNn zhw>y|@zs+c0i@0a0Pi0K5cH3Y0KDP@qsnhiPWAtl9_~R!QuK*PSq*ipg^aIbVz)_%gIgVd2NtZF@z}-xbh*Q4SKT_?m!{ zi4Vl-zp&^Rd@&JtPSdd?E1och1$`Y%N5-lzvN9YB77&>oxs+Fw3Gdqzs8TKj4U z(;ggQS}_wI(^8;PbXS28B7Vm4D1k|GNKnbZ+AsEClDF3DOhxldOt}(iLEAvvdG?Ko z?zAX~Q+&~1YiZm3?rw@U>GRq}1EXDK(-Si0KCRks=fpJ?H&`|InoUIokOuVUO`Ccu z!|3mc!nq9m@pbR)Nx61vzXwDh@K5`_;|2x9Q4`VqS|m*#O=`|U*KiH-{8Ae=H=sju zVr_Rqjk33xjYZ^SM3lY3?&%AII5o-<7M6yxk4L>#FmX}dvfSqHCcxe;gn_mR%rIqC z1O>m2=Sv=t?mM1)82C~*l=T)@_G&;~Koq?q)CF`B$r&>oF+=Vr1vNHY1l zQkgB>NI1rb*1QS_UbDY zqaOnGHQ@M9Ujq^}=xhFaL!kBl+YoT!n*#-Wmv-B}C!irykWIq%Z+qtYPkV+U4OBC6 zZ^(22)x1Aj?mEd)UIsFpC=$ev1-}v4W0%kb?JDTP7C;yQCm{j70rUUp2te%wIs$Mc zQ1bZ>u8t0=81oL58`?i|Dng^BMEDW^ZsM^!! z!=Te4lcV7>+E%bn2YUzQPlw(fb!W8Pl<}hfw1}Ypg^y9i7eiX}%WnIBczg43DBJ&i zoP>lVg|bGava9S{Pm9WfR!jCRDf_N5gEmSCMHx%Gr|e`m#!j{gSqEbu8e=e-!OZZx z?vdWl`}6yLf5-1QzQ^(XYwDhR?&Z3!*ZDfn^YyxK0-u)8xc%e7JzgoT+UI9~It5*S z{)O8#Uw&M<-p+9rhijW!*K|hT?Gh)Ln93{|0DpCGbZ-N<79~jdk1GLcvnBBUqqu;h zGI#h|yG)nXyYf5dJ!5a$>jU^tKKh~cB*;bPtcsZv0OL?uu1&9&0jQ!0-;SNR$A6vt zWIgey>?{*a4n)7{9ZQeQ}1WYp<@C#+2j#H7Gi)c zeLgWKTnN0D$f9WC!%7Yy2j@1i@L7M)k_*p;+*iEY;%B~)nXfjz_ z%JmK~Q3Ffe&Ucbvyug^Bv{Ih5ekEU4;VyC29zPk*} z3E(8Zk?#LYsO?P!dJT*VfRf(?X>(k>f8UVnCYf+$vJwDD5YuAn0yXXb;0=>Q0wW0G zkdU&Gx&f?)ex|Ih-v#k~lwt)_3+*lc9kCFH1PK?Yz{kMt*|e1)K@1W&`1nHv02Tdx z7^X5qDQ0dS=F7hh^B*d@c^Hn(!+;NP7)hq&+R}Wlels>z=)2gzAT{(q85;zlP(U;* z>q7?J7IpmNUetH*ha%#Sg8rROz_=W+y81Z7qgCc+-IuxFA_U|EFgr7H;KSrY?RMzG zzSwR1qmPs~FK0@Hc{#NzOnt<~)JM9T5;Sc~X|ZieQ1AgH==V(t3IJ(S_+51YycS~W z8cbF7Ugtt{$zn7Xq31Kf#u1k3Fl9^l5qi6Cj?N zTnkf60{irTV@sghAY+>;k5P)q&2^squXS#=87`TBBp3IlfWds30(w{q$*}S78OeC@Y z<4bI+Q2_g3wSh&xDMyuCkEK3p!|3 z{Kxw7cmkP6>${Oo|B-&9@haN>AaNfs-5MKjCPJTc0Uuy&z*s|LBLKz*I?z>@+km1F zV)`!tNA(_KkI0p)uX_2QJ%1ZZ^Q(q#*EN`K)NdQ}RHn zd$zln(|nZYKW^VH(x&7JWJ<19nH=AKWw9rW+!pe-`7*p7Va=f4J1wTq>cPj2bxgS& z4YRC-eERcztoiZoF|1U{v9PdgLqc{`&Lh>>7o}LMQZt0Fx6pr*P9ByovsO&kpFQObT*qVdlIqk@^@a$z>QMP%tR*^=1 zfyJN?2pI~@nJa2$Md_|E+o)QrxIt5lE5c+EJLn{a<#Ze!Aqh2BXIbjXFXpzuFYcbU z&`E?XWgWw4${KEOi1&b`Zf@=-G~vS)LwSy(L}_rfmXZ-UyTlYm_beCNbBVRd3D z?vO3IQS@PJS~hiZDsHf^f%KNDLOB1VpA}J zijDd@Xx*e)^m~{o)>;$nxaqZs_m{^ZF(LAf^(t;3nvxy!3*Nbe{rY~;Z zUe8E|90q zfH`~r0Cy~M%^q$Qw3|6Q zWP;m0<13cRTg6c_u?BZ=yV1)wg4W;oEvr2fp&y(=%hGt=O;<&|tBYaaweM_N(PUUr zQ;(2b`jaOQ@-Qb|Fzgv2I)VwmaD>4EdfDjAQcQnk8Ptt$D6xZIE2l{YbjagxdM5Zg zNq{yb7st`B6NtbiONkBz*ke7RSGXsPs(+s72#Hz`fRmDHt!!8##aih$b0tuR3SS;$ zgk%P$Exk_!3ChebW4Ca#UCE?Q_d=a?4%5 zKL0&)n0fEN8#|L$QS~I(p)|kG=iOA$HxvX7ssqRsQ8=#jb3b&O62V{!v#rvNGeW8a z4*7IO6sBHNjX`+#q`tzd76dCyP(D<&osmm=LW)c&Xz0v?lWLda{j3R~af=z>UObcA z5rviVF)(2R#pytMwAm>Slkzg@fcv;Z8TQ`W-HzY%ltSklEhV@?aL^z;gST{zqTQb) zI=(p-Wl&CUk4W&mnLAYG6eT^otLdEF%UMffDU;auj*3-Bm&IqTpfkB`1C82#JSP{B z&QXpz*p&fObnICK--Q2%_lm8o#bG#lnO3Z{UB;@;fam5AU{N^F=%-$*({j zD?XPe?njP2W9>}Eo7H!b<>2DRlczRf!W~n8AaA31uuftFoS=Q}k+K~^LL*~6kVh1( z!jy60-C}UOKps0lD7qNiL?u=dk-M)cY^J~JzT;>INiB^Rb^!l=`0K3}pL`9JyYjeps$iIofng6Kt?)6O*ms}l_e2E zixm^Xr7ao`+$-$`IyhEJy#;-Qx$Xcw5y85f-e&W1D)>r&IwMUHGgfqh+FsMON<5H8 zlNN*f3+G)|&{m93fg6Kp#w&R|bdQfY1X?jo@b}pfg>$}ZOQJTX(3va5GQF-V#(VSF z=tneYDZBdJ5H5>YEWohs{r)7XY;aw{`u=1!ZI#J&)811Rr^`;aVDF505pLq1r;P6~ zKYn-pnNzcfrl}A3OFjeuw;+rrd3#18d}}OxPM|MRhHx$Ax73cRH6wgSybfCv9EY{h zod@wd5QT1NeAve!Nt#ZB=iLNyX0yPFY|)Isu;8KDw;qfX#1h41x;z)3b8+` zY7j24Do5)dgF7qCTzivgvHOAapJW;KxYS1659l1n75u9=hV6;Wy~L8ma!S z_^_#h6{7+?d^fV)vtz$KNO<4CA`-%|yL4)G+bG3lPKCnMo+e?P4RVnmTc>TFeafF- z%id{zTy_M`+j5=G~NTywH;&Yq1|_mojC5zlcJ-o$uS+{I6C*_v|Lh|qB%uf zicQ(c=Ke5HnCuv9PK`;L;Hudj#FbCS@H+y)66~V!Jdd0 zTH=g5qqZ;I|9Y%FKht;e?=s`~zvj*ToWZjLtFM!trCB50Eqw|PJsQg2e7Z?-GN#=# z(I46!1Wk^{bj&$3gL=~iq?0r&EAj^^Euc*-3ZRA?no4`AbEwZ3E7!+HM;}jep!#&r z!V@pi)D9Sa?K_ikyEB#lnfY;-pK9^s5sKDmf5?*2bL`vXW+5yv;5+R)P*Uxt=h;V)C=#V1~v zIm{N6J#+RxWR2s;!bj}Ne!kPMwn2MbRop;0APtz!b#Qf#mH@QH{8|`d;KbZ!Vo&Qq zU@oc!)b9eb@g-^-t7W_AbaFp)Hs-->yyiAu_nEtdeqvB{yNZ#9R6nx#B7rTf*4~t| z9R418*zR)()cxqjQXgaSVdQj~fE$xqwU1=@p5BJG7-=N@tu6i;S8LI?0QR>$>>vbI z7wc}A&T1wuivD=3>u)?z(s1v_je$KYeL7}z3+u)s7yb0yLL2qUUvEon)wVG&He!p^ zvGGQAd4iSFf21iH#n+^7G^+M3u^K&>&qytNgMwBl0y-@tWnKM(Lv)!IkjPM7Wgj~NU|oG!>FZ+A-t zsht0js_c}6L3J`JmdXdF0jtSJv=2Kam2X0MB-cvI^C*HMrM(~r?0*7{Tp_xv&eOt9 z!O$&23!YM~>#&%+RF~~bYpSv5tjI3I-?$_xFIGncgNLWM8-Mtdl|#wwS<3hBvnTxVoe(9AB7Tn6xY81YIaEGW)-oLEYA>K&C zth4sM+>_4^Xq<7Bv|Z+^H38ZL!quIMK-X|ys!G%7m~RigVN=|3EnX)hb@&;fGFC6x zc0zN)v}7&bqc?0yg($EuxL=dcA@}(uR4V@RZSo9rQcTjh_pBH#75JwS#@dRx6v?nB zI7&!U`3=x)H?H=rt{0T=+eilyu-_|^A?b1wqp3iB)GXMf(Os+T#W>;5W0mAD%4W7t z+OF(x)hIV_p8k=qHV4?=w!ddKuIje!w}#lTr6^uwmZLGOzoeg(>cumor?IWxGlrtV zVi&QhQ%`#8sL$jAHCC5@j^)s@lJYCvuHhAmJ+wMhpIaM?yLDJ&6pE0su!9vMhHLb3e-F#)`gZ zoHtGC|A0{YmY~lh#8^OxofR!^4hl&LrE^oj01iiAYpPBuoDhEH`z-RK%7C2D63(|N zP2Crz&5>u+m2VVt3YOl%j%C~eU59zHF|3P7TvQcLwX)Z$iWJ1BWHxj--L9g8c{aIh z0FK6}1$?S?Qpi=-%r>%e?jt5GM7C!2@zQF6+Qkh<`bc8NuZwDTt9@RlQO}A~-W_wm z5KdTm>Y9FWfEtLVZpil)Av#3?d-I2!^J?m++?ZZLC<&10gBR;A%d0S#xBqiiahLqm-Vf2 zZDNSqE0oT0`G&7p_mc#t?`Nh+6^G;G+t>kGd#;P^aF6$R5h<45&i*1cqkY%L7c1hh zJ!UGGTr53RA%v#xfU%gXxk{HhmRTjvOO(=!0wefgBl%PJRvDH6)tnL>+ed^be6Mha z%wU22zN=r`&&*9wv*CO!gc-F1c~*jGi(>E-AXl^})>%9A;W5x+sNw7=BXkK#S&F(S z@-~He6ugD|>o|+D6%zLVNfh|RqF9~7E%_!8nwu#Qkf~LDMX&?}n+WOAj@5^kV55LZ z9d<~d$rlj0&bq0hPXO6)Yn~Pf9lnusCkl3~0HyZS*HE3&Zum7BP=!V0lusS!B{ei{ZH(=M z0PWH!n;LWj(*?M*aYUOD-^XQk7!Ti?G%%#F-hKH!2!V6OFbz8l4Qr){#zuqj{TOMT z+r|zi8wTymKfNtKw*aTp#!gG&6wc$Nd)O=N04$AMLGQmcT@~BEI$-6M)`R)Kwnp4|TAE+|Nb7 z6}(^KLeQZngM9-eUV{gs!^y0&&J>W!hIAohYw%QWImB(o0*L~RL(ZKrj=Axxz`Kync^zoO9jJXgK7m8=_8f`~}4qbQYb6Ll1Cr*y(wD66|tH z1JrAr;>BZC^(^eA3eBjXL790TCf;mwtD@3Q>0YKO>0WNC9AjG+EixeY#Yt@qux6{_ zWUt3c_3HPxKMY~l{`#*Oi3MZ-QwiNfA7jh1JY`oVhe#Nr@Jgs>JU2vTZ!EBGhA!G% zLj7)Th+d={b?LD`);HJlCUz1R&I=$(i%SFds$eUJeHY zqjGLPuFoBVQlR)#uzfHKv#SsZbE-&UD^A9}WPohkcc~uIq620j(;rf0())rZ3r6?b zHW<0I7{{&9Euy6f9rkc=7Cl-)3J*(vQN)i5bs642;>mV#8~UO`58h7zqcJjGqD?lT z>9`CF^J@Xg%NquOOX3NgqMA^d%by2%6f637dzq1uY0uMU>c-QSSSS2SK04Bfx%FQf z!?eEGtg#wIc#b`f)fDLX_Ou`z1^=vi7TG=;xXTer_3e(}Zp+K1iV>)e3RpfYrmo$_2~-ZQ~7d=*(R3+|<2<6z_Q;j+oN*1s@NP zt}xrVd#{SzYuo7_<0YLZdy7Q#c|oS{r#VEnPY2#YZxVB{O=KDvWFiwLctV{6yi(#e zPuopZK-g2jbA8Zh1e?Nia;<$(AqehGHF<~B?c1saSPyFE8n#|pl5&btp$c-f#yK~3 z^hF5CHqi;XB8V18FSBY&26v0!jn7RhsT=Fa@IED8W{vsB@0BD`o&;Y-{ayZ=jq>6% z+*}f+9;X7!&~U-Ci;CekbCKz%lfT>C9>U` z@G?c~U(vA(MB7~DK+jnJO>zY|Nr>F+omk)tC0GGt+X3iVk(dhNMx;>~5saL&&zvS-Qn}!B&owE(7U6q( z7Jz#<<-tA}A3f*;#fX9(kr1*L3zd{*>~lnLI5bxYtE9 z*0zx)B;vmRgI%Q5F%dzB!e$2Zi_ayDkh;J8oUZZV@`CJnzL&7IdB)@0)#Q$LIN<23 zkJ$+vVw2i+YI0yRjk)=%=f>*eM>EZz#H;KVJB9nBq?C_Fv@HFilO8L3Eq#zJJX>Zg z%x0i=6+BG3aN^XrVKO?*{Tg8kchfBaaW}YF89=HZ+^zyAXM)*K5N4ZJBeCk?CJL1E zG%`f;fZ4i#39ZD@=9y-h-`)Y2$fp6(?{nU0*SB>uXDyTIdm)c-Q+2LSFKm3I$2Z0K z9FTTMX!n=G2CJ|dRzCf?4-l~U7(UfNV9A_xxekVgsQo%~4&4g5oPmot->xbC{QdMJ z104xmAfa$K0qg=#k`#~77%i)8_}$g=q_J)*ZaiQ0P)LWcaJ$=MveQ|!^Yv-%)HcU+ zk56pB(}dsl#Vn2cjZtSD9OzVA^{7VrtpPz-j<)u1^l2&!N89X&Olb$%6(g0DgWvb) z3Ps`!={YM_lHe?W5^>11b+6rbikxVZe#WX9bf&SoO&;wh&1n2a%QGT45Hs1`E2)c%U%oNV40IaNHKMw*Ef7K&p%H!vO*>PTnZA9It7x*ctydbK!p zIvsWu&^c-?Z1s3aBoVCax=2X}h=4;LJFu_uz!+Hw4~ZYG2-MB^)m(>@z${dR*u-3v z`WBo@f$4UXeE>XvXw}Um9?34A?~Is+;1A87?6E^6EZMT!z#{aFqs|qunQa$I-QyJHbCxrHU<}?9bEh zt1Z%J$V?VRQUNFx4r8r(Dv#sn@d$utycF0&Wu@6ON#I@r`9NNQ$^|5laE?@WU>aF4 zxe=wZ62i+KdI#Z8WAQ{vMf!99LJqm4D3Xlms)yd#h4S3_3wj}vvxvWda`aqaT}Dpc zD@k8ixTSC%o-)M-#Y5GVpn((P zLw{2iE8zosrhgR$K!*LTfhvOs{dW-LG*PBLw{IWeqdcE0)blhNG^{CbpAiwEQnE9( z&#jUC<>$Gy%gGfHpx7er6vw+e4}Kf<)kSp+E9-O)!NLK5d|Z|3hHk}M9(TYwpy4Kx zgvLmy65&SE-%@x`QmX(-?E&AM_E!)Tl5MFRG2y|{fxu17e(GqKQ;6mSFJM5PgYt$1 zlL6n#II&T;;tfkjpe4g`$Lbf4yNI$mq=(#fkq23(j9o6N!86g_WOId)o;m6hPXHKV zmr!>RORe)*zoFrY>@G>=JB5+a!rqbV6!pYk%Ac>i4W6o$xtA0(Nyt&s9qrHmwE2|g zZ-4ZRu2+#G@c)tRv)GP^440nUp%}CV=dM(7b>*P=IPjTS6^|THBdWgM;pE8tnjKEgaD>j37GTt3cG(hzGc<)Pa=aC_R|$0 zf9J=9m853*TUM=VQcc7wdMbAgIvJPQSf=j>ocul%PVrfI$^l}$E#BY?cYtWyGH0-r zo?>P8wQ2IU4zIS)ExuL9WzpC1;5nh;(VKaWw|65{#|?)Q5C`(@uPmJpH9!tyIM|A| zi|Mm#2~E?(oe_nnqlboAjl@@OSgc0xFd$2OWh;F%Az7UfSp8#H9`pGxOl1JE0B?pQa?~fM4>P-g*3{OTUm5 zt}A;K5#^RHd=qi=yG4y4O_fH>;K`3E-p2w-OYGtl>u>oFRdXP(`N848Y$NBIzG=csQ6jK@2Iv_l05V#GroJCl?$8*w)9up{MZ|Av7rJr^BRT20#5Snbzk;0+xg zJni>NrB@7$B!8oR^)52Z&3Tv^uQ`~;uso%;@(0Zn=cm>EcdnRTYvnU^5>q~1ef71m zno}7z>DX@U?AfSmo=M#bl}x|#6bxtgWW1!A{P=K;10rduZjXgQM!`1bwI?0h2H2YP zN87{;5T^$DtYmuIcqNdL-MBKlj>MIcs1Hg)kuk#jc1@Q9`U*HXC81}1j!Yt?urw&Kdd)X_hXreIqWWnT*SJRR}qaPL7q zy^WhS9k0sPB}szh-m=WU73;g?Vf!Z8?XRWXK!ttM`yQJrIG6I8#k2LNN3A}}-Zb7h z(zGJIpnfo)?H{{{cG35V&x^=svd$|I!xT=My!drT`JHS4i_p_W?XW;nn1a|SZ()4< zc0V*JOij3UIf~2&zc69@vQSafZ{^tyd^$^fM_E*Z-nkn=vj-hM%ekBsFY`3_Q*zTY z(7T38O?#hb1Rk5*4T@_zO$DI1=3=XUdwqYV8=`gKMUURObL9n)iTQ|5Img+QhDnLU zRm!jrIzcZv1e5O=AY8Fv7O;M!Y#`#uWB2{9%DP>4fFfn?K;BpM%!~*;j7ZUuh>L;` zZOi|)df-bEMd^|1YPafP@UoSN!a8%1ZrXKED!a9^xC`x}9iX|phIV$U?FV7yxo-0w z`c(s6fmsv<69x&6#_Chm9gVAnq)Sd4&D9!))iwriFaakM6_74u&-A#QULb9VhIx;ykF=c%xs2qw$eCXsVSWh8?W% z7^BD=u=JR4Kt(})!K`fDN)kan@beeyeby!skuWU6`PMIGd~F8h8nYDc{v%M8($@aT zlRaZU_TS9AU=#Z4d~ePL+o>yl!d8zwPR72J-*|&Ga=5y1TZ(?x^5^v1Fy1Ji9*M7m zj2DMLJrS$M9*keCJozlknG}{KW(W3)ovh zSH9^)8}tuK%ScF?u}C+usr6^+>eOVl`}}uddNZYn5N33PV6+^_d+>(!883j$jR)=n zu>dC?NXNrYq3>>3oUt3ug4TOH@opbf*tH7n-Ch4!9Abxk!nLa%ktz?B*LcsU0mvJ-klPXPw0`jd5jkFh^6$`0CbM9x0hy*$YZW7Lm5%(_ zIr>;eBqXdBwepNRo-zffx$Bs)@R3yS3eA}C)3(7Za!J81ysg7}Z#nyWi){X7YFZoq z&GdXSt-N_6;g#=i&p&h=U2+DmvS{#(DGvRMFK?JWKOWeiEXjOI{wZIIW6=w~6>kT1 zH8st+wKm)AYzf`!@+;DbEzSod7Npy@sq$v4MN8=FZz7LKh(2HeHUjl!tD;gzC_T${ zoaSnG>x~zXQ+{=v9j9HcdW!3Xg!#MK?hF}iBn77JT`|yBzVfNIrDNI9w6=DTm7+Mv=x*B`ud!xI3ptXeHB+EL?hm?R$IHJGjXq zFP&cPoo4S$4cTc|)>aW^F1|{t)aP8jfI`&=hx@xRjksxcP}5`t+Ngt)Qf5Q&X}?1n zrY$${k6I~sYun+XQBnIXH3##W1sFK(?taCh_TRns*eTkrK*6@S9@ zes~|wYkr(8A}@#Svae2jyS#)H>!0pqnz9l;4~m8XyV*}FX!0a@AOaV$o_D+2_dty+ z1Ji-^revha`D#!wp=dy!Y>TjZX64J$g62kYE*CD~Xjh^1;ev)0E8-F=9QS zr4cKEP7|xFu-@cS8=Ht)C~zQFtTXo$tJEp7X^HVq@Q0)NW zR&+lX5c8yf_o>p#A4k?IM56tju0>aLde9Mf1HNb6PK2{phkg!zWY?Xbl`FoYs7*-J zwx5`LW6~){+sWe4{rz6>GRs!^^wB^K;M!&^^rU379mQE+>IcI8T{!fa^t2l{5N@`k zbVPf6PO@Bdmq{QDtIa}4!^t1>xu+E6jl2ucS_{gwGTnFW%nh#X0bJC(~LgipwR}B*n1BPjg zMpAY8+y}PCjT^xhx4Z^)^Ez|W-yy2lFLmvoC=UJGFBljgu9cD6awj7DZHjD`6t_ry zx6C7ubt>gfl)6du1B|nrDZ%d0h;obRA4=IY-y>DfWHFpXq)0NqSz^?`kl}ZcrrQg>&t3U&=s( z)^XY}QA90^a14qjA92t)b&HI|oMEn1)&QX9I})}r4h0@;xh~wXTqt@b7_s=dQY9*) z?Un|*>xDD}JSqim?#cL`LSU;5$gEJg^7!$^Z;7mfbBMJM&(-``gdY1caG_RE~7Ju#B zGAnxMQMd8gKyziFQQ@R>u2uN$dH22~&lPjK_pZhEL#1@E129$O$M|GoK{rR$K?E)5 zudZxnJ>a58mFM<6$ca;%yZ-~F3d)0e$zr!GuDL{5P}a1x+L8q~lH>N?;_S3Op%32G z+?#SCjX|38S{`|RFDeTagv9T%yNioqnf+c#D#f(+rTb3t`IPcD(qV)M)@8VjNlbNKZ|}E9kk|Ka7Is0;oF{!( zTS$J-pmD(sr}sY@qWZ_O7!UJfi!3y4zrLbe+D~ZQX|B*+;UR^cI9;9AEmdlxdvV)V z5w;G;><4iE_!I616C(m$OqinRDU)NomzG;9I%S!cwQHd(kN7>SR_^xG;;AEnEz9he;Q_lEbu; z!PAsYfnPyFFTQ`yR=g|Q%vd5qb@kVxIB1IyNx2N(bP{v;JR)G1v>>f(s!}wanF2U%D93 zncMq)K=w(=TN-RW;!!`)KFP<{f#K-H)po7cMujq-`qzhwwoYAgQ3aJDcJaI)yp|v8 zqTd(|nx17Qg%&OW5Q2mSrO`4QsB^1>@QQ+meAd>6ZG6z2nBB~8e=k7e0q+i~;lkjL zyS!68pXcV9qML+zcnPLEJy$F-8A9Amefsx3cmBNV(*nj9#S=CEnlm^0DfTs;8|yTj z&jnt1c+I#J@Fw|a-S-QMn%`GMN^_ zU7jpC`YWd(1#`p&%Gi3-t@v8u-NPDOqWRZvA=*^DVAXr58TY2jSeP9WzdNi7wbx_9 zCqTv9SCs9Yh`a+Qu=2p3g5-)hb&j(JW~>lo?v#=9n^Pejl^n2<(6bHcOs9N3g-lm< z>D7_`TeBqwl&up^5Do?6uCVmyRB-HJ^fHY`D2cXkodDdHxN|0Lzz8(ejh9H6qMj~j zZq_ubb6B(wSrWv?OG<#v07*XsG~1vM=$vAtO{#ap>{U4Epcyb0w5kk2TmRHLE|yFP zE5U46#FWrxqHG8gpOk^B8{?#~ne(D-S3B0U!oYiC0NZ_vW&>}w=6Qv(P4WIp(OXVA zw~^b@Zc_L)_HB=^Dy_e-?()>NLCkF89>LC{{rT5(LZl9TYcMI??v``Yb6Viwe-g|? z4Zy~c>9<@Z&S(KDWn|29!$YxLSGpL8IU&9FJ$aCb}Tuz`)TGi2NW#+6X0i|qy0$GQ`Yy@ z72=9=Z|e4)4rOilptzJdC&&6vhofo_rQQRTgH1U~7gNWs0AMCMOLxOh@CH#Wd_cA*aT@3Qpj}*+I@eRfNWD?^BvYmaPm^ErX z84J2Y){UG7LNWZXwP*rZX@3As8S2`~Sb^ENQI$4E3gJfd5qpK^OiqXl#51U(o4Sz8 zmz9aMY{o&QceQYN>t<6bVc4ktnants_L6Txhmk0$Bjq-r@IpVlJ263LLaTV5EEn<< zWY2?cJkNhOCuT6~Ym{fWxn<~%Yodf-IRY{9l@ zr#p-G>^1>SxS1bG0n*(#I+bf_?!-xsHBzsFI{=UaO7 z+9#GPg}$EWpABJjtA<4>L<6=qruaPG?W~gBwVrzkA>lu^8sBF7t^=FBAg&-Y>)0C* zux10ESQdqHZ7EQNJ0!x-0}Otsug^)ulsQd73P)Sl{+iq=(p)S2%DG?o)yD{BB|{N@ zY?k8Lj;io1;NvcL2}9NXY*3AU7Tz5LnM9>6_CQ#@1U&-Gvf*!gw{SJJ;g7vrJ@#G* zH%a|!K?!Wj6uW9_l0zRai#|NQMhP(}F96Q%Yh3rO0i3ddo8nDHbb1Vg&``VSuQExf zLLBwBr{f3|Lx%KXyjIssYc|uCIkj)=F&h6d#33FXt3K9Ho#ay+6*Z4eS#bDZS!OvL zC-5{U35<|U3m3t7;<13j@Lm0@lMgrhmaD3{Kqs*wt(7a*;Act5vF2K-@+(rHMMw@0 z4zP5AG2(jO_^4*FqO?pUnfB79?%qJKjV!}#%E4A&HlPx&BM~GalW){DrW9~ihY*>` zn%MelTW0fTtz2w}OvH~?Pjd&TLmDb}!&T*g3~i_iGo1^fK^|35c3sRrLe`g<9f%2f zI1S)|>EuK0di|Siy`vAaZ32FHul?n;k>V;lFg;DSc$_F;C=~HIV1eKkLeNbQ1k3h5&!o)MiK~TrzT>1(3;Qk?PP|4FPy7@&lLt=P&yU1ok#e>Y< z*mhC}#65wX4E29M5=f(MitC54cwnVp3jt6{cg8;~p1d}D*0VS_ z3RmtXUH(G~Vj5<6|FCSRv;E&p!>qlLE7vwv#JKbq5f(2c<1{=5D(gOAtJz3kijqvW zT86DL(`vlKuO7m&(_P=43g2b* zVi6&u`+ybm@*rET?9s2RMNdr9Dj1A6ystdQ*Kw+nPmAYM97HZ#X2)5kdf18Z1m*DT zzfQfG&-)Lru7*cwFT*0#?z*L#+8oZ=^~Wt`vOB$8Bi)C_Eo-!+3~gvU|K z$C}ZE$6sqQ_Vn^Dmy>90mTF!wbG5zauxLu5$3Mks(j{8M)l>GvdCiV*`{eZqkMBG% zIh-Y;JG<^?^}Xw>_vu!Gz18=YE`*yb!NrP2WNkgB)hAh1;kH?*u+a6?gdF8@Kydm`)ikm?g&7CCKPTvl@ylp$@3KmQ}yA6z0KnpR{g zF|BLPk4+7hXjV`Z(mqicS&wd|uqbVilfzqmhBfrrv4wMG4SqsD1N;ToDRI!LI%V2s zN+iW29HI`Z6taufs^6Zrq6oX*;y&;}RkG>ae)93B@`)El6AN;q$RTfy`$rQdJVI?L zT)y7r;qr;i#8^1e6HH4^IT2}W*%F6L@vw_Jyr;Cs&kUTTmLpxXM4PZaX{pq=F26T0 zus>*3HS9t0Ajcv3cb;t0-`2e`=l1K$>T-E%m%M;me+|FPT!bZPPc(boEQjtlm#zW4 zJk^3h_yMOxboZX0RLu}6Eq~DRt%Mcjabvirgmw9wcT?d!rjcERjA~-1nyuJdN#c%D z99J1jaM<9@n4v_fXvO&Y*8r%DFUzRh~Z^CZou4HsPO8GWcS1 zUDcc1ZBilU_7Tx{o4$6UO9SX{Xi=W0FD2+K=sm?=)J@=dXP}uIJs;TT9K7>~>b=o- zZBqf=!hzpHwhn%d=|CT zYvgt}G$wQE^!8y>8NNeZdK1a$P=b!6Cz&Ds57`x=-@7#6QPx39ciy~*z%$;V$r8^? zkTxZZ4!-Xk>Gx73M*VSU&SHvwkM`FFG{BAvZi7fsm8{bScR%7mZtK4#HPhGm<^ZB(nsllK&02ipme~6~>e|Y<{hIY^$CWtNfta>YtwI)OWMpSo z$o~{C7K$vcl7fIl(CoNZ#H{!a;?IWwr{?eVG6rB_zK5S;yG!+_!}~on3?{d3fv{gF zB{;3Ry&`moOai#Da(%pXlp)HWo|!FDsuRuaBz}Q$7Y)GW9yARCddw}kmWU>Hu$0sl zRt$a5&bUyA+^xJ|3rY$z@sqT{qcPmKMRdF@8dv2SKI=Z?F8>fR=FjhP_($+5F;4ZI zRa!%-9f3n(6|8IO8HVhHiA1(rh1G=P^+_>{rb|WVS_WaL44Y?U;tGhfv)z6s zKaHVD@H^+>Qyxxivl~_I9{va01HjB@XeoWF zLQ?@?%bJp&S8NPr6>Ex^=KR5ten&g7jZhWJ=Qdo;m>u#ae5%+;)B3czmb~DafkR(r zbI|x0*9Ru2(zFoY84dTsMO_u854Li97xSEwO=pOfxMrd1#&9&8jf+HN|8z@us|u!z zU!=yjqJF8`Uuz8bJ?GgST{ogY_3Xw5&>_Z+UCNC>4mxG?hD7j zf5DuP!n2Vp_O|&)2iJ?z;SF~P8a@@>yjJ3reYnt5!&5G{`5>^lXiwAqLRA!A4Y565 zSN77IxL?>5$isVe$00_4q1r3e0j;^RqhualZyh+SN#5Ny$)y6m71v@yJOE77y=w+0 zV6ubji*uJXVGmsInlUjptqL_^+TC7AuVRLF3^TOP>rULilGeyxqBiJZzz`GqegspF zf0u$O)pvNcPSsJO3>dyy5r4z0`%ztC3!IitDhN0DCE>hT-E|B+0?VJIH?m8=p8ut# z@Fse_!Mo8Ch?(Wc8v9Ef|!7s)^BZ zA}Qr)mFD*MTog&$2SHN^--RVsFcENCLX7@i_u(P4kgGfYkzH&$C15Sc4=eC;tW z8{ppsB_bVYBC6q(r>)(kcD=)T)2rJyV!u>b#n02+1blLH%d^XTZADb1Y1=8rEc&U@lo$<$Z~D^XGp9Y%y~dOf@I&zXYS0Ik&!e9KsqVxOVRsYFj?3@air# zmYq?_*()v-^!px+=qD9Q_qh+Rg3H|u>`{WNRbEcE<>e3vgmcstg1$2bO7*2|=e%n! zk?pPWmVO}S{zBJNiLMErNc_7HUJ;?T9`QzY0k}=bguh<<=LFToZ@wjH+h^P$|6B*( z$bx}R_W>Dqa9>&b?2#(4$@leqPiP|qe6r8D#r;C5;^u&X^(`wYJeSQM-u4Kfic{WP zSrA{=2?cX9xT3~+iQGl7E_m15q4lE|#3v^rG*qScjQeb7FP!m=`$wB2 zHVwM<8Cy{y?U{9lG_N<=swqX1^8v>;0p*Zal{N*v{UYF@b zMx_cYT3zg{B{=9A{o%*thwn9q>%lMgf?t-;&i_ayK4WorJrBWBCiuaSL-}iR{pN(N zWzjOJ`W1=M+&9a?J<1;@O0YFhS=^Vz7py9Uz~;eI5{>M{1O9q00IA=ouBg?O>gUqd zbnMDy{Ql>ihy($=pGPOpubxo2EJ8=xTP=K`B`j}y^14tE-|i_FchwzLUHr69_S?|P ztFtO-cPy$0a3CoU+IXeLg0}BEqdq6;SE`S-=ILx6;s5OsfFkLu{p>mqbtK%83(ZxyKz*9)3_8hkA=QL%OA{UD5`ctlFb`KwUFfJ0Pp&WG7C z|F?=ij;XHsF&WxvTLU%kqJ9UsGmP(gxAhHb0hPt={keIs@+b1eukg3JQ*Tf|Gx;3B zg6cvFkMZ|wDATRvTpJ;`N z6rN^O(%7tyQP~8+aCbiaQ5(xZ^b!UJNW^3**@7xWVzpTLr>|c4Nxy0!-?!G!n8{%> zsCur|JoGc11~e}FX?#o`T7Z9SB#hP=3RW; zhx^{m-2})T^oe1b2{>)6TkXFb=arPEat1tA`!R+Qx;`)m^`j)M6 zQ7*QajBS|31@RdzGZlajzfv7Fyh_mNm7Ld0M!kywBe?L%(h;BP@*2v-fIZc(&gv)` zQB%+)*8UmW7*hDgPrwqqHoB+@Daz-iiepx9w^y~#&d0=BZtooSu*~<=bT2!n(9+!f zuAhS~JW3^}Rp-X$L;_&}7=my46Zeon>LTUD>!-5{U$9lPUtbEAHOz7p*XWNP=}x^4 zO$QhX#C>H-@0yv4Q0+B{ryY3|J+aM($^cxG z!^UJ{+nxPS5hHAOW4W~<0yqHx{WDOS696GaLJoLaEda_-4RgCv(UdL5a2!?6^0YM$ zwY#KYd$ubUWgM#SW;C57n&dp!aAW98G`FbPlI=oyTl5%#$sa)kmcCIRu9mjM>0(P( zO}{ckex%MJjj=^iRL$}y*aDDR|0mO_PI0kP>H~R+xH%O_Z9-bqlJ>-XjWhtHq#I1e zFxnkCaesBK@*rTF5C|#-cF05d_IF?e9S~}>FK=Sf(vyIeo~F9E+bws?Sdl-Qlu|VH zzMF`cSD4I{9i@V8M6m7Wyh!#MRt7AgqJEi4e_Tm;wn&inG8ZK1R>5|o`9eGdw_w-g zSr0V)F95f50=hHna+ z*jVscn{Prrs>xr#alAs)RUlm(#Ke;2rwu71$gqho0~5j)vxT(#!m4G z{l_mYPe^NLRJ=ZXE^qqzE7)F?M3Q#*Ne84E z+8!@4$l^m=DsQ6^wiauYDgfFN!dqU+q!SFsG?zdL*Gy?>h^!jVh?oQFw$#l5VKNpn zCPF3OV~X-((mK@!8JZRw#h&EhjoIabsw+vCAJUKt#VWbg=QQMt7rzCwSHwmj8-Eav zwC$s5y0&USB8RrZ%IF;y?>aW=I)T=-O4I^hA(2+PR^Pbl2`aYFbGHmO z_t6~u%n{v$lY&oe_PTGB;=FIF2NzjO`{ceymZm;A*yIjm^KXNV49--=ZuXg4#JzUD zzGuxp$({3gg;&z-!L{>A^0O0)?%8u?H>b<)j5{$jF(`w|d)Ck3XSQyNE(qOJeQ$1s zn^qWDbT@j2iMq8M`(j|PJsJkq{L_$s2fp(#W4b8NnMT;&w-zaT=JZd~vA6Mv<}@nI z&g2j#K!eI(oFXmc+&C{;tg%u3(tCGqt8)CG8zP0%;tkaD+E+`iU>L%mVE@efO4$FV zq`vFn*uT{r6IV3tp;Z9_RK?)OTNC^xuUL$>iEMzL;Pe?4ems0mw>I(G>FLloh^G^niPB-ez%2s_ z@OF?;Lca>1WndZwwX2Hkuc7y-RGk{=PY^~|+(1@+%x-HwSN7iXtQ#wV&CJ1TvHTfk zYHN8~wR_D8P0e7^WoVj};WCi?%O>f7U)eEqH;cMGsonZ!<-MBA#)xRvSALt>z?%e{Ql_Cqq%SEzVGXL z9iE5RJ-|bl3I%(J8(z`k96$M_)Ya6IY%f$yHlT|wJg3(wVeU$sve`A58y4Zcknajg zRIjPYh@3Fvl@Z-yZDm_U!Y`5za2&2sEOX(2`Kx1)DGx+t=kd!Pq zK8h%2h_Gfhxsm}|Anjv7xweUzbc50b^n_&+aMd&DZGCYgan^`{kD`R z{OqXUO+-L$<+&E;@4zTw>!SSO?m}>wI;fQRW z<#3bae7wmV!IITne1R`r3+c)BX0}IRO(Bo;j07&_G5c`abi6ONjG|%tfiZ}Ky6GU> z^>RCwd@Z62OOB7mhXxffA5kd(e8y#&?t;V5I2ha~Rmb{t2)eyP)_VOxRcUO5+ODq$ z+J7f82AFqE818wpe>_rJrT87oz@2?xv)O5y;u1j_)d-ET86RhNr(P8`p+&HNFD);8^T^EGV>P!4s+v^+sLa=4YLv$Y@eRP@6 z%rSP7MW1?-bWQ~@l77-k%U6!I_~UW7$47_-^T)@ynz5VN^@B8*&2e4%nw-NRsAMeZ zc=a|~jvi)a_ub7Z;P5Qt$QDSdv9TWlNkI=os|upwYrA-Ur-ya5nU{!o?RwMi1FO}K z7x4xSWU;750;0ozJgqb*M7jGPsM5lHqg4p>z-PsCy3G5_NGd5jZaSkGxsnI7`d9*^@i-5^AST3*YW+0Ncy z5|Z9ivmS7Je2sUp0b4g1JNI(r2xjWOo!2&`Um7Xm2`+vLIo@`Ncs)`P;LJpp1K1iD zSxow7*aGFb*yA5An*P?+<2z!WXL@HO}7cG7i;9WKrl z`4F}Xbq=QQ#ajgN&&<4HNTrAvGWi{mb&qq6jkv6n?h_+d@G*;AtdDW&t}PhV_nf{R zK)DQD7tr7#)fFYm4rZVggfU>3(Ij>urAeQwi_MAv;wp&8smj~;t^mNC=<^obe2$^j z1T-jm2tNErWC9OkPgDBTAC^P}#5a~_C$%|mtv6aJ6nJJ-21ZAS{wqCq>35>A%eB6XeK;M=LNfcuF3%bDd1}6Ms!h}@JsJBE0Z%}h!Re0u<+lKl zF^+u?*aavv%uAl0uQpzi;v&9K;WZrd6}?cs=XGg`gwj3)*Tq_AgYqLedJY9z&y&P+ zTum@@B@&hYNtJHUywT4x~IqwBO!g zXQ9~>28)P?zcH8jk943tzGaQoN6Yx!x1+Z?kE$%->{zyT=U{CLpEmu=y2`-1#30*U z`e$5;JrJiT`X6)sLUKs1_lW>)CuIox-1*cn+!JZhrjlwH_Zr8XSbfwc9riTWW8aALd(SF&?z zlKA)y591{H#jTYLW4j7)LWIsk%mpPtS5m$a!`EBy--PJOr3C)Pej8i{xC$n@1a8#G zbn&#?d%n5imxy1;L-FhQdFzro|ETNT3WzIMb|HaD;vR5!<1v>xa5>4) z<#^FnouDTFLEhv>lZDWPR3}1j$tGUdq39N=68+!^wx?TpF#(sMw@D#XIJTUgoZYxK zr<7L{GkQ#8#;vFGPm#5JNEed>VtiYw=5g*nkyj^*PycP#vWXdt%@Un8Vmo|(nLH49 zWl*dOio#aWC z)|{=5Y#Ot5H`3tvD(JN4sba(XByQYHs6V;I0v9`lRv0}CxRHnoKQjFGi^VX1}J3;@2BZzG-PmtVb@Yjh{rOSWM==3RC%bNG8 zn(s)KNS{qx`a==mT~nD#`lcA9a$?Q7e>Ia4B`dmA?wVAz?bvw2OA2SbNAOcH5XtaR zkB~pd;OY;HVm+Z;4t5s(71h%0TJmq71AJF1)1k1OBwd_<9Cp^O_K6Rgd}4~l+AG*C zjR`@ZMlaiQP>N!bvK&#vb{tFwH0RGQhgtV^9`~ezAF<6u?Y{k@uU!3qXB8})5H$80 z#Vm)3p&PzeMlT#HmBJe?Db#E(1(J{h?ksw~LnzmSC=Y>Su)&)|yMV_0DN(e%AATmS zB!jAFIc_fm1%AV`6Z5}T3g#3j6*QA$vDJF9%ZLxTCTj7SyWPQJOTDGkvXbM-m(!NJf*JbaWyTOmsv1avmS zlGV!gj8l9Esmdd-vJ8z>wj9~4zumjny7;0_G`MWo_2YfdDb6~&QDpGy+X7`p3mfFQ z@D|069EY(9i>7taO0zzx4z=ot-w8JWq%MxVEQ2!8av0${HF)CU1S?(_bSBSME8l1pqrNI}jl_+G?rtIxs z2FrJGM_+37;AKbEWh;rm3)F?zYib0x1Acu)0c|-)i({8Fu}Ma-aQI3&@==22X8(n$ z$a#Dppi65bsd+wC=6`noCh zV7d>tXGM!Zq`*O|4DL0CYFV@FHCCb(prdLJR9nyR&lYQ5K0A!t?PCJyP4G;b2V)nW zyFtY!LGG7SvV4j;$e1e5>bcE4ScwekZW5!`E4zvIh58wX7l?!?e84q-B03;f*qn`MBgtB9g={QSQb`b{GQCfqe8;TUHkcA6sBs=OLsv6# z1{~GvS-&O<;2yRihNH&cGjUBhM?X(ak&eXnzei_`+E(nEHyo{)4Un+Dd5>-cpi!vM z09#+){&ZA_?eEf$cAa@OL={5c$@ggq5jWK@UU5fzREY-kE9?J6%}EI6N*?h-3L59Y zm$Olu>6XD*DgD?%`as;j8s>?9X_Cfhmwj#-FJ?CiT?;qy#Fe_Pg`ijL<43Zzl}+CX zLu{3qk%MOG>2;p!ag}g!ok6zR=vpL;%q0OrrJ-`+_P2|e<7mc2u~V{#F?u_Ii+yZS0QSopzm8q0{~Erqki<4C^>E1<`j^R!}d zDmPjq_niQMQHke>Ng6yaQHZnO4(GgX%~H4-$w2X6U$skHaih;Y(b9u3pwd^{ZgH0V zb$<`iYlpS%DJOWIb5}K4;J#{qUx|s^$^EZ#qW+4O2A^I4dT93uV^s!kAsC4guNlp7F-F~Fj^qw#QPc8r|Hjpzv^X7YK+vl+uv z4hCa=>Sp|dJF}H;mP#edZmt<{zXn;(fISK;FKI;Yd+=iN*f(O#yj97K5s1kRn}yi7 zGyq3IQV0;4D_*Ml4-N52!wEW-AkUC_M^@J_v~M}^Ey3VqIxZFZ6C@|ilc+2fxr z4CoT+Q>(SVWHhakZDYIqCj8g2uPy+PA{MQArb!mnU*&TS4vr#S!8wb>Avm`I)E()H3xJOQAH5d^=)J~*58j)?*P?mrn~7+zFF%X5 zKAh9fWNsXpg*)ec#8%z1kLbqy!M)qdi3-l5IM0p;FXD2>gSF&xXLdR<8yY$N1o8X) zNsB)$3{c!~B|a$AL|oLr6~AH$kqxE0sD^qKOUDi<*TUU53#eO0^IaxTTj7n$1 z5YrL2jmAAV1&{^|oW-2S#jRR!MR~}D+s!LR(&Q6>yEwYa(y5&QR(b-M>*$4J7eOs3 z{{Nv6-|T`r2A?kV*LhyPO;*u2km!Ql);VHL5UDI2PicaFat$BNXrknEY~oj-Ht%Db z0%XsON;mh@qx87-JdRFojrVBSNen2X##KC#7fAO|J*{jLQDle(PdNKJK|$P-TA)+^ z#oX(Lq?W-|*|*h6YWcg`?}UBL%BsH_m$&C-l=5nl*JmH&q&_;zr2b%}5`Br`sxv7= z;wL`CN@(%T-~8$q%2y!K^^Wk`_NOBt_7kRm(fiGD+<&j81awbM3qaYlHIdpIZY+jk_aNuOdKGJdREY!<(V1oV@P?OaM9Kf9e zDG${ibP(zXd3~zRH2mQ*!-}Jq7Hs#&uV~TFSvLII^`V4Q_}6K=layW2HRKGV6m1rw zDui`2y_^%`tMQKx_PH#d{RlvK>#N4-uY>S5n*D7z%p{y(7|}oG!UA7iu0;GFoC~yP zI^{d_Pn^exx}D73xknHgVpY87@xJXRV%{SbK4tx>zv?0Lf-`rg*kXTwl!^WUv!w@# zP;_KAxh|l|h0$=5DIN$?oYx=;rbDoafPj6tLwn^`fZJ4M>U49Qn(bG<@qLBw_wM~} zeUXu+A|m}L#a$w{+gzuwAZCIALS*`8iS^ThQC}*a;-#S$MVXVJ z*y$JZ?91aUS`>!_CEh#QJplT!TD!R)ATfh9EP+d0n0Lhc6w*sLg0+QoZqRAvtv`Y9 zaiV>59pmE3*s#?Io-|{v)e&(IJ3pz4c1DY1+`|8cTvX+PXKiE+{G+XtfHFL zlYlhPtWlq`g+~2Fy;4+PE8wsGbc^ggRvisW-6T30b0Oi*CW7nLdVD7l3RfNy?bESwz9ROeuXKH{J`#Y_UoEeouHoU z6FZTy&As_-_J>3*-@-6zvEebJODt5&MZBZM=eIpI|Ji(5SZ{RwS>H11HnZ)5{LJa5 zHH%o)-1you{wZPomYzLv>_}yjb5SNCfMKxRr5{OPbR$tT8lHJhg|tQ!YTWy-9XH)p zlxQNlEYb5eV4Jh?fL{^9B$vd}2e4O!@dpf zzV;k^AfqCQV>^ituWE>nmxFmW=tNxEA*>!-jJQ6!OzaAClTf9JW zPb@ueChpYI?r2ckYw9RJi>hn(FpVuX{zt~Fi2^)lskEn~;cUk(H$9TrSq?k>;C{-4 zsML5F6~K6qCKc-FA-UAB^6|W+Z|cMA1puB~MGdQWIr{ zfX@{%mXgHft3XrFxq$j<+9^gp1~JIygXxoOEhP~Z+6kw13sJmXWf+gIi2L|1(9I3_ ztU~=stq3Q|WFdKGaO@Z;PnRCv6SY|@n6qYSXxSk*IUp(jkVRz8K=Dc@vwc{~1WBVV zx>czZ`3Qg^kd_E^z^>lsKtSqXdy}|R!_ctH_%7Y|e3$61HYO+TDx?fFVB$o7aHD|| z6k_+Cugb%d&em8)cxa!f=*txx&F6IrTzzr{#toXrep@YX+AA+9lOTRgiGoA(@n4z1 zc(e1n1S5I7bxcaHGL7f_@u6*N0D~CWbIV zcEaZ;8CvG>>TZ&S;O?Ls4%*01jcAYWu8tzspgx&Cjegz}Af6}hVO%={Aoa})^7P9C z%iPxg43Q`Ao|YWBFwLA;YE`1atb|UL?*AKNw;s}%@@tVcjbSe}hvxB1g{4ttA4w+a z?r2HVQ+C(cXR#8pE#i)bmd72jZ@vZhXoW6JL9{HSP-JHGh=pUh;GFl!+SZ${hhD?@ z4l!j47ki+bZil;2o&{Pv<`NyWVX-yfpXga^Wm}2xemTWJS=OmuN`!ui27Qwq*zhPeF@tTSUk|#cCdAO+py$EUq|5wVQTzx z0yQu|@<%zdUH|f8!L7QZWqy=g&8ZlT#d zf(ZtWTh%-*;kyHFmkWG=(57=_xY4Cx7Dm{8Wgk2dlD?ZY!YNq5YH55dKxt!;?f5;&x6`prW?hkk>9x*+D7uqc6qhS7(cXl#>L1l*H zmP%*m2rJL)rRl1x-(RTR2?xSV%hN4rxmIVr!Jg4|g{>Oco~Y&qluqCbsm{9>Nc}o- zM%dH62d@8g%m6FGiv`m7W^=eY#5yhSC6w72mX8&;Nn{)wzh0c_6pDU~8QAE&ytD1avk7oX!^-05 zD|G?ljC6NnYS#SLYNlm2xZpf0duV}K){kC{^yaL{-NOX#Ny+MAYKsLG_W#Wgw6c|v zVz5EQEL-xMScRXYnuW-n885NReh_m`aZLPRM!wulNwxTdoOz=dKIfp`VJ$YQ@^BZ$ zY?^;-MbnxL0Fa0{h=CjKVB(ctIiqde=LWs7hH1!_EMisO_9lmW3K3W)Cnti-FEq_aSDj5G0 z5PuI3xE1%V)u+WXd~rS6ADG0y3hafs3tk<4L+1&E3_SoTLvm|70pGT zzCSpwwj@Qh#}PgydS<^}m|~PXSWxm};gpL=>uG+*plE~oq+*gTLZy^bIPa#HT&DN& zKcHg_fWDF!6}zUT4?h+e4{6D0Y{!sEXGGo8_d*|_L5sdRlVG&R9>C}oVMzLCZE+V4^RIo@3Um<-lgc<~r^H+F-zNLX} zq6QZD5|9fSNX2W~@gsr@Oi<>NKe>Aow}gZtP@U4sHb&xIPB*?+9uh36)@$VYw$RwP z+xrxDC2)4w$W`Dj!mZ+hgKq7S%XvFb?d|uTp*+cM#%)y)akGCQ)_!2{4^b$-pyV)O z<0y*gc)O_UFeGh9e=rY#-@;^TZc?0zAhCC|DGa=-7CZj3LTLU}3Vu~|nQ=Y7-x41! zQ!xh&A=F~7Z_j&XmUy;6|FskjI}!_S;R^rjbH~3ij;eylg*(Y4d{pR0yYHpoEE!Oz ztjeT(`mVi|Cg(7$S;q?^>hBoPGbWPwj`#7KPkyl$U)W<5!%aW9-4x9`;V_$&e{7Pa z%J=BrXFBkf08oUJuDu1d6^6GSw~PU|O%L-qHBBpg2gs7Bjm{boM@H^PvWbVOKD`sC zaF+BKLNW#)$l@vt5JS_^!;VgG`+V)=^2VRazs_{*RdFmDEY;VpC0Bb-|UkOlqly@bPDWH1P|4M`y z55VGR%gu?hB4L2)PF5%YE@CP`78_Rm1!xs)<2SOYobNUJ6AD1>tETqyg83t|Rg_32 z5Ks*n?RHRfRu314&jE&Ed=3Rmyfz2=(NT<=*$xCLn4}aDm=Kj}GBtRY!~+XQ>k7L8 z;nrHyL3yMc31ET>kKoEq04P06P%Hkn1_3c+_pb2Qzj>}p^nb23k2ro)=@DSdDt1B? zlQ=!D$AbU&|Dt5?kU%+U@qkL)wif@bBnbBUT6q627nWoI+mKuepcWLKxAv~WZ#46j z`KhB>bEP}?_^1ZcgnhkEPLGFgAM?O*%EcoE1F#Cx zI|85*X8m)0AOmweo6`X4o;(-b4s%H1{HBF#26n7G3AuI9U4LWXCdC>#5P!}DNywQN zZhyrJtZI}iG5iCTEBb!<{a+C1N{iwLG5mf)P##*18hrsOT6RAmzt83FqJ#3R);o{S{%GBcA{|eXhofQ5lojoe2KQu9*8H zqM!`6JLL#!99hum7a+;I`+=l5${;n)?kY!b7Tc5fhEbc3&p-Bxzv_G9-*tcZKarof z6b)~cZQ&tZmyPuGxr{-0R)yNf#0y8Y!Faq2=ZLqY^!I*^-#mj>uqQ5~W3Axm1onaC zCuCxv;%3PLDGV%iW7vaNC12uwjQU*HhE;MxyPgyV5DR`0D}UmKZHzMCRzXr1r0dnaz|5fMUnA zbeG7ejpWPjl-`rg*N+<7vbaSFo{~KI3hkZP#mt>XA3+k>#}r!aTJ?iEnD+NJb3>yBBU9Oh1~bv~uRk<)lf zXsaw7)DU?GEDo=voj1%GzMa;%^eHssI$Vb-^5#yibuIxi_P>56ZxAVa&kg#*%)NB& z;%p9x+?c%;!4o!dv&OsJ*rFNANd+W?g)sZWyhorglhkXT ziCAodWI2~euEDR-^7=#hnb7B2|7Y2OWJzbICEluB6rJs-WQv~466x<$#3hb2NZ z(Du@!dr#tbN)cGILj+-vWTxZS`--zfe^yUvQgtIBFuK+Nz?1X4+ypJY4ZUB7Q@^p3 z1N^=na&gp8e{o+efcsjp{B|~Km%C&4@zTgZ-+oRHQHye}>wgxVomkP`0EipVA42}R zu7tsV>Y1re7^Zv*v*g?ly2N~r55`DRbqAHKB&POeQu`YtK_DOt18PvWAS!A1?|ufU z9Cfw}fogv-Udzgc#$LU9mb+Dn|N^ zd(z{eAjLVYtmy0OGr*1k>MsyDpdJkKe;lrr(G%58ChF7~uis;h2}8 zn|4RmS_WY9!1e(NI;VT@`8yG2u?;lV_(y5*25P1*(WvqWVPxXdcuJ;$LxAMZ+e1KZ z)ObA!fd5wp-H`?CYB@c7Z@F>kzVObCGt!b1#^C&=Jyf{@0KD@sMCK4^No8*&=g7{c zmL);DFln8O;`vzFMivK!K1m5{tzr=z_D`L^2>-KFNxH-?VcyZ31xnZV&7x%P9+AuW z8YkdCGH94+lOgK_n8pJ5A>dF>+;=#pPyl#YPfHuPzFuEG9J6gmP!pKxB zCAHpY@2%zJ+I$)iO=1dK`RohD0P{asBO&86pc}sfl597=I!A_9U)WFQss5axN{9)V z;J(3@nDE}4_b`1;E4eFeE#J;1kxNrSHkgtL1E6*iSQYBIw~gp>$_|aaJv9oRU;YXS zVtuMgj&*4D)8*D^mTq&9GAx5i($d?MH4U$6asEZM#r+ud#!$a?({(V}qsL$QUL`4? z`z^Yn*-h@MtM`qU?XRrvl#N(laqXqrn*tx|1-D_dzTHat+6(hIrOAH^-x-s9P_@Z5 zYTuq(hlQlf2)R9rxnozqA8rEG@n((MLm=Zh=LBqm6+l-nM%hUhd-7yDUDwVlfSR4a zL0=$^Nt^C|UaZBR-yvFe0H}$7)@N3tc~3hm^!79-grK&n9@lJlz-fazl~(tHkzbmz zexVC-#g(7|)jiyB5gWW@T0CEjPhwz&&qy}N6n}PUL_N;o&^3Hnf@->^92YLaXgM7$ z5@Mhd7yj_3vXkzZr?_L@cmMH$h+vc0$i#n$(lqDs;9j8a7F>9=JqX;xh`4tzc5>W- zQ<=Sq4REPTLNM{Bs~xZ$XIEI*F{l#?&&)z3qzke}$-h^dtPhd~bAY-Gyte8N-Xc!y z{wvA+=VaI2uxFGV4X7+_h>r(}7If|8A`}bU{1s!TIdQwl%hyvaod~aOovzK0BB>@; zc{SW?(T5a*GiLV4lF&2L?>9gH=Jn3l-m02KWZc&RbMKil^OJqMZ27XfV(x&tL0UC0 z@XJDf@f#C2v+^cD$Zg7EeQLUZI{EGul8hcukAfbE($ls{c=TTG+(|7$$ujIWp=wCS zii&H$}#7XrR&byLzQ3IM_>`#hMO2dI95b^#7jRK7{;yQxD9W0JS_ zHJi-%)^|3&y?#YarXq1hrTxWj?mAMG_`JP*oY|~1KAL_kr_n710vMs$0~pFqsF~7y ze*s6c7y-g{p-C1%;sr^@oVwpl)^A~j;WcH50 z-19$1l{Kg@{?LHs{&;2GuC#Wec=kPOOF4MYXXO$0tE_)!*X(C?y4IAVN~;Thk!P@{ zg>PVnOLEknj`Q%%58-wW9)Y-BNO^HK^=?CNKai1dAGJ=n^_%N_Ag;~LR$no0=q$(4 zL)g<9$RXbbv@bJM zkzGCe|EE*@Y=R-sY?foz_~J{YNTwB$&UYed2T?pa^poC@l%jJ(Wr$@ zrmi_n5r|`e5aH|dW=kEMsx?dghI~)x;0|nGS_@3_sW}x_ynn*9kXKP`2b>ZaA|rF@ zv{Y!Nj52TWT$foa04+m zJ5flH0Xt`r{oko|o_C3ju0n{A2~vve;`U$VRGmVCO$b0x7I(LxA50X}4?M^bXRpZX z(j*E%3PvK^iw-TNX%zyGE&zuuyUJACY-}Zgc7+3I!~(n!q^BPkoyC}3W`}u|A6rrO zCj8!tMsQtUQy1RtAUxhs6z5Z3@q%VNa=+?O@#)7xnZvFyu%HpZe4;M^-f~O*E7S+d1sy^qLJ+76YbbV80%%|7hR7px zEbJ;o94F!pwa!_$_JPLSMSjgN#ru|U;WvJ^sBfEJgWx{`%0Pv9g_5hm#Q?&HnL@M6 z78VIW9!wQ}O-MD3fM>US2NnmwROPI&P;Q{;ySk%^ehe1Me_^x2A6kWOGoQ-vjnn1x z;8Q(X(-1C-VQrN7SGn{-^&u``VR3LB_ziH*A#2-}AO{Tc+&`FyjC~8v>t#XWuvoFXN&eSq49hKrr7lp>UkHGej&&zLeZn zX+t*Wi^B}OC>wv5{va!HS|s;TR2Dg<$%nU^$K|*~V}DPLw>OB-$ZyJ!NfOrj0xYcU z+o)Z@J-tOWVAIfpaL*UkEx%}3T2boT_Jn)dQ6$}8HfD6O}5u)$w%-j znXH8keC&9HLLc~H==$3>f8tXOv0y$9D{|Gy)GJrb^2n8n($e{ znb_>2v_r;eHbxEr3h0IX0c+tuslg|UIp2^W8rpF2NFspLh%8L;{Qo{7zlQ^eIV3XQ zHN{<&ktPVcB-4Ht2tW!pCLrY;M3nFju%H@FtVp|e?+9R>HppIh z&iz2I<@()|3H*+~SgX5lfMn?IyJP7V$k!AG?xo++t>81m7X>*%M4F9Nez5|l^f$(H zZvU)U2>H%aZcHL-x#W_^P8{#^p!ZeS;rQHs;h@vL zrv#9xGAI_}zz`t#sNTAeHV~8rkQ!iid*4DlubG@U8rvbBvu08rS$(Y}hxPe`Hw>R_ zw8=@{(Udt}6dnf@&t7u&Wm02RR}#%qnG9T*76#1d2~jK)DPI~GH`a2yM}p4LJqh82 zJZ=gQV6c^xpr{0MAmJxSEcT)JqE_*uz>|&Vg>_R$S9?V+XXYV&ynt;FWTwZ+?WV3m zf^OO0dJaEdFu4CuSot2T#fwCrQi+azD-+)oXCFK+xA(Km6icQ2^WoB4_tq6B!})m{c;#!qa)(v8K#U~7SQcl}mAQZM#d zQ<`)YmE3tU*Z2Z(;KB0*&o6F~mq2v@G0ablZck62nv<9FOhl@*M4Ox;#&u9!7Dmsy z30(w4B;R-v5Sl9+0pRcsS~fj>s}VTH3!eIT{{#xRd{O@fz@lffafIwc#j%qEOHGUN z)Gj*8qa2#-ut~;+z|QfERprG3g{8{tcxz|6o~VoY*}-?A={=IS4k0A30q1H^HkP1r z03UsBU#V30_&=Xtn9fD8%!#{XUIe~-Q}A|b@HUA@H2ClQiDp`WJ5rVu2DKZYc1i^8 zhJh@Okw%YD&UM|9Kr86CDD%P-Z`^u)|L`QCC22NLt3}6C>gUU49iwhhcjR}^mqwUd zV|x`)?V>6k(8PfEy3V+O4&RuPY5U#r%R zb40pXo5zXk8cnmbYRkaQQ?Al(G~Xd=Up6F+r~&~6?XkEvZSJ>`hw(h_o%laX)O_iQ zc{>EDnkIu3i_6M1{^oZT1^;r6VE78c#>5!g+>tUQO$c@ejR%B{wXvChx7>hm_oP$D z2P_Tpdl5qtHLC`8yWjqjH`s4CqD!S94j(bJV$ISchxmX+ z=Kzz*+Ce}<1D)90gG9B-JPxZkoR=L7Bm;qtMIR=rEt?Y7^$`!#%)p%KXNn``tAMD%4U_SBVV|^j7kA6TyZ98&CvHF_!Cxw0qMmu9t3mP z=yDlPhJi^EZ?EvXx36_!DhZXrh@sM0kK|EO5wX$(xWQ{-l}>r~m#`6%=l`XG|1p;T+sCU}+);4(NnE=0E4RaU=QnDo=IS z;_k=%R%?X;_rYJM(A#5{;RhhTVwyh@Ai~cPwXoLp*umkAGMx#Rh+a0s2yiQA${p-j zz<;9E2>jk`og>6;O!)XYq8j#aeLbw#X0e>BcO;aMH&% z55Oewwlh4BETkk#n?jeV6^02gOEiyTkYv*K*z%^N6(XIwL%ZJuMo3XCgN@rKJz^qq zCzKDZd2JAVV;mpa0%)Yx*RDoIllJSRAS^p%b=y|YW}3~{aL}ZKWBQFYzJT@oE&2zl zBRGSi49UHwxr`M-?9kfUWB{U@JNB9-74c-mUrY+^;1>QGX0SfvG;;9zfV1nqyNG3= zD|5WB@0ee686W-mf(ftJnSVM%Z_fGvUC;*F{h|t-fb0gBNzf@wNi&NWTmn)jYzZ2P zU^}<7iEO)b?G{fBkIopak@n{}>r;sXJARcDOpX&qlyZTqq4!L%WYVpnvBI4AK0GiU zZAw$n9Cy>>-IHJsy*x!EzOD3pA>N71kc`;0qDJio^I1Z+v|hlhmBNu(Lhhor@jKzR z(W4@2t6pQI|Kq%}!Gq6O)az?0rLXB{l$ago21EyMV z{N|NF&Rr@F+%TuwPmfy59J*7apXMfVe~o$1X1iSUNzl~or|u5;*#mGKs9mc2g(`V6 z`<)eA(%-6!Y|uKlkR(~ruF349xBZg2&&0gfFcZx{)&_s+Yj127-R-6%2hdJ0?nl6l zOvf^3Zh@2q5mDgHRAFR%Et)DDR2G{jb^g?g@@&55N3+gFqX&ymTT)B5p;5_|(UX2A z^!7DTP(*@2RUo>6yd0|4Z^;VsXcNxls!Dq(hz}r`JGI}-K zyUj9MZ7b{#kMB}@q*S=);1lielm24r}Z`Eyk$IBJK)%cf-8`Yd|*QztY zt5)vH8m3k@`8ieX3o(lq{FblyF!@Mk`%Yx=df5h|Xga1a`WPVa_Xy-Q@83ziM@LB) z{NGFr^%77i@%KInqUKwCEd_|d9+X@oQWHMI9N8H!xM!kGw27<` zdQ%;#VyR(|qDKO=FE2e&*Y*{n=yYK~In6#G8btJmOsnJCCyp;*8fYuqNavTS@^&5m z4;=J9ASB|j%eeZHV_%1Iw>`fbuQD)4;3qjq4G;KD2F|ebht3f@ryu2TG;2*d}&-_}LO`alYY0Y3dCF#iCgpn^F}1Z1HIfwiLXelS-= z<~(J}>+T zY!a2gdm0Ln04Xp!iWrrZO(#C73ISLx=?S#HZy>Knr% zQCchaS>3Hc%bBWtK#b@#Qha9&bynjw3JRQ%#ZkV%g*g_8mK-O6>(2s$io2MrpPwNz zH<1-{c(~F(qHEKtGo5m4)VWK`QBn3en){1zYw;}~*KAk|Qt_n~g$soT0?+?~&EV4e z`V(jk3=J!<+NxUD}0`nVrrj%?CU7e#laR5sh1#-LQv-u}V2-NT1Ln)!xzf>Ba56_Ul);7zWeNNeN!kLh*hma|shvrn+SwZ7M z4*z)c!v_F{VqIlH(>6CR0Hi!oot}UA$B$;NUQLuvR~hAQd}z8dimSwa zrEj~#uXxkApAy;Uzk+;q)d0MBFk5v9dzy@!=3G*s|GYBS@9VnFsK&+C zZ)jTJ18_k@fs?{{5d_XNb&&y%iZcWo$|`VrX@EHdA| zTl7-=^SIyrC83m`6+4p{?%&AW7xv`r71`+C8rwy@e!pf?ssP%rWf&qV{P24sitRT-Zq*9e_G z1^!J>^xSNsn!L{r1_e%EJ5pr@-F(QJCM2ug1%{se)8UH^X#a`GAmUK_jPC5e=g-2uAWvd{8;jDr3f$<7y6Y#aQybFxK&CAcM!fm$nl`oG{}zmfOGdR{jtL zufKY8_`VUH(DpHliO~SWQkakh`dF>*8zRUV&QFalfY;r>rxj)cu8QBCz?w%k0n;@9 z)~IfvMm0A&cS^!`Ds)WvB5|8fD0eP;pT-2=(Pp1Q?pz-9dq7QUshM3t(n-Xm=^ z2oyu8ovcNYf+df3y@H8@3Avd#$qs2msybL20f>*M9dc|g)^>YF^%1i7vva$6 za^D@fR8Ag6i!`*;Rmh_-ULFzd1$sLjV8rf%JpbUa4S$-thicleBs(csxYmC#IvfQL znE>-h37597e*U@p4)A@`?;cF=IOt*|prQ>++t0i>3_+kKBkG&e(^eOj*p4#=SJ)us z+!wGyzRbLjga!jmy08j@IIsS9*cD*PO*47Vdzdz~MXK_{2>}Dsc`b(2;OwFM*1x3!d$aEDEP6HG2=4pw4QnXFG!2G}BWQArj>#y=DDQ`~($-*Xvn^aXz^w{3a@z?A`H*a=}Tr zd!J=x*O-SA-8UIHW3oB^MZix}AOe;&FLa{Hn@ND$^(mjs{g^|>f&`fj!;`l-2M7y< zGNhN9sQJMlQ1f>sjW)_e7WRFTXyX>8sey?8?u#TI1VJC`n!Y3pycWWgm*VuV%PikT z^#tQMZ1D3Ian~Uc!ySypIbC=hvt6gyX+P1Pfi+y-r zb46n9!H08Fw~3@NFhU1RXLQXKaX7VSUrr;tba_krhAvdVtUWXW*R+X6MsSUW9eKe(jL}*-_zUhnTLH=Gx?2 z#8y#m1#mgEpJ!TlJSv?#`T?X|HVZxapHjmCGvB@FV6p{r$nzv;!Wp5y@9B_ep#xUf z@I0K!2uaTS|D6M=XE!zY%FqF9RU7-|wX=i)xXP1)cn6wC#2G$Cix%F~(~{|0-IV|@ z_ociHA-Ut5QKr1)q%8`7lNJ*X2AIhGnqJ@m-EtakW^rHqv=H4W=AN8G9`JSlRX9n8 zRe~gVng0RTdzX7koYk~TNfcIbW3Jb3(YIU}rP`Ic1d&5uLA%^k7Ys$N_Aw7*70xYf z7?{#?4~*Yu93NayxKPUULD~A{YM#D>Z{EcJ;kV>u_iS4DHb*y!WV9v-&q`c(){YhI z8Zrtr@bbURBeJ?PE?hvr@k+t-JyfwDU&-jg9O8M!sVxlwXa4axq#1NV0;B;a&g&4P zPts*b!6l91p3wZR=5S9YA%XM-(G>eZRLTrW6ZS#3p3UM*LJdxNR(8%!qYMgdmT$(| ztBJiu#+@NeV>I$KA0;k31w(#}f{Yhl`6ph06(# z@8`Y60Rf_b-iLtrm^*$UeK)ZY1nYpA|P(p z|6=d}1>a3~?B*kE163sn9DNEL9Z%(&CI6aN(UT)qe%1S{(*mREQr4^4BX0Zf{LZjt zd3inV)q$+`qV4MZw*a3m{CsbDbfOl^a$$hS98T?}01y${wNjaSFb?40@aFj)V#@+v z@qp}M`)~>}0WS+AFb}uD@$s#7enlIe#DI8{ruwiVaM%SF{_Jh$J0Kabuth6h(CHofp@mDfGNrG_oD6ya5aVh$Y}2FXrB25mquJ> zMLLmi9gFwUdOKAKs}sg_oo+$AuUV0~VEHhQ7klVIit-J=Z-3m^xm~(LYEID(Jfy}+by21iyruN)HovS#kh4AOBJIGDAL(o1C+RtH$Yh)>JVOo>iTn0aY?T+8>muJ3hj za_|Svm0A6#?RU1+ojHZ^92fUn$cWi^a(DyjA$EU_d2Q3Pf}|b?ZBsRJ5d~Yos)v`? zZ%>>%Va??>perv5dkW%WqnINFb~i@w?@C(rW9u>&-9xR;kovX1j10`S&`q>>*Y~av z7Qs1#jx1$PjKh{@^Ncyun1l|pHZ!BBMcv4MfF7RZoNQqhwf zE8g%8Ru^=aosSyUcB&ZrsErOW7dWMCA@kmQtMwTc^!aIPzvkOES$vnEK?cYbcAe|7 znV^4RQo7FALgqYJB1)EUQqvbDlsr9jQaT~gn$)p=ZHXe z^yoR?dTt0R)6aUQ9AdfBXu;7P*2~}q#k7)m)YF5SlMzL18}8Pzj~A!s5OAr%C3KWf zh^$aKhqYzWs=?;*c-79u)8RQBZ?uMur?PEj*S+v!WY+F7LiTXU?>%Cb-8w_M=K8m1 z+_`SDa`(p5%UKz^vyabP-O|!JM*0TbqT`8dk5;S6SP8M}^IE+TeFn}d&m^ddl-f5} zh)*z6WI|RPvg}FtzmiL{2t;B-O~>@t!X2TyIil;ifpHzIv!#7&>rSpW>H);*ObAsg4(X{_icw(y6WVlW+6W0R2r1gw9wILg>^4QU5gKr|MuP6{iS}Yub z-{>HuXA;syr{}Eg?GEOTZaQ1pQP6mIXS2wO&%L6FFR2|}+m)ue-pM>?-}}LBfSnfw zE4C*!w|eFLMPaoItDbHq5!ly_n%t&jpl!Ha$C=EQ9Mu_XX5L#we^|xpE8%Hv4Qo|> z32N6ZQ^W}a`I!ah(S5{7by~K#MZ5p4BKtsBCyw7Me;c@Ba)X}c%%vAj~;Zt7Rn#L}DS}d=x_sLvgps&0?>6&RYl0#8#%janiM=I8}3lhrI@F0xGpy ziHDEMqxR8>9?KK+>$}@q35knvgKLmPEJM~os&gCnxGH`yD#vkJCnde-rEvAEin##Z zoBfXO2{GW`c>Er;qg%2ywUcfJiQPw`;0hb}E2l}<^y(z78b&bYtFFn8u3H_5Pf@5) zRE;mLu_?`p_3MeCohcXpp7}9D{*)9ByVo1%U96~cd(gS$MG#GAYPRpP1+BeL-J<;i zuHIvO4;r09$hWbWO)aYbwPXzw{0N;EMeW(gT{=BO>7Rkj(P)_b9DlTi8=1dOf19}0 z1CA@%?DxK;3O9DIs=sSYl)~w(!W}p(&Si2H%&C;r{O4C2=M&k++f^;v)KYF}GnbSJ z<1X^lLiofRp8fI)uAnEP9-(UbRD;{{l0Sm6TrzZt8_xeScdEm|=yM}V8B&f9O#*jw ze5uQ^K9znIafekqAD*j@LzE_-W5d?{&2bPN8e7|3SCUvNG6iIlqORG!c4=EY@Cqeq1EZ zY6R6?r>F_egDgdXsfC_6*YlG0 z`WH_SDPK}#4_v+KC5VGRNYFJOvCYt}zmzz)0X4U%JoZt?>L}M;Fv4D>W8Xd6Qz{dNO!>aqxoDmAvHA z?y*uJ6biW6q+&%++Dhgn$gz6>nMlc2hXss0E4xwFREd;(b!A+P;XbBg?vjFikX2yg zz@6iy6U|X51%De$UC$p6s~W?tm<6mI-NWGx;6aUm&!u^tiuG(LKXy=fhwidifNace zYO=9UAlJ-FS&I~lszJv~q8m1Y9)Wb@La=YmU?DAC;${T9#L^p82p*;oy@Q z2kp8OuFeeWGs-q8`+V)MpQ+W^|KaJ+@iNi_0_7I;B@avFQeQkq)m-^*maBfUu_A}u z;I-pRx+A04n9PqjeE46^7I5p1XE3;jGfpd2O)A{wYmzR6cAJwXm1TIm65jEg<$+9nJCXq zo=QaV7cZpE^IDz~qXK{u!_HIao!Eu#4l&1EwXQfG4kXrPX`0r?h1_Z{>!>U&>zt8# zH&Ml*s9w`4QEBjsLVDspXDEP)adOtv7k)yN!mUIVJtj;n2rau(L zuJUVnRS>Z1nQArr^+iaftd%d)W^Sva4ob-iI=(dtClm*C7P@FmgRtyiyyC#oujZ7w zXGJeMSJDSWklR#Ai+T+$LEHaG2j;|mPeEJsezQnl9I#DR88pVIlc-p=NsF6y^Im(- z-}EXb{yg)C-$7;^P%%rN!-Jx5+8MM_g)s6;R9>OM{?iL6blCl#<^-|EXP)ilkNY16 z$)FpiSv%NLW(g-dm3^3>819RFx}H*;5$ZR+2&2b~R1=>h!!)9$JEX{O?F3Ll^bXJT z#&_HmCZxv^itd@064W)Mr^*~^U_bozy<#pu+#PWrm;dFfceAYn;gut*-J_9f+nXN~ zZL2kkZvpbV29YK#O@RFloEW5#@edX(OnfTvgZ1-Fr#3}xW`HtE7%+s^q``^-q`~IL zB_`}SF(Oo}kH6`<4YHZg@%nU+>#Fk$MM|Q=XrU`^xl)v^Q*^PX3`2Kz+YKorgez%2 z$M(=ewR)zQKMvep9hbSXoaszdb4UG4f7pr_^$FuR1K062AQ(dfR<=4W#k~u8(MzY{ zm`C?&!09f$w0y>o`T1muRQdrJQb|vrs^)o4Oc(QQ;Oy0fyoVI*g}*SvXT9kMryQ*_ zdT@wF;6vb5_LoO?NISf!dvMkyjTJX}9wF0UQ{DL!$m}Tid?&Lx*@hJLpa@tOM73A& zYRy8ZXx;gJ<((eY8@a^N;LcZ8RMW$!pA`-Cg>hMpoefRTroYAqR*Fakq62=iyraLY z2^4jC*&AEAoBnVx-6XNkK=)y9g(JDl4oNgaady!|_h`-y{1-%G*>H2mjw+V;%J|l1 z&rHD1XZ0n%8+pg)#2RAaJ@XcJ`@XJVeN-R#;!U^b3OT+rS=vKbT)YeWhb)#0z5wEV zv5a-6`&4o9T_A!u`f`Zklt?sWSX z7e66t90?tC_*P@mkgMSGK9{Er;Ng$S{7nxXtdfDp0BO!IG@O)f(yVWid1Zy3FA>&z zc-+UnOp+E0sEPL13YMp2X^$ zHxu}pv67P|+yyk9k6`t~avj$~<`MC;XJ!oSnx^0N*IB~%P&LY4{bt+AR3m6UJ{=)i z^onj*+~wl02>693n(V|eN2OPw@1|Es9$#e3}Nmt zwAH$cWx)Bk_<^gnR|tHVttEA#1X$`CHs$>~PF0_`9kfLPpHt#I(-Z`Mt2P3%C(~$S zJD%yx^f7vuLG0yqjlDX)cd{@{@UJDLv}4NHyb130$mG2A<^Bf#YHwjzB;nOQ;ZBcF zFGKqYQ_GhLCDXLS&zvF5$(eZW)qhZc%rCxSj-p+^l61(KJX6}NF`(^VIOB)W9o>=i z{>`1Dw+mjeqo;V@Ko{u0Jz=i#;`B>Kt5~|KDVpPIFqn#F>XC7ePd%Kv)nwiVbSucn zB1(K6y1~!nvsYy8*7FIs)-b9u{pjLwaoz4E8ov`0x$0_GA;E>c8G9K2J;p078NxLL#)3UD5)^ zX+Z#m1%ts<_V%5bWgU^03YpFij<54d-Y1;ybTWoAj0vl|8^JFASKOlQXL5~)tNv~D z)DKoYX)^0KSk2~32SIqA$Z3O=ay>%UeQ?&^xpC!8;u92P-5joO!T_}TE)hg;U@+k5 zB4I7B31=CfJ*HXyF)H6OGg6k#yv=W5cJ>K}yJbXXjXF-r2^dx2iie|)mJ#rBpTU}7 z|I%7o{vbSkf?U{iOLbS2%Juo7gy01lN@UE1_zcAX)(5j?e}t|fX?jzxT4vv3q}0kv zB&KO0?h1c5IG(z3UOBLe<&d&`lH!LoWGaZ4FDF0-+u4sQ3GjJjK=a0S)>!d%>t9}h z!MWITnq(jRw;k`;tX8j;N>BycU~<6i|!GM z8F-~HL2Zf$VUySMOrCa|dZ=RdDPkUm4OZIqVyvIrYO(a?{`j9DU40{g2dK))e`JF< zk{_gjmrQi+uXhE2rE%S<%Rg4o0_dx|iEq*z zUb8(S*MRL&On^f4nXQm+SvXXoVVq#;;pchZHbr*kMWwp9-XvmDg)sY)?OnAygCwQ& zeJX2DhZ6GAy1&zw%WK2D>A7`bX;zaBUBJiD=N-WHJn7zMm}gfTMzJ&Gu1H9|$a$Vf z1Df)1QtL&GfiI*GSg?tpp}l!`e>YIse@_1=}o^&0OpIQu3N{B0(U0$ zpnXakco;YbcI}t$IOP9~)HUR~LRNb21eB8jy#xtEKMsw`Daf`S)TP~DbiWODLL#YTm*Yu=$N$$P5Xj}q0yrvuLUnJy&6Z^#03a64;Ar@Ld?k1WXIT*MCr7EcoewB zZ6-3yxjiQy_PC$eLI+##>OY~phOy^GQvO=eZk8AvM8X@?u=!n>=#-3}=9swRC#En{ zeP7q8$x0c2ck;_vaYx|}eI;-gd+B2ssz%Zwa4DP8b{$gAr6V1hG-xMOYv=m$yG^*7 zbhC^e0I<2dpbPWpRWI8BM_T6($-9|!{{(3gVv1WS0BxjL5+K)gX^ z33taPLdcqz&4QhWjqWI2e$XY@oFGN>ig6?7-GAS8s~n2&@GFBAMe3mBZ1NwY12E_- zQegzZB-G2fKF5;x_3?YXV%d0f-m$ShTepTnVF83=b4Sg@+R2~Qh+A1EYg_gqY>=yobD zj`bAeX+=ciXdgRB4_g`T}gc%jkFTdsnPhIimUx zk8}HcQqH^aG|J!&A5y)vux=iQ(iZ+_M4_hj$l~L6g!J9i!KsIXj$buFTB#t4yQMCT zUz~Kgf-ue>@XT*b8G-L{4Uz!3bNye-ho4QtR1CRC=;+d@9`oYjNRWOs6jVZOrGMZ~ zT>hO;u#f(tW0%9r^2OWF_fPGH(d?7e$H<)_+t00QGG_wM(_zitv??mVv}oj^16(fUt(pAse5Jn!PIynRq7u9X1uSjeyy zINM+$bD*&ISBCL(?^MzZ`Kka2p?RpW0=$5-O;Li9H;wIBKSNoZ7<|W<=^l)h0x~iD zxmT84DD1K3J)u0D^{%`eubZoL{W}IrU?N6eGiUwyll6@a!GXr6@8Q5m25ga3QZ08t zae{0t1N_#KYMcqs=?dTlDYP70J^ghDIcHUNxIG~!Gm!=|vfBoAfrYgBoq?O;!Apgl z0GXSwV=7oj6&&7B0JgYB9M-Y3K11Sor6$KX1$Ts)H-GRiLpiV641muCymkRmnqR{- z*j|8X;PhToGCy@@4b!-ktYI1`_0oNl}^_cZR{sDe6 zZ~UUc$W84*NN8lu$ru1OAnS#HS+Z;+fZI*Y$y4{mIk85W-hW_CKvRiuyvcHdwLqWe z>MKN1Teo3lCqq{6=Ca$kxK75*#SLe`3y~8p(JYOctk3vZ`2>1Y?YugGN58fu^(+O2FE$$b^#Z5VHAJ#4kEw;pwv;k)B6?~eoEU{$(#DT1%`{@ry|B09jx_B03 zy>!cg>g$cxS|hShm1vKe8WY0euGVO^nUTm!Ybfb))O0n$%Q^9Ygy71BpWc>4*mRV)T3pFTCo8fmf)#==)Dh>b!?EFymp=1RSJzsoX-IyiQ@aOrx zltat_iXCnv^Xk>+5|!SYRsJ51%3F&G?5Sgp<|&x#AewQLIq=Hr$45QHxTp`XW>ucR zmG^wlJ~)uk;#PDW|DXtDSb8P?q?B{VKK5?2a+U}wE1>u5e=Ds+9s}6E;xlGH;k8#X z*?xnlx)!1Wh5uh+K6OpmP3r)|{c8nr0?7YYchqJ&Zi>N+02)exG=HsV^aA@s=?THi zC6V~}6OXpI|CFxEn3+v2k9&`Hexb?+Z4~x=mGc0@hWVg_$ zUxnDopST#Wx?8MyCcc0}y5aj0@i!+hOwXTwFo22yfO&O4SALmnm!m_E^i;r4o5r~N z9`uxNXXoC`j}F8L0GHMxzkxeWawJ=PD8KvsErbw2U1 zkB~S~EmF+MGtsKKD`VvjrJ*WYb4wt13Dp%e>zW|tIWKS$kvYMS&Y%$0jjJaqaDrlr5FxbV0A7{0yh2brea4e-uSh$% zv4<{t{o9QxsZ=acC1at|)RXUsNXj}T|4N|)L3}T-X*@(WDotqh%NM?Uo*#ZbXg5Bb z!JT?wJ^oE^f*EUSnWkM%gqv&BKh$+%$-ROF><$_`Xr-aJ{1zRcH zFmdQzXOitpfSUwp$GrErO%v*BlFiylGu5K4T+=$bZPEdph@o|@N9btfE#6J5kyp*A zmeQ6_dbgW=jj}5_`3N5DR!7ROz#pBTv68qV!CJZ2Aa~AtnD+(p_|bl7;&`WF(D)>-$TM7%Fr-+{VQbN7B8d#m@L)UF8Y!QyT38lje{BWsHi zP|mo+C=jD$W$%!@>bJZ0K1GhK@x5?*(8}E;?b7hA+;v)U3zcyOpH)7Ks%n;w_|+EX zYc^kczq3Z&sf1xi5=(Z!v)BHP@5(iyd66BanicD3H+wkb$c@))9TkuB3ybe>ycMe~{QKIM^++N3i6{~`G%T*#S9gB1J zv)`^~(Gn2t!W|>E2%4`gChKbJ+{V-P`}8byi%;CUAKQSsBjdNNw$z|20nEC#8pDt6 z-?*h@{Q+NJ{*rDs7%V&I5u3Ym!k$t=d${5mp92#t?b)o=xdc`)Tg0uV-H8ak5dA(u zC8RUl?o06&vErtJ2jAYj2JnIFi4jwdA4v@Lv?(o~4vozL*AM3wtH^*8IAf4cpRg+s zg9HP7V|1nW&XWn^r)a<6_v&ETUM=`oP5xaa^q!-+8Iq&%S+G&q51g2Z#GkX)Who?X{=H~@PJ>dZ5hlwuZd-?? zCHoN5u3 zTKGXtusxN`(jy|n&bHIAfgZ2k|6Mkb2e;Y~vp^8)$h6H`%;n1FK)xf7S5OK}9pCm` zdM(|&$c%1mVKwQ^7o@3%ebG&3Ark#h=s#x9R&63?+eqU!_Sy@ao)4<9K*XZ$17E~9 z?I+R2Sn(3nbD5IgDZz@RF*Ds!^KqfoSlqQ?qsJ`ZetGxQh>)HD@4J@$Ra|C z{VM>-|%CKdb=+c+3paM`Lj708)OxQyx&#RY0%|bw72J!!Mf@F zu~N6)Qns9`bkf7C>MpGi9kpG4c<>zw3HqpZ_|w3~8x`w2g;WN6hn#3~;~QOz*N+I? z>C;4gBmyl?R3#i(xZ3bkjUEy zh5VOAj4$hR|46xY-!p#o#LJ4W5A(tp+e0jJJRA^muJeY5Illg%l?w-wg2bpwa)qCw z2Q156?%OObUJU`8wsJuM_uk_}@Yl^+xamsSK)$_ZcDL?tkzVSVNjEP-KifL%U$MTz z@9wL2^ydbTCFW4yXd~y69u@CCFN5gQxilCdUfi`OJ^xii*u;(#x#|R)ObwFRdx;Kp z`v(j=Dmcaes3;#pG0*_Zt}TwOazDy#PTPrGxrQiT|Kg*M;7hIEb&LBE{92IdTLv1{ zN^(V>sd{n|x20~ANlC}ZFx=pdJT{JBDd8DI(P;I&+grNznFi+*VT1QFA`|26EKE&` ze}@ze9rB^iB1-yUh6a-enp~^t2aMVJnz4;jO zo})I=P(nkKY4;)WMo!qu;`ivLnI`YuVF?P(^B&IH#A`)Gg>znx8r0tm13ONar4&#z z!zy{U0c&4L*t=kI`c3FAz*JELRe#q?4^X&7{i^t`<~|@Pfx5Nwl&#+tak+C=SzB`At{?MtXAW+!VmI%;$HnHSZ?#>?%KPzpJL@5(%;uz zk5zzC-c{f7FN7y|M}mc1L217f4Y%6cwPbD4@yuMPW?_G}cAJ;_N~ zv9+41vnPIlQjwv14tyJEZh>F^Mb-eU1LazN2YrOSx8$CKIQBoYn>0QQqs>Fcr!3!( zY<`?6FD;+{hV8sX2_%tI+jzUc`pG(5&G@r%I6?gFRIon}e$0u=XD3}YV1hG96-}MY ztjg%J&rS$G}j>9EnP}N@sl_@-!geaJ=DR7KS6gb0@Wk8l{eIV$i#a05YueY1{)$M+Le|wLqH&$8A5dzvLb0O>@1v4{V9MDg^fY6 zD-I4{ss6M81&t;?hqEoLC_L1g_jL?nJpEoY5MLw4K2E0BZz>8KobIa|zG7_o+<;0h zz|}=;aniMmidPs-Q_cihW-~q6X0@4w5Ps$ZzKU138YDS@R-mVL7 z;IQhmAQLiLCQf?5K~;#Z#j+45WZ_P8zfzrGtTxh#B zOy&~WwWGfG!*LQ`_$SymUt5meJCD)94*DzK82|9EUHRKc<=aE$@JRY?0u= zS^p8=vmVBqt$Q$vGU0rR7-?UMV5fP&VbE(ifaD8FV+zQ(o=f6-o`AXBBSfA zf`1P|OgHDPTe9L=z=VSf8GH0;w{7YT6ec}pIl;vu>o3tj;P!3e`9x?kNad{EtNYN} zN0Z))k91=L5||sAG*bK6w4W(MCXM3MI^ybTgo0Nu%Zz+5jQ-YT^B~2@Ah9`uV&l#H zHhe(SuerLT;KW5q9NkNaAbX7}M)x`j*ot5X<*_>~^HRI9qjqTlg)y*Q(4~c*(XbW= zpX5m0dEo^O?Og7r~Y9Vcbi82i`kb`5__Pw z`A{|d+bMtg+ma7|YCKYgA0Yc_`TAr@APDTq3g;qcdtLX?K{k}%0V&38ZpkV6u$B*l z)@^UtchAx%fkqa*q~_q zyZ}@B_TF>PV}tpXgK-o)^y$c?PXV>Ci-?hAu?Gd=IbVT|n3uKpox_wCmCbuOmXH5& z#E6w-kVmv#kxn@x)3%NLe3WT;6&L4^Q5fB!x1?j+D?)zot4;=G_g~69^689-S{&5I z_4f%T&gQf$<*FOx7c|*+=^@<8KsM233w}U*c5#5oraqgP`a>k_7CtRk}b0JPUv{5#r->DYV;9Xa1OEH-3V=6(Fe zuw>C@AnexIA}3;-JLe1wR~P0`{=E3M1m2od-zy4j8I%PCg0B8m7*xvNl=WiYP`_V0 z){$&X+DdISUu?<&j;oQY#vQM61*;20{THOJDd{(^E)e~`REOR$%dy^lV)~xvL_QLq zn#qPKOqB^sTfwYI+W>KmmGtfJ{pJ4;q19)N(E70tOZ5B!J8$TtK^I}ZC4S|RaGTLC0F zd~I)j*$Z0>lI?pEK(cPIx^|nwR!5{pyNwBH^+-pYPjOM4IdEd_1(ASp z$|>@OQd3QnQs{j-6GAnun~I7MEGKHmZ0zp} z2TfxfO391=B(y^01_K+d3+Z-9%?S6s5WOK?)C27@Lik;Mm;u!%_D9%as6ITWUHCw) z`2zB>U-fMAbho2d{kBTKFoKBa0nDaFz!G4^UsWMa$a5@*vZx(mA3^Dn$F_7I7SQxm zfNp$I<**1+p6>$ur(B77?$Bs?SOZKftMfHuO<_uassWyStgE4iy@F8Pi^^x-)pN7M znWaz0k-OZeu%;BaQQ?diNO?KfRpmMT7|0l+KI@v#YIIm5BuGnT2!Rr9Q~y~7`#`yq z9J`Bjb^E&=nw=2+Ax~84H~Zxm9bvL*BMQPy9iw+vz;5DQLOgAfI9%YoY20jOjmiigi>HjBPojf+b5Dod_DE8ui*E{NfcbwkTu5YI>RCZIl zZ&bHtbY~egR)4*r%>tip&0A>@v%mpQVF7yvUD}>N!~2xml16=Y9Qs9oS6;lwV}$mN z#u$ju*618Y#;HB0${}hB&SwDx$0hQ=s%sp79>XgxFf%UTUx~cRgGN`*8{}0Xk3CLR zCl&807+!L8GuvT(?woY?w{W5IB6;sCxmoBMUOl>6>_G*iGX(I{_GoGk{Vh1A?&)GZ zsdK+MWl#^sy+(L#Lb_JHQ5`~0zhDN$79SDCFOT5~wZ-BOT|P~o%?q)~^&6X&3YEon z-D!6HaPTl1LH?|Dswxuaxfzu}^h!(x1?@{q^HI$Wlob%a(z>OLp5(g5tK~m9T?nN6Ze2~gM5&<)OEYOI03K?XY8xt^quWF ztmkid+`mr1^-94nf(ht_YsN5zMJA>`qUZ3Y=MU{%{y3*(>zmg14jhD~EJf}y+ zNRu>M3#!!Zgt`o#eXxvl%&Lv|MC$X-u$sZ#Rs2P;UfZF&wn1od8 zR!+h=VXh91^Hs@S978Z{sdImmJ6|NQ1CLw-j56uBMHArydr-lrbp7c{gR;P>w24De z$lXGxG%IxV-Rtz{<4VpT62>up{wQ=52U+A%LEbHlw16zs)3*?xEf5ICT*z*_vB*Fp z@u>7!?|YlLUc?%I81W&VOMQ6Wt}v$qD3&9Rt|B9Jx;J0!xvho$5gs0dOs*L<@|)M97eKdOM#Z1@*+!rI=clb| zFCtAhfg@|^otG1c0Tp2BWf&7*Hm>d|(z*l^G40d5qV)EMSJsHE*kO+&qPeRHxh!pf z&&(P}ce`7<$sfRj@JhAfx~p$fZ@wbY)n0K6|n0K}bwl%5=M#IFwNn9x}JW z0}h57M^!J$1HCv6m@?gem@-SK_?@735oq^TUPizl5aYr7FwqA{J)qil-&4W+Q;iHn zH+ZTu4d_jPRwBj?*6@M}OW)re!>g&m47u>OdNFwISPP9f2>mVqygTwr1 z`v?jXp?}P}tAOdzo50rQ9sOGb4n{$dp<6C16JB2?_1~l@uQkj3assQBvd^ZfKe*0^ z-O?^!#q%q#=n)T#!6uLThgv7*N%y*X0Y+`4e%0hMm*+m*>;8bXVxu9;Mo|#EaT#@T z!LM%XrOs0?`5YF|vZC?AU;WyOBG8G!Gl9!|KII@ksH+0lm{y&P&H5oReZtexjPGq< z1dnC*b%rp0<~{SnAQr5--^EG?_N+&@7i4DsYog5T$xG{Q90j$ng8R%@Wp&7}X2ds+Tc>p3*_Z}3Vkdnj& z(NE_g-^?z~Hn+<6=272tn{H9$%J0j;?y32jyN58n_?=7^Km6QpHuQ2zs9#jBDIlBT0q6wKMA72Ay-@h%XE7$n5{b2<{M$!s&mDcgKFp zD`oFwp>PPIuv6dfCh-qnYy7H!0ZTprXg-h~jc9Bu6Ley#!^!^-!w7VDTPr zMK#-U=5i3)S4U9LwQqxcQhuD|B6>npOWj{dEW=*>d>oWwY<4B(QHEQic~2AEnO!A; z3zESgDiFC@kjYrXJJ%%X8L1ydc2E^Iltmf#@I(d!eVmY z@s;?=?1BKCL+BiogKGG-(-2(ry5@Xz`O%c#hPu8%WUS=s_N4Z&i^`|*mjjzG)4J_a zieAjZ@I$WzOWW@zo+7a_t|Rd$Kt74bD23PUg}8Uzxj{tWW>cD%{30mN8RY*m$pJBT zy^`ci+`n&r>$;hAtB!T$VE8Fq{^}2V<0RZ&|-GsK6^>w)Da@>7+$%0F)+8=sSJ>B5OinGg!aqf+_VxNbqqxF0BVDZ z^5MMgVS9wX-xBbi@xDf-c!O6zoNeqVAYlZIq(9fNyK@yBa(|nv;8x)xR1NF3%x48p zt3@2+Lyvhmj|8Lr2#U-<%dzkL>O*Q3PKq`=*Wr7-5*=Pm(76_E-9_M*Osnu$O}n0uL#%8>Sq^a{G{$Q`kZ1_-IiSRTE%T;$(F?N*tPzL_L2~P) zE;v%0^s^1HlY1*P4M4el$Pc3q`o?C@>7G9~Le$`{u?r!zRTcbvzC}f+ z6}>Lyw-xMRcJY&D+l0U7JI2_OW`S*61MA8?>)UgDpFa0a1w2Qlk!~t60pi_<(m=_- zxqFMZ%_8wAk2A&z0^r5F|L|?MlXd)|F4Jt*j|^-9CL?@lV-qv7now5K1LYWtTlnl-WlWx^u058tLv@qY{g=?~H1e z+V+HiAE&bZGY^+wFpoT0Fpp+qM|0XvE<{x}a27BP#5|uE3EQ*r&g^|j)_q;ATbqJ!Lg-*KOO??a$hi*{Pe z=Mkz>gwF%&)%vgK5O}4=n*vULU|)z?SKYUgY3mD<88DFNX%%jq?i7w27M?WI0*b>e-hwdQ1)Y81e|Wu1?cQMJ=K|>BtB&_P^F|M z{<=rlC84_Ga=^G<)8>bAGY*}sX5um&Z2phWEb|Ub$AEb z1Juec9|HIacVFLAco`smfLg&VaWqiz(2eAP%_B{;l$ikcN`#?!oMHDmb{^we4ZWBfuWfyd=~Hq*IG6cAC4nf@)#3&muHx(i z*h7$cNbiAqFr&R73W@Y$lbTOA;_WqCT>)XnH7{(t26T$`pV{ z|NW``<$@deD%r^5XV#*SeGKW|9;+pVB*6If)ZwF*eKmR{m|MtRRXF@mE? zF9YmV{^>0@B;oI$c6^ufERhBr*SL*}&qJTev-3kX?{5={tkgxDR3g;q*eCSUt@4Mp z@dG1r$y{+9db7>Ns?{S4E%~|E8g3K*+OmL|n zWQlx0!S}LstGaiCtkDsp%AFw+Srjux&dC-rqZ>p#its8Q7a**U?X4IHm%YKT*c9~33c?^= zlKBtQZOAVkMUVM=s?3RJSwKrxa3x#alNEFVv`GVsEyx3;6!K9b4*>%?F~(pYeLf-a zGoa92dfIA7;!WX6r+1Rsi93QCZp9)E zp-k`ae4B7};1j^2dhhp+mxWJh6gl&j984N8ozfGl`0{qDAoPZ*);E%CKmcetB?goF z3a~1;&oAZr4lg)R z3$YDve>?Zfx-Dm`<0$5K_6^7>udSgRfK`V{&DLk0hbyKiR)DYK!tAuL)p3a?f%O)y z#WvhU?~h)B1m(OT$od-CH#BLsL7AV)bFTC02Rw&kx^Cyc4YqKdPvoQ&@Ks%<8{pKN zri_>NCpNcV4Jfc$I?^<|bW@q|imlgpmsTq+QsmaXl)FpP@I=BbU42!k3?l>TajHze z0VK%zpc17bQ!=`5Re(6oqBYu2)`Mb}oDq=7IdIVp+xH8y>qOVmLV6gr{2kQwfB!L# zbQPsHLvGgRvC-`8A&hmZ=H%Pn!N1BlTsBDSFKH^hfqQZ(=wma5UE+}0odK7Eq+AL( zY9<0PBP(7yvhro==rgqsr=Bq-_-3ueIA4`$)sz5j&J1yLfFJ4)x+%fnMC-P1!R_2NXMBG_~1* zKWeT`&;Dq38}#u7=;Lh|mDhpU8tRF2mvRf8yy+7Re!$ynxS9_domg@%O^s{Y?SZv) znFU=`)R;miC()F#!p?CMD5%cg;new-<+efDR^cAwMmzlHhA%<>n<|DJvOtrQ|Cqm2 zB_As)Jiz`Dh~87Gd4K?NBd~M;q8)P1$Dn(7?SZw9`WL`f9`c79u=p24`j0b5RYFkIX69nj@~ zcHka}6YBUSv$U@BIPDG=5&D6z6#=euFQEY1q(^jluxQdYea-|A*J(HRoV^+|#p;No zl8au%>F0ivW?hvx7_ig+paZy!bh^ z>{JA(SFT ztzULStRh;7GM~(3EJ#beb98&lu?Wt25CtLUx{@jPjW^UEcXLUwXrY zSjCX`3+B8D9wSzJ-gC@XTG@fv369Jd>XoX>H_}~)lB^rRKI>U5>4I6ZKW%^;&Kd^%6psTEMaVW7Bm?w6O`>9`bNZ;~6 zb$`$aHe9E*2lVAlEjUeQEQ+H~l+f^2!O~mx?)jEc4lUyUSDqyVY&H5RjvKGSPg zDYg|~q%rR`WaG2OeudBey~rsY1YOpH|5me>!7ReYa25sKKcV!XAjv6hI0Xt#eG%^R9>YAAn$h`e=0(!)cAhzd}C2pY-1` zYWWKJ7=Olp|EOfFhavu0?_&>>nx}Pv+SXjQb^A=C6(wP}C)|V+ef#P`bk2V6sRk8e zzpwJ&UgX|3X>V!Uy9KUz3~{c-A^vCl)=mE3Uu4KH`M{H>J=@ezQ+C1cPH$;zKZB5m zyNPfnJr}p=1g{i-@i*- z4<|SS;w4r6%>Vv~ahp0g=uqed@}K8p9+~7EzeWK!KL53Oe%77d;>LFm6I!x-)sPb% zcRl{6Ys1A))W?&?rq{0ZZ-DPPMmhceOmxeCCaQiKOcc(iRWO<^Li(oV3@wS!#J1uL z)+h;|aA722(?m8hNsjs?KPzWT)l;OEY^ehBCGx}n^^C3~n^tWQ4K3|0wG_=XBTn?F znX#WSqN>DE^JaYEI`M+h{68}_tSmV}81=teKJ#at{`}K;R>EyTy81Ot?=8wjcIx_o z&2FkEoYk3sb`v}+HQLUxrqKLYO1;WZcbvdP+~ps}&3$$sTk^L}XK#Rq9gLZOlxFm6 z5r6OGf3{TWdckPcpGnH_CMik6C*KG|FR#R_@AwG zZOc!C#3Xw9NLxF!Xvu5;+{K$XJg{lam^j`W%6N6X-a-FT={e2Xzke`hvNys7C$h^_ zXS<}ZhpK>lUiG!$+(&+J9)B-CD)|ce2!G6f<7fgT)E!q4h<&vN@Mkv%QwUDe9dgpx zLv>G)F8FN|JIXR{`EGo6*W)BU>7$7h)mX}LXoKAC`|c8ZJ_2EZ<{3K;WaJEG@0#e! ze+$z~E_zdi1MATu7043xug#Iqlvvf%?z4msk7OBWFI)oOE$p?P(vbJxpEwPsc7_5? z7W!u^@6rFv(GyaIJ#Zm^wOZk=oX-~{tkemX>h4ao%b;Fcfd=` zRZiVmB_N$f5`2;HyZfzOl%A@J*W*R?O-dDL)#}c7|1(!;(qPl4c2Z_UjmG_(2R01r zMF(25oWG~9Y3Rsfmlt8UJi|<#I>OYQ4CLUNTF0}-Wq3R0AA-mEm`?~&$42%5)02@o zXw$8WKjZ!?^B5x6Q~A&|FlkJ~JwVi_Z?n3^{55;#%F}cE1el*?fKhfHq_=4~f9y(Y zPjaC89UU}X+Anlbw*4-cgDRLq2J+H~q?rGyeUPS%wCat;0&{1UhHU8y`Ms(?QvYXF z8#ls5C$jSujjRPR-yxwrGD(mYrQG;)2PqbB>JMAf7{8cdr!ag%8ghWvbvLa}Wymb$ zo=bW27I~ zGm^z2NtB&RdyGHjzW~Q40zDY9oYS1WHlhk-G1J6fb2KfFuSsXNIK1QsB%j7xnXtub ziS;Xq_{*wzE3t{}`|b`~Psz3ZdK$t*A3p{{_!F8Uxvc?IV_J>Z-b8Dd@JO zGq8_vU*~om&nA$v&+NQ8eiVbl3X#S6D$YQb;-fXv4!WT|UwwM~FSrz=R(1<8a1^3m z3;4u!r*2D<10$m7(gUpycJgO|Mz7gu)xUssk{K+9|7h-ko__i*G%M$Rn_^x3mkekE z-pK+-P|&s>fh^b__%e7kgN#4kB6!5lV?3lF@&GCE%_7qOGU$jx}|uv_>+Z z=jl+tKyPW^JlzjgUtqdmJ$$YoFMrGAnwhCcsS(arD>UNBb8d3Lu7my^1}abhzn;B3Z(x4l;23@*NoM|b$Q~$ zdzw(*)9jF!_djHRquFc+I_GLSVkrLS8-!S%PSwEd3CLtswp$X6mJns0=OH}nv-dI7xBL8_`{(m|{a*Xr+SuoF)>@yn-tYHXd*9#~fnADFS4CA= zG=!U1bXQ~>LIDK6ODAt14xrF+V&2e%bL%0&uKAz>ggnXqvZ(kUOs>bJ7 z*SU33xuQQr`9*4_XtAUKy|ToZK%F4@M$<2qUiCV1HZIStd)yx-wMU87%PpRxpKc75 z9rXI-R-qBcFCg*fX7l5Ka+2vy?xn4e=*m6Yp^23XrfZk+rsZdOeYyY(p69Dy zwJxv(4+F*$5(O3v5W#{v{mY}P0O3a<(e&3xO-#LcfJtvMs|z48-rV*^TXe1-3MC^V zDO*&rAGhS=A?1NOF>5HTl%a&-+)0%y`O=zL#^|GM(q8T)?k$TquTkmM-lGu4!0z#W z6vn~=z=r1`Pp^4(Ts#1A)bAB#MVSxH18fuPd3(cmb$Xw%NLFII;*bmFUV0NN7Ti@# z)RVOWhm3N7MNsVOS3A$9?R;yh?ehJ{pTM8ywz!*@g|Cmdfu563Y?fdt=(|?|(!Dw;%1h~rp?&f0d3QeAx z()}sGaGqDl7YPV0Oh7~3^~JP#|RhNRWmxE=vtn>0J)a z`qAx)>C(lTycgQIzr^1zdAe3KtIYfkv{#Fw96On?C)Mv71)ybWF1VN%uoZ;w89q$J zxToa(BUMB@F8vdYs2n@-3jy1E zHCgt^Kz;K#jnOHF!sS@=5ONqG6K&R+1<2%sv~kDMW|1PvCvG7=xFrl%W0`H@zGU44 zcn!kUR5G9W>7bx%fUdcn>YRYomG3fAH%8fvA6eQ6Y)2j-TX<;f0czSwuTtpb%SflU zeN5ZIGU`Ps>xSgZ9>oniYpA4Q4aoZr65>z3c)B12WKf2>vSB#G88YXOmhRX0s6fh` zY#~y^jXe|{%H_LRN0lMVL`Ie4pQS=;y3hA)r8ov49h#QH$ygv|!FnpJ%E9`h`9(wy zecwCc>OkCG`%O!OZg&NPoJu=TCbSS5mbLa5H_O6CUAlTLj!tx!1(IJC(Ea{b9oU6V@n_1 zQL?f<_VwITR5524D#l{{q4qalIUI!I=`4Z8QNi5uZ+e4?CG`#p?=MKjkV`y^Z!mUO zdD^OtBPX_Z)@$y@xRg6Z%3h^*AA-0blgca-R+OWtk8 z-eWG03rE*U7+!(!qNXm~P~$B-E+9Ns%4T5u*9f^JiE~B4g5ogjSHK0Fh3dlf2vv|G z(~#Y+C)@HjN>wDXt|IuSm)*WT%4Tys-C41Y*T)5Rb|<541*70wH|G=SdMGpy&T%g& z!~>?Kf8XxXj>T1Q*FRW|qtfm;$MRa}=+RLFw1M`!YLunWC}N-Q`@XgB>Fq^2UVn~q za2<0&y9xwQyb#sxfI}A`Lk3+Sxn2;X=u)J%L%v?{;$g z_9g>N|2T3M-x%;V5tmmqVEldzq=#BM)iHbWGp?=8A5FZGlDCc1eq~Lf9Ebf%47Bcr zN9!UM0JKQF!SR$%bwU5%tP46R03C*2MNr$e;AQFb99^%X`re*&682fQGZx!s(~nfL zfGp3?%eF*F6`qtpBJNhVbv+^v4ZvaOQ6bAuLXd2~oyI6wndQ92DFx+$IPX#2(yP^f zFNoH1luwZ4CnXnD%%YqTvDSp$>fW?epBnEm&FVhp@*L7MS9DyH34_70*!2>I;@~lW z7ufS`#HBz1*Q~I`;#l61sS^{%IGF;bgR{oLuQgdZaq)H*b=PM0(hwXUj;GZ_P&yTg zPz9J!s$Nw&!>A{%x3Dp~O!3#gN-pGdH3Wz8=`M2qpo|_n3X&K(U?1t=>Q75%rfw`F zpyfYrP=f&y)~Z~_h5*c-?K~PfFouGtN-p=2KcQbrtJD^071UjVSr03HJEF*inM2kT*%cKJJvbFFy%%apji)$uK z3?Ui3IHJV8WCHd*cj8s;I$+;7Y>0hFO<{3v9N6{I;+QGk{!QtZP%CJnj|Fk#LJ+wz zuwEqg#S?sa$z?&jjDpx*zxBQC6+uXOyp?)HZl!Gg9#w|UlpPNcA_~ zR5&yR&-G!iJykO@u;wsBE7yIlgLi#bFT|hF?8&e z_@ymDiajlHsIl_otq_1HI(gN?1?|>FV-I~C%AT@i+0(y}JsBIpe%{)5xJCwk>%ZOQ zBm#`KNNsR}$zTTn6Fxk3_`T$+NsVu?LSd6`(`Ui6A$y@a15MLNrGHZv63*dQUy(L~ zb--I$ge|l{wutX3Os6|5pu8|e5b28EaJ*ei7_8&p2q*0p1s1Ygu zE?luA<1ch-aoV#Xe6?q*Ij)6fq=xW4tdEkF{K~M%x&5~=OoRMk$MF&mC<1(8_y7fc zk6XKA$Kw`Z3Q0waB)Ue19*PN27)_cilQ1QcXfNI2I|ZDJc31&3%H(s_K8&*L0j}5! zRycfxcNEx@vbHaX>p}0!W>8>&S6L~1=8$$xxA0+U!Bqhpa_e7SkiYGteL9^; z&$!`e#Icw8?C@J+51UKa(5Z)7U#dQ6e_-9I6(RWoeA!Qe4?asx{<}Qwjq~mL6eW+S z0!nTMQ=#M((&CB3`<4-p5=u$>Pj{%>4^moeaFt3S!PgA=B5j+bFPw3ti0AX7Hnec{ z=Sf%cAkD59(P#SRw@7gn49t6l#IvkJ%Up!_gXw}JAoP#eC{yfU`| z$tcyn&+7-z8B9L(Kcc&JQ}UYCnk(srZc#rzK&iCeb+aGo#{CZ?d!xiuZwL=MZiVTE;|d=krAPTxswNhwVc>~fTJlr$ik-^?#SNFbE2T>67T zkV4#MYF2W{1Z_q3Q0nV@wfTob4t8rLws|UE<`e&U!y!*;WaU0#!YJjk zKavQe1IG%Q`7Iw09ck%!Zxt|fM46~$GOyS-DLF{xA+kB=X8T@M%Dqqeh1M&MSS#k) z7~0wV)Xj*JX_0bqsBC7aV<0$C=n4~hX;;OeZkg_t0!lBr^hFhEEyRb3SClqcDG8HQ}7BW1n#CFHEAATa>=4dmVEq* zBAJ^aCz{{c`7osbc#aO-dui55@h&%7+5j7Vqe-XoVA6nAEh9aV&b*WO-H$ZTQC^!X z=&JWkgptETPV5R*;Yh{cXWEXVk(B0Z2tJggV}v~`35ql=F$A9Gk^4D&87Y}5X(`-X z_LJ7Nz_G65sHV5mYOxlhro4(l#b_Dc97Fltn+ADETl@zPh@&7Hnts(8EE&@uTdfB+p2$`OnOQg+Dh*yWgr1)%EhJKf337%U`@d zp0qU5_oS=d*lb|bq-Qj#CuEk#V$C6t`OUfA%^~RI8si4U(}<0 z5ObHh-R(&S;bPuRNv538Oa3m;^fdk5DfGFbEG|vbDE@q?bHhR~zEh5#aFIs$6#TL7 zvEQpMD*rw(s=48~M2j)^Aw#-g?_d#unDOiEjkHbjkqY!up2va##O;RwKap0-oV)3m4QoamI&_is75T98rf9PcXPI8%{AAf2O^Fy83ZP zA?bYa-GhIbFFQn5yyja;>L^HKsM9n^cotH9;w5D?K_GI+^#e z)u%l=?DFc9H+|d=lD;m5G}m|5pC^o?&uD@%-hHhCUdA7fjc>0V{Wb+TsAZsp z`kru0+>SCom)@&F<@Iu3G7Z*gO3^+q>H9n8B@dHWhRO7xBO!Eq_0F)gqQ=3>e%LoHAe6_hRMivf66%RbM4DCXdUI zW7DgV*p)Xz;kfg3hD)$Q&31wVjcy0SYh&MzT2fwBecR+g!ydzZFA0L%3k}lWXwz4- zwH(AE#6DQNV+F*sS9NvE4h#Jo#tg~&gP-f$EU9!Q3Dz}prPfzbJh#j|LLN{!XC)D| z44lqu)(O&j1q+9z&F9dvsYmP|CnX)(`}N%}QbTh+7EhiFIoDM9Ls(iYRKL*h2&L)~ zcxXwv?B>ugTqU)E-hDz zwifW>;|QtcLUJLen@g}u{H)tAK_VP_Z@NL#;Fze8*If$zK^p3rd6O2syLV|hX>tVg z&%cnsvZ`Xas#sRvud^K{4QPpz+X$1^A<9JgkV zsP;<82!_AQ0ysoybx0@Ags3u}yCGX@aY)^G|Gt_z-Hg}B`iHZ{;!d`5E@eN=*hQFJ z%3j9I#ETKO?N8?t85G&<5F{8`#dWCXLqn)3yCf=;RGl{{nMFjj|AysN|1MkUb=wci zA*#qU17ANk7dPlv)(xtv;cZrbpU?(!Xs=d{9JXd{0@*(b%nllJe+c6t7iI6!USI}$YVFhKu>9MdCxuDBAcxbOs`3G&~mvgYZd zh^tADB36m6J^{{pl?pfnJq2n>9anwn87LCBLlLVL50@PJh5&~%-0nhunYpPBcye@f0Txy zi{}HVKhcwT2+F5?4AMW)lEerC0bj9=ELrU$l-ka`Z-DR}Qjnos+*T{WAWa25$(5h0i~6eZR)<4rTf(5hw^8U=|BvAb4fD zrh)swx{H$sZct>^AAn%=7@=rGn&@EsDp(ppjECzT z*-~wxbH+#a)m+zKNWQyPls*HNA2N+3^h!63MCg4J4yV|-8iP_lcCo{Olj12s1cN?F zJaYTU@FfkU&<}zID}+ugGt?gitmQC@;b~I4jBt@h9LFw-G>Ur)?N4n_9koSO{J7&z zu4h`|8`zN@D~cKh7L$5to$mE$rJBHttTkE&S$djvOjHG>?B(_IfOP6+M)$H>YyZ*k zs*y2qHe4_r)lD{JyHKheBnS%j$C3T}Afv@?kN0qVl9OpNXgG!&(`RIjV3*(%(e;3d ztv-f>&F3x-5g5<`t~9=H#bF(<0)TbP__hI$)#ajr973rTqCz~pR0X;0B6VO8LwUtI zk8iaG9EW<`(t$?FTlxbF`=)iCrigKeX}myStaAujMa>8$!1B^l6s$DJ*>%HZicW+p zN-1{(Lte57BUM2Q!-`&(`>q~aF)IMwPP6=m4!D;qKv+ysZdkEr1pv>T@a^e7752&F zI7mH?BqRB!8(qg!i&i)9zfO;EVO`4JgS3262EMFF@x;&OTCYMUy&9A~lQZGemdZ~a zC_LuPd)Lb$BA~PHyz^~FA&B6mN%13jMzUHnev6QK$Li;-8b(`Eph=3@?_tYa-8E$L zz_r4jcDrJ719ici#$_oka;Qt)yFMG-S8=49+AOjm#F2t^M&6)BFQE5o|M{Wgc^$Dj zlrDaK=UerkR4v#}!>Ig3z|)l0{nl;~-5^H_zu9^5WPeJgYs^0bKKWEk8}$8xfh-ix zX$%zh`|sQ3+T7DQ;Br_Ep~&28r3xcOb5mD_*Gv#-|Gydbt26Vc#fubt;?2F zoehLKRu@}}<7ZsH=HBEhWXNW*&z;ECIZqO6xV0KcD`r(WeO(Vfsh+Us(p7;FaluUg zQI^>pMxm(sdxzalvvI6A<)fQ^hr(t9;Wma)bc6LO_7vMa<6C8lgegeH>}q(}@A4Iz zSj42Sq+0tZ^3;hy3#80I}hwHt0(t*99}E z$pV|V-&JkBF{N`!voqlm={9vWrbc2jF`HColAs^$m%i(oO{Ay zOqAA?sfCy78v06@Xn7t*a*~0<=b{ZTt#C^(=q*m46|dJaaoi6BStQ_(C*or;#B8xZ zFC8IG1@D|eK4I?qoJT#oF^ zCMZGn*0*uIw9fEmrgD3vpWNWJ(&i9V%RaK;x>J9ZB3PkaCGWsQ)FJ}mNCAD&a*5-E zrQ$d>EbY;z6$#)?Fr0U05{mMn?efuKouHtKpZ!gK2ohvGV@f5TW@S64Kp z@TzH_wJPI9SuLpt)dHeo*~Sba6}`9`dglnzrUuHQpF_kt+r?*g{jNa~tMNTHDYmiV ze^57W%R8ym!Y)@GKRJ=p1M#!J>N0{{#u4Eb-TO?AbVIJfo3Ml>D!a*+k|y@^PZGzJ z2!a$$`eyC2WtmDIIg>Bub9$9?<-OJSZFvOUw+q{%mtd-An?<<_wze6&T z)tvcFWeH@n%&jIUgU9*ot2f1r+lFW+On{Q$$$E79y^$=#HbpjaVQk0vGuwr#@|nT? z3%ZSguB)yN(`+I;>=%q_xDVF%kM0u$Mb=Br;~b@=`sqHy9xa2k^O}3M(|Y*{>!yOP z%gqI9V@y!_14S>5LXGDo%{ou4OhL5zUV3^eLZHgngQ99q zC!#ex{atWe*8@hOn*oPkOj7LuPGDSW7}veDp7>11XzA9~G+5r$a#WHqP7x({pTn8p zJij72waBZ@Zmtxu=VqXd6 z{|XyFpigNG(bWWD!r=OsLZpa7L3(t$1R2WJ`TxB)+FSY5zVVNx=X92=`cP=;n^6^~0n z!xCzV3hJ6U6QV(bTvUg0R-ihxDdr_HluDVE>3Ho1qOq2qOZx9ZD=l!8QW|~}waZa} zt-`s#IBordWPS8aCWI?=!y>NPF0>tFq0a%G-&4cfv{djDyIfNlCpiSR>I|TVW54}$B=BnB^|H4!1+tCWkBeZM>a+9NKb6m2h z0F8!t|H0AgqeXnpL@8gi3=E49!bEVxNw3CR*5rx3E*6A)3KA>bZ$v(cegq|h`sJTU% zvyIE3r8`=OGIC6}4`k6&XVAd_J=F$Jv{beW2Q?4qO4irYv2<5LW;Uxyy*qZ9a-#0Y zWvOmlVNf2$#0yPZlMu%$L9%!e7~dlb^DG0jFq-t#C*^Ny`MF#B@3XTLN~H6x-8njx zR0ZD|4v){@c|H#vhxwR#nR|tO>bT%99Wf2GEk(OvrSA+yFp0S*d-S}CjcOxtLMizg z<0hU$2Ivr?vY#ycGheDsY=omVb4%SAE~AhcH`uO@ zH48(d`kleQcU~}Y?o8ApQnhLh^`RcWbm!FNqLP}Y?8;}VNu?F$Yml6x2L8u@WzF~= z)-xH-^o$n`Q?G4$&eWgc*Fc$H?Ys-=;wyxM_h(nL!W8W<;eF7C&=D%yyikF}zatpW zZ!u#38_h<@?F}+zzvt^|vo&XDJ^7bD{-pIqbJtNXp^_l<6c)uCIx z@|i(X%U~_inS@i&AdcH!XCf-El`Sn#U*XGz@7T7)Id#+uyeFF!33+@fvQ?|1g+^!J zdnhbT<<6^z`lx%CDIRMOE6|W!62mtvui82CTlZc>98Kn9%|>9?iL?&xo??fReW`(gfT5$$0BX!IFamvfM` z43UWR(0u?NF|Z&ZmSnry9Qv)uw(gt?EdySSd2gTR^JKMvgVCWRjs%ZG1AZI~1>ug@VXsX<^;iPRYzxPXd8Xxv>@6Cxp~| zQMr7EEi)~`DB7jg6@nB)PHMDllo)H=em-9S3JKy%i$(jR1%Ap+g~H8|0IgB(;s#-( zB|)%=s(JsR76y83?f{(5S=S&-dnJT>qo&l2YFZ35?mnMKjXWWgLzG=a)8HG&guDJh z9!ClUV1ptw4nY8f(30df@SC!`4!9jhgBE(M1N;kuqkEqQvcMIEs9~4~zCo&X-UuLh z8+xDrX~7(={mzjL?r%mQNl?yq$;T+<0fLZ;!;M7A)f)4&t79bYIQ0IrR2#fV_52_u z4>rAfo7uu}80!e~`2^vH07S`Pq38Wunqnrj>o@?2sFndM7y>AUZJVYtl*T4Vo~IlM zf+l4Aph(p@a&xdAU_LGI1Y~>{*0L)Lg2pQ}@ZFt~?w}0zT$KOe4|RctQEN2jKcLA7 zZIqIqg2XDyOUl%W2W}XDw4mBpbvW8dNPD!5}+$X(Y>LSMx?e?w}@Y z0bLD@l6C*fYm%Ew2!O}4c*AqB0M4Lf8DI|;0EKePb^rK+P8i`m2ba)quWblIXlQA- z7wV?x%34EKw07@(Do5ns=T6J;UtXR$;kh^dmU5C6w6=l+W$xYz&J zo!tMsJIN^7FfdC7{1Aq-_2j8Fl%MIO_=i8~Dwu`+q0Uz0G6n!m0Sat0x>0g=RuG2z zR7L+%vVW{&_~wwLn5HtO9a=#NiC#r`bS4DI37PmWrC_vp_qkUPY*l^Q$W^nWFDUOKs^y_;+&E@?H^xXSZLPC zyKVl{>%#k*ew~OsLgL&vK!U>C||Jwstt%Tta_*3BI)M$S<+Tq25*q zYhleCxw-l4zu*#p7=k7()FrL=RHq=d9x*}#W~{+v1MU5%4>#Q<2BtwX^6N3=WDY+7 z*CEP)j{@>;D(q_+Ok{=#9VsoJM0W`Lg9dhc0txOH$MhL-v*=ro8$?hTDUk zRv$^1S?9pmxV1%}OOg7aW@+nf7skxeb{)g{`FDGXaMRwyf+932R#zrWP5?$KD1GHW7w+l6-LxzloD{%%+d5xkoX9W zHCl=91rL-u)dU`nyF7o6>dQ#&=*8|!%8W6anUuu_Bt>Jb8|`Ku-Rxqrwc8mcMQGme zW$N_1R^0HR0Vj$xC4-+sGIrfxhx>{@hZNvU;Y2xDA80osYZ6@B%KEHZu1954^-Jd@ z*S;x}X^}?-)Ynz)_2}2)pFm)^UUsgMdvN$H=?bBDa@O(GbDB<$N0|^Cirz^YXB7PG z)0+sLZkxpjlL7+OJ~C7;N#JlFi|%ED(4^haF5Lx(H3q3B0_2nvcDaTsnF)ovx6fkN zGwrQ|H)wugFQ;^oAWS-nzGb^i7zCS!V<-m2vz`huz+*fq|4^Vio3^n2o#wRKQQAx* zd-*m3_w~J@X4g~eM1&k68`^a8geSoVDfFz>;`1n!r)Mc&(vuz&9J3qFdG4T?6nJ24 zS4Ef%Xi~m>l)&~gK=V)Do+EUEx7isC<)YZyRGr9MeiAcDf>1}RWCRu}$MM?GLY+8F zQEAd^u2^=^7rjw8nH0a|P0JN95r{HU>}QC0$vt@Ds>h!)o+>A_tm%nK3bU6(^TFdg ze7UaY>Qn~CUh5wX8t^+!nJhqP&i|+3svw0XmCKV3N{LT&CV6Ea(;SYlal79#Ls@Jx z>FS`uFHjmB*Vd6LtyENziM^w6$W5Dxwdtb;+>%M&MBt}OeE)!eB15i|cW>06PKw9g z+MM5@Q@`_EdRuA!fYwug7ATZX|3S9GfsQmcH8!|8B6;c@P2 zE?CIVxG)$VV%s;r``eCNF25c@GLP=+_za&@gNa?Z0f1@+o#}WzhZ4AL26W4R!~HJUS_NuvoI>_V@X3 zms-#f3kQBy!FcH?L)V^R`$sBC_K)-E7^b4=@BM5hKDCjM**##^=4kzi{|u+i!Hgd_ zOM5Bspu_8C#rh+}r4xV%C%>F;xss(e0oy3k)orAABg2@N@P=w`5Pfi>2w9 zoyX%dM?1gQRG9rRvDkfL@YmyEnb@`QWBHZUg?j~`2>WIWnM#GH*}c7f=3Jn#eCQsN zfpL|}l~Xx(#D1P3!5%Qj&(74&NO`Q7KDbeOI>GUBHe=$Yg8aX`8yRBFnzn{$gur7~ zMw~WZ9PJY4Jqk(s%RN?1yL;zW<(pcS=1lE{aHfBiko0x3Gi~B*QLQn1+{MuLB386| zyP)N@p3Yap>2Ek!+HPBRh$-Hi_lWkeeLFpA7&!f-LeXW1prwRrt=UJDkqaW9c$0;s zcc`(2lcSmN;Ywg&v~$PaQ@QHD9F@`rV_g@EJf%%zzFZvKuax|yy**YZge_qHg&KeJ z=o@p19YbbP;Um+d?M_Zk;3Sg;osfNLR{K zHd2@brCELNGxo!ii?ZkC8@t|*>e1~MR^5`%yY*Y*aSzXhHf1IyC6$!8yrFt5)^V=F z(J28dwXH1`Jp1SPLzqLD`RIJz3Uk|9x>~wgWBp}xX)J17GZ)<35sKWK{}vliRvp(I zAE)Y+#^xP+4tC!u4(-hq+E2X3WWy>+=upoYd9ylT{-GMbj(b73!t)_Wt4z5pI~^Sz z$Q3ZX$1kmuwReC&{X<0X`ZIYmL_Qy+Mk(6Rh<2gGlhKjifL$jb+%#!l`uyeb>{ zIwXO`QD$H~{gkNK;IBtjnd8pg`F`@mSYPv}P~oU9H-^-H>;gR(M4w2 z?O?Ptc?;ujD+b=&HCD1?LZDm#h3_--GccJ0*|e|&qJwpYa!(x6zO~Z#(H9rVoGL5l zntIGC{l==_?BmO+T{qjA?}!(Ex{+Fb)N6-orzu~8)s;ZuvaxyGwS{G_4jN$&azOI& z#C#EL!K^50bGH5auAC&;5_+xkIN74Mv@A$~~@{Saqgig+c&id)kFGsHE zr0Ym~K-RXk;2QwAq&oAdBQ@IA{%&;77tQfCWmqNN?f?|GEa6>k;v==PIAQ@vOoGWk zB9|ZeRl0ucvQUP~P~93|_#Y@T0~IsEYmc1z(Aq_HAzXI#8H5X#gO-Dnlfw^p@?9=H z5^574k|;h58S+xKf%63r0&~8*=tssPY%bmnBbf#cU48OGT-C4_>Ha$U}@Id;@J? zqzI@q7hF#*I04yJFLz0!?ByFy5u0Qv*rkJSzO*CWCHi*s;@U4&X^1pLsNxdQgbijV z104ZKN+Q!sFI$W}6jtR9C= zeMTRfYBk@}P@xbmK(U)guka4_?r<4(*FpyhPF`U}N&LC@&U&U&mgNa`fFH&it|X{R14 z{O)g8K-t>9`^evii5TKb_>Q-mVlWhb)AsK$p%>A5AAu7+{!+!^aAn9Z2Opq)yZ`%p z8gx5eVJwaTMYo4wl#gaX6?o~`!MAtHXB84UK+M};mAwB;-NM5^?XY3Mu(4|1V?^g^ zMUo|}K#5e`DfVyJIO6x*^pdyZN5FSKWJ}N{y*oQikzr*!`iVhZ# zXY0jnR>VscoU?EoS+EJEv1HkVqd~7?&S(SpHn_okonyQ3)dE zD!){;qVN8la!7f{!V?&(-@>D5)IaF=o>dxtgBvMZBE43x$CUp#F)(TRKJLo26r zSGv`?PKY#XB&lq>bUcyhFho|J=R0vWS9vF<^^o4f2vQN-XiLR&yhoP> zHbvOCNAvva@uHhOfDbkP#a5fH_Mi`Vrkd#o`g7^v!?S2>zIoq$`dZHXMd0Dz zp{;FPpWMzNr}leHtvJr*g0fvYawGHHVT%Xdp%{JM_A$xf)!QuZt|zU}5hc4zZ(3s9 zPdsW@La-So!NmHynDS7?>q%?D&zDNx(XQ7a%3MJ$V;OxQBEj(I(|wJO70b$|;Yswf zb_*2;g9wCHHU8Zgr;MJde-SOo)y}MG;g!`sIei+l6CoB34`gvdW^b$@IWDhDI!b>9 z;S_=qi;+owzF-zFFnq6;g>O`zD^6{a2#x(^UaSnEB_F7^2kb~O(kvV&!HjOA?umr^ zm@Bs!o zcOoDgwe;g6(nk_Trcb=U)?bOOPj+#{#q}32$wC(C0R%f7&o(BNQvK#7omYhHF8%H= zb^g*Uub0XR)Ezkwxox?~QZ~DTqLAl_;U@~5F8G}Ezu1jbSA5mbH|`fnZr^ip-7m|F z0@_E8xab4km`(Gf!vA8uEQ&a%Eh_Ei5tof1!VV#9%GMvdk5Pl*tJ2xakcaM7je5Ez zSO3XA=~J}~(XxrP|J$6r$s+}{75P9O-gz6$OU}bN55*1&MI*Gfh3_iz+DD6PZ`d6F zMPRGvj>xj?IZx(njJI*P6SLvawBT7)^c;M^dW9u?#MWKm#LXT4i+@byb_w%PY|ycc z%IAJ7=lT$YC|X2$!`PRI#~8H_h=ck85YkuvjkCReV!;EWIZ*8chJ>e`0BQSAmK2bs zIcSolV~;KDjAE$G+-?9BFS!9tCrc~|{mv-n>~OjPbO5y}x@`fnFo}W%uQK;X6P1c#5Nf57{#Wij-C#mymx@DHIa%MnnqI8Uo$B@25q*~6Ew|5kNE(6i9; zqA@UDtzhNJw-AtWEJJJ+v^t3`K#>x@m`W~ehcc$)filh;y=>}Vs(cysn0VnZTF_Od zzsaLq6dauejZpZoQOuQgB)x`>yOnXV6b0o5+PWzSZwJH+^_UjlLxwp>@&UgyL;#{g zm9J7N$fiX{p}qQ8E@A^IX@qnNlU2rMtTn(TSt*qdm=+1xW%R$bSBS_}dO^!~IPjTQ zZ4HTo%b`?bq<5#IQH6T-l2sKeiw|^AAaGpTU#PxFvJ&csxGd6l`1yV{58Lj2T1I3+ zAy2e&K_77fq6Ij1sM?MMTyV0wVX6j%;-YwJi}{1=kr47NNQJyt#~p8!Zr$2YDRZ}! ziaB1&=K-_~XVqRQy(1IZ9bj;lJ$J{8ej0dJd)5?z(D~&fwk+#iU^B+ERQtbmsXG{4 zAO6v$ZWx6REbLyEye}6-(8u#EOvsYY93)quBErk_&}l=l&mbvf+FD^pLY+1y(E@=j zeZ&j1Yk8V8$r>S#ph{OZLDUUJ3U~PtAY&OXHC}&D1CJ<~<`#@bN%Wg?*Mu{sf{m7tg}T6hokL~xkJx0GtU z9Q-|NpoBMJK;K=reLhFJDVq4mE=iS6Xvl$1dXE7iMw;n6DGy~L{e1#``9{7lK;PFr z6D8s@eP32?N0NJ?7{!9b(^e>^M(HF~c?=X`UWDuiqXIRSX`!iMFTemfu0__^24`Hh zVm6(c7QK1DMHC3Uhp0Qyu(2{D+k5uA%%R3>2Rg=LO)#kit=sif+i7Z53g2;Q)8M|m zHLA5}!T?tEYC9O%*FusKM;Vc%D2arSx+>e(Hiqk$q)hye^0gy1G1!6|=u-@aUY8!f z9Nec4`V^EYuZd5V222ECbd(jLoQ`#G;6T4LOC5a3_2IvKu^j!75ZV0q&8=ODSfFfz z>3)7_z1nA02h%T1p!qtNQCXW|gAg=#M8~C;3e230^}o>K$O~cLAHii-m1ME6rN0dT zCjo)=qbS*|uLv&mn@xPo&h=fYTa?S#=!+Sfz%8;m(nKj|6wkq~EMC_>gzBp<5Y<n-m^D3Nk$g6xz;4Gq_GNsKfQK1OehIBbTk{%Q#$aBVy;%Tjk{ zVc1Cin*|kOZwX?J@GFvTSVs%308uvyEP0Mw61Nn^e1@*I7IaWwaU(9kg(n?&U&4G3 zYs=MhAoInSO&h^iG@A0svi=U3wA9S-%?z)BG*EyYN^R#;fHFn>Qe<=St|4$UhGua> zNJGTC&R>`=QYOS6UzU0p0V6gdMg0p-by=wPpnJrN?~5Q3!;)0(%+Ih<8Dcw>(ZIP* z-NZ0e2p*Hnfaa`VvuHKc>QuLpna{=o57iQ09uptAv4mkkjryu{9>5`3tH9xSy^LzQ zNpNBqUj{9OW~=z+ymlu&mI>V6kABiDow2gJLJ;!~_+yk8UDd>+=*28&=s)J z78bU*23>$nr7-SfS2gZ$(X<%l`9--j5@!)+*cq$ z+3zui8a1Jia;-SfpKIRoTZ6tmU_nO!9afrFR=T=i4g9rNI|`a(yZGX6xH`4$wEYGUfM zh1pbK+wGxm(4~=E3mBrpIVh-3htS4WQOrlt;HOxueQd|Gv)=2Xdau}VU$R<2XQ2VK9Z=IW7j-p zHX+K)(@N@fod$aRaotfdED}M}gnAVr?j_;|b4SiWUY}KL7*tBV4TW~XBzP)dK zpzK)uMtQ@jmm@nvUzN1M{QPW4(6+!(M>|jg_ZfM)i!(TWowB2ed$EULXo=_(#S0^T z8Ma5?uoabS5oHE^_3AUPt?9bCHK}`0m07k2zMI{b`LW~j$RxU1gu^xdC)_Ynm#%qO zJ6OtO^$lI8#hUlh?x$c`npFGXrecA-f!)IoO z+Bb=w9M9C>@uA$#QPz&%U9S3Z$SwDe7Sry98dcwL2lz%Q3fqTZP?+nM+67{e1)?GZ z_Y_8%$|CZrIpysf zwd_aSzT8s_y}55!A9}NR$2uCt|Jb6hsN*;+$H-@_6w+NOEB@FD4Q8?3#EggCB)O#y zLC4ScaHv8no6ELblIY2-Q7As{uyb>UgtpZ;w3}}lK4D=*i;F(=epYbeF_#G^c}H{M zEeuRgqFv~$>W;liVhn!oCf8C&oO8B)TTttsDq8Dbd{%#lJSX>btDnt~W%@J2if`SF z-WQmx>eSHXKe(q|^ii_Lw(PIh1mS`O1@1|}x-4&`RrGTN2RqX1)z||H?kO5h)nIXf zsFOlHqQgxa%KhV*ywkbJ#)OBP8Lba_+Yfv+RLPh3xVz2em&FB^XBc5oRj998qpC7=0%DUAp2;Gw(5x0cP3pLj z`cZwbl%e}T1;;RKUj#XU6Q>R+)SsyJk9%8idhG>AOEzB)s+}Ry`Rd0!ws(&| zf_jnXH^xe5lkkOJ@s)Q0%Tgvj()g&$$d1<;A_|U+* zrdtN`)XF6r#|jk%^VQWn7=B$?W#rb4RJ)ypZ_8r1Ox9xCD&bAPa@sv(ZnD$AAeF}I zb=a~`;3p1I&ovT7PfF~a8qW9nxRFy@;^9PLvgtXyx0X=_sn1%1*{g0vh@$kstD2v0 zp!HaN{)?@AN<%STNu|RlO)@{WKa#Pv-;&gAu3UZj1Eh=Vm3KR}en)A9Y~-L+U1DFX<^hZ|Of8%BEhmJX+{6^${wjojq0RRbiFArC5| zo!S|Os3~NLuBmrk>&IE$pR=E7`Nkn{O6~M(82zSF}`ke7g%AEgJ&ZX z>`;i(Owv)wAAR;66(`vfA#u-W1WIAKb*+OzR82y^NwgKY=@91S>%;Uzo3WF-f4Z&B zgodTt9E-RoozuP(gAdCb*B5MQ-Cyt3-`XVrb+->IQT;VV-Ia=BKNNWRmRIyV5P6vC zAyA{ZA=^<|JQ>&FeO_#0+u{CJS6j~Cvyfa9_Kj2TR8Q59E;y-3hB|-?o7tg?r>$Kv zdAti{(Rq62YZ;~QGeGmN0U>%P%MNkcjJ_8fI4_d^tiQX`LAcmcx)CdAa)qjf2(IWv z;9}zKsQr&~NZ%Iy+EQ}-Xvc8L^98?GCj%JV8&;EO5|0{A zOnDsXrQO_W*7V*j`&;5(Ica&Kk96;Xw=OJ$qm#oYyp!M`YdxvIO_<9>s<^I#Jv7rZ zJZ^`bdT76jzLp}dbyXiiM|TWj{0a{c0bvsECwY#Rr7>MFon~_$kz;hv{`MwjzWbko z>t(swCKho!&q6)DI)QH%U!`NNnnaCQRBBYp<8Ut(%pMoq9%jBOy+s)Kl&jx7_0A%B zU{LI#ijsHiY2)~6wE`xmp${`6FJfOBC^)@cyM$tkpeGI9mVmU`&nXEL9+qkMj)=ME zyT!&Gn8&pP$qCGq{uD>N0AW~rJC}NTM9L|7PDv-v96NR@yi#G?nI#vT4HF-%8gLBn zo+*RAxtePVrCA1iRc+^(aJo%fA}Of5(!^Nk%>STF6oyF&_va0~dAB37(Md1JFg;g~ z4CGCW`BIHe_|Dm9&Publh|Lz9eY`_{Ad?a2F?^?-ZR6S*XfCL~I5g)cZY@M! z8#vXxmY+YEmappDjb#k)Jm}S-+uT0&p|%Dlrg3l|;q-%WeR(@)M!=bk&-_k8C*gdp zHtj{>c(+h<72R1R3h)~TOMm*_vdr9)6lnUkN2`B0SA}>kUw8e~%&Z~iW`ybS8DHmN zUYWn$p;VnXjyvTQ(J#AsFh+qPOxt?Chbs1Kec6Y?ywm|NGB}8vCe{bbJz}@ogO^6& zw2KKMudP5{1{itm!SANOC>#}x9+t=)aerGL;AnJ`c=B>Pu%cgw)OQg6p>nJ~IXb!B$NlHNhi9@5WQOg0xPiYtShx{1;-v>8EWR0# zuzAOcY_0n_S(Yat>@8|G@M_bw9DMC;clQq6g~|?Ib#Iu%Dj`+$>~?s}4(~WQr>dQ> zh06gHw?$R4eiS`3@$f2+6rG*iZ^04+jiz#$f$+EHNYfp_;^J(3niXVDEueWKpm|32 z`{qnf3a316XGcp$;&Rxc4HK_49s_tcbF(MKxY>5Mq0?4-rEXDI!~ zGLb`Kur0R9*41t^k+0VTl>@ZzzDoi@Xr8Y2Eg8|P~%@R{g<$13x04!MwOZE?*c&LIvgajZG;q@Ga8flwy^emW~2Y0vi zb7&j(^OwIE&j_Un!DLNUqUcF0@APfOue-sN3^2PYTrP`w2@@WhbyCIET%SmTX3Q-$ z7WM60+D=1X=9V2UG1irSN$3pIK%*MFvVJQ_SQF#jpo2q+o5ggtYzd4IAGUIxx3k{Q z$&M0`-~C2*cqayR`_aQJ3e9^Gk(A$8(J*kG%~l!do2@GPai7HyNZrVu{I1Oy_4347 zc>2Zr0zcDiaJRPLmNlQEE1OHSwxT;7xmPP<3QM)gq6FGx9mq{J7b+}Hfb z)UHZ-B0NU1D_hz#ntdq7tW&+&xdu`OG)`#Tmj@u_)cMUXqs}G$PBiHhr~RQWduvzK zZcpGhwZQMEo>iW;<8HE(*EZV9fBLqf6Db|*L?HaoYNa2_-Z{9p?C8U*D4tli71E&p zc4uFXo%#S#9oIQO$T~;=BNsg1&`(R$pu+MwIC~QuY?CgghxgDC+)TUolU*3 z{$hrH%nqinqN&KwV;*$B3RfmDr2=jny6xgacSS)xX?;^Hj2eF1G-Zx+$whM7Dy? z-YQT6-qv#2*(3xjL15Ee=$zzh`6{h0&6j)`M2fW%$^PcJ2IX39;Tf&gp{pGXJ@0<% zf6OR2(Ij4T?O{p}wx@fhX=`~m_I#cTWG8n<00M+sEQ!$&3Y9-PP}B8uZaZ(KW(}Hs zEQ77@cH;%SeDd}FHAT*;1wMc-SbfmSH|tK%rJ*2dd1$>F^KJtcET{9w%C9Xzn4`Er zS%2GZgkFvS(utz3;btO>QD5wfM6l36-*%xA(enFBwSvnx;7cdBE}#2X-gfPw~Z}VanvR6pMoa6b!r8efbu|n7ulp^2tg_(eV(IU2geY; zuM?v^+w_zsWM>T)@hpSGvbpkY(0n)aBur?!y1eT-3AJ`>s_+!R6eu1AP7SB|HvkNG zv%|Z6?w_+_uTZU#NqReQ1kEMh4sH|c>1>m${#6Ps{&Lf@UL;@!B{ZW|iwNWi~(>F95hZ4UDyi^FqG6W`04 zUVr@cNlpi4=WE-N`W>b}sFZyUJ_Lfb_f7| zoY|ljdU{~tE-@(*QyZPx(V;?TVrN2#R+v|?ci*wE3X4>kp*uN>OM)o57A)x7ps>&~ zZo5h;(bGVDeWJ4; zH~TqYDoJ1UZE>t}z$9lzt3?z9fdGUSH(E$1;dXA-;{!HcKfnLpt^L0Up92t=RL^MC z#A2Du=G*V^7His?@?pRum*1uhL=}#dZtMPL5lirrt!&@29*m=ECPL8&IFU-s&76EY;Q^B3*Bu0^jurw zz+Sk+keF`qp&Sh-+#=1)zlyeM+pJ3X_*0mr+qxDd!B7}J{@@FRhVykzQSF7fCv+Ej zQJ;h~4O(OE(4Ow($SWDySI?qZ3}K*UXzE9Wlj>g1mk|66R2{)Tp7%RkQ*3T|tN&eN z4cA9$^agUdCZenv^3R_CX)pvh1s~8+kAMo*D*`Hbi=c^+2_%Bx^PT?vVsEk02IS^= z8mUrDN93V5Jl{22He>oQ1*x12s8}@F06ilOj{>(NQo>yLehDq{@l>UgY7qt?P4B zU7CxYJ@_Z5{$LgEE&2gO(C84h!zD2j2X|xZg|B*P!UCtO7Q*uT3Z18uLz!n>4XJ*O z9=fcg2vAkE?ogIs0G2I`Gi+lajz>rK_6aVF^Y05TZon@;FzT7uzp)!g$KT{&mLL~7 z`>YPCu*3PCf7=B4+8eWf_0okf{hRfF_^=mazJN?oaut#V5xWl4>!S4p=8m7>TVm7S1f45gAZv`I`< zT9iGqGqUgMmUS?8MNCW##+c{x{f@fb-|{@a=kEDm}g7$#&J(dGCw~d0| zA4Df(b*?q0rGkd1z=`~CyZ*$XJm9~bfGY?4A&1f(un{@J$Cis}{)?(KBR^fL6 zOOU@Wn-Wodq2&|o^vUnF!6(0^zp{2CKw5N`VDy=J^a)Mx>i_nS&RN1IxC7ya7$Nx@ zOu~QbOYI|5;c9%1{0A4h4rPI#gkS%GFef^NY2^P86Vj0zOx{t@T0ih=!ukH6w`(8B z`+w!@Z}zkQw}t#YfV+54kox~FhQCMu|I_^ksr|nxOmWK`-YnA}z7G#ua~OP!|EzTX z(7=EDhckMR{;m1{iq!virW=NvZJ4hSaf1*C5)SIQ;L-L{XoU;pzpVSBJaTn(w}EfS zy;c71H!KP_iE0iFp}KHqx*Vlf5AEc*Y5jWC{~qz*r$IjXK)3mR-Op@CQR&%amzhVq zd_oE|OX;U74z>xYYQ|59#`Vx|L|HV)JafqT`ty=kGHQJr4<3;cW*(ZZ-rLWy zsZKOl%%R%2)0&Hn#qLJ5Udm>v4Q$R}8uIp7erjnPG2t4QhfII})iyybfDo%-x=a(Ay+ zjVQ00zUVV;Z?xQh>F%2|%i;*-fsMlUV!uy>&U8y1zrp=@q7<*y{lhm3^ea{v3^q=Xqu?+#^671r#`J*+$@L)@iEN%j8o41@Dz z&nxfk4l%HZ_90lr%baeXBSqcH58C)OZ;V(h)qK7`$MOzOqI=5$Hm<(K)u*k7E|;{D zA*b15JfV>*{lUOl>mg@!H=;?5#hPcN=Ed$~;_ea+tOH7i>e*%DeT=aUsZq4-NipMx zGybRjj;2R!nG-qn?L6?$N}C)zQFFM(&}T`-v~v7)b|CF8FGXuGE)|vk%%!>h0)6XE zL!O%&oksl%54z=c_E<3NMHnpK#6c~J%bGLC&63`pah`ZskTuIJV@B4lic1H*%9<5% zCET~aU$^#{>FBBFnfnvhRLRVJPQO;GPA}SD=Q3@UPPo?XQEoB(FiK+lf&GS74^V%Q z`!Ijy+%)T(>%7eId#0Bf49s`2mp^QL_E-DJutAl^oXCv3x>jslmC<0)4mLYKGHGO4 zg!D{Z(Jt2RUhhx+Dlb{yG0AiD8bbMVn&(qS)VJ+8tR-Z_5PZnlbX4m?l9f`UDHHad z#TNhAoNt`>B<0D3JZ10PL%(Z3=WrNc1CC@%3E6#Mmq4C-K zV21Sv+vXH8+$q2!H8~dlrt2@y^6#gq1KZ=O9T@g6$b}hBRr2Vuxxy;1qUdGZDA3%U zYhN$&X5RE&z;`Oa`{B?ia&D5dn{j)aP4m_lTzKBe-naDB;2C0S*m{;pX4}A|#yZu? zO^K=XgP$g+Rn@a7TPC9}jYeGew=*@J`=~Kqu&Hr6tRCdocYUU<&|Jmf)oqVd?bnKBXaiFrBaA;XAZR7r752(wH?%%duYnZ>LYp^nRCX}XPI$T1XG#8 z-Sac?`pi;xPR^MMd7}91x|z0FF?Ouq+NuY{{kG}%8^5vMN6}hhD2mm-$)N?BEzQ0= z_c{}sQ#>zslxRiP)6aVh``&zs?WCDaY;-V+$oIFih+#Wu465V?5$hdg0(LW5-OaDo z#^j#fzi&=LZMZV22+@FyBiwCgnQ?@{C(-;<0-x9tc^rW|@PAsBhpU{^)n+^sjq zQudA|+Ee-7%t=w_in`--@yr&u!P}yh3M%-)|rsN{&natH9;e)#QnS?6-Ut+qJrBHV&0@S(Hhs;T~3* z$9)A`pSC2T!?R5>H6=|gS|ZsUD|?8VI_8@91>a0tF-+&0SzAtpzY0ouqLlh1&gXP% zjDOqTGo3!IxSUgY=cSGN{fek#cbohDOk=hj1m(7Q*g}G{Q&On&XLI7A$jKBjcX{Ms zO}BifQB+c^~wHl7kGqgA?4udk6${FIYIpcAh7S5c7S0`tl!nPo4u{i9Z*+$L) zlg42Z=Uq5VnlLAhQ+w{}vwig(!(592ik)e_SilNd(Oi6kN!!deHdDA{=X|1j=dq?U z%G8wcXm3K~z#kU^vqs#n)#X2%Y%{s**=y07N8uDom2;}&%F8)zv4LZ(+0p#@sWVoz zk(TzUdiNdQEe1}P4+_*ju{OQM?@7}-KhyG=5>ORee^Kl7J;#>Tsrrd+Kr}J!))-4R zxv?VpsST&Nd9HJc&Cfq8iL(^zZPlwNem&=mo7M;n4r+2+;pCIlsM8-J)9Oz4F)!yy z+LEVcQ_N=TVUtYH7twDkzW1vL{A#N3-l3v6&nnU}wK%Y9rC!*W#j76u#N~E{I8#S=>Hh5_gr`oly|VYjXUe%mVG#K}GaPMTIRk z+EenlsEsz3={qwA(nnd{W&2)Cz{?d&nWZ9%Av$_=wU%8##cG{II?2JFqXA$ zkpv-r%Of9}!g!fX0=CZcrY^!`rS#P!xNhUup+Tp7ONxbi1u;si<4#QBpN@uR)XCwB zRZhvyUrlDQTyU1YW326h7>btiZ~PZ&VL_hc5L{H^h9hrAjlbs0VjshRu^7cbhd26j zLZ(b625ac5b9+5W#iQiZ6_JK$)t;n>A~hy)y7lB0PO1$m`1L8(duqrEb0G3h-N767 zN;nJ#=efMot|z-T*9o3=WHtQkxFxSnu#_gO@cyz$DAvvKp-97OvTIhIpzK-w%{GM9 z_f*%nyOT{_blQG~&-C6K|xu!Hg*I+MbV zl)hk(#j>l!dhtrKWEp(VSD+lL`Xp@f)b~2U50QQ9mqmJ`pVo4j3`3dJLzyhDBD+rg z!lVqW<_D9m0tm%R_WD()^sfayRP7<7>n^WDm*f2_mumPYRVymhJajsKEfGy|S^q%v_b&bE#j<58Ee4;ovX>D# z%P`)8`kO&%+VDLX@;CUM;Z`Dm{g@rddA;N@AMkp4Sqa<69+8xZiui8UE zq&a?SAf)fU>XW)eUvC9h~% zb!ITQhc1A7j7n*XM@h_Ok*<5HJ!h0<@1<+rj7GO;%g;3t%Xs(@F&*G;2Xs7wNQnu* zpUPK0{9Eokn8ZUbHH0G9lM0)Hg90>2K~vv@gLdh?8A#U@x-CNj#UAt#MNVWZCC!t_ zS&2zJ9ZmPEUQwy9VTByB&(AkulU~oAtF@u-uKo1{w`!%S5Gf^r&mJWBYYAYJ_$zxE zca-=|D&6=^#lm}VI7;=rwWUgHgwKO}itF}FZE@_R?N+d4+0CRt@7lg$nn4ZDQnFIa z@oW++;Mtj4UX0Dz4OIm@cVk0Hghi$d<`wVq5ees=0jszAN%UY{E>>TZ-|*aHZ&Px- zVdlU%&dWLH7?u+&zP!Pt*GJrjr^WWs^s1~ey3o+IESK9FB-d-Zn>SibhAZ;e6JPhO zI+Qk$J{EH}+~y6t{M69%hmFflRB8q#&#*-KpUK15r|RQO9%z*hZ9Iz&aV{5gO5MU( z%RdZPhKdxrg5o{nF1FaJ0uCu??U(ghGy~k@T7|S{VZ0yQUSF!lr4cgJfx@zt7iomb zKoI6_Mb}oQ11{&FW%p}YY*Nzdai2fMFi%b0_M1vQDAvw3z?o$G*ml8mX~=4s%w^cm zMY<`_b(?kP?or%|&QRs{iJZm!HH4Dl8pjqwqa=*hd;$!w^)wOi}2w{V)9&WR|;Z0*78Tf7UzlDXAZatVe8-`EWlz8)!ux%cO8nQ{N^EQUx`PYjptVBqR1NNPh2V!~HUR_udK#G`{CQ}eTU)qORN>bj62sV%722XH7FLh>Wxr0A3HqrZqqSA^qa1xC$Y~ZZ#SV0+*$)O) zxT9aA6Tkk2!JIIWH}@1>#bgg>4bqKqn~Mc&Z;PxR3LX%a-PRyjTOlaqTH@XtJgNvY zG{^;zMIvvBL_WY<@#>q9$Z2@lZA844o4&~|eUm_uND*1baG1f}e7ujG=1BgQMsIPi z)%(VKspa~3RE5BIjrA37Yo!llsV~>$q0hee!b!hp2ImYaXa>Ro5YHWundT`NDZPa4 z!_3C6=);Ez|86QJARXvUsBWGPERsF!fD8sUa|dR(?IYoJVs}?Q^mrnQiI8sC(;zKi zDpvbX8#&g1b0yNMfKgz7XQ7zks|vFp7s=cZNX(;v%8)uh<~v) z+bs5Kjg(#;!`v;>?A$w^!Rt3kV64@DmyY?3|GiiFsXn(XS!05jYOfPDw|)Mccpn$cJBeI;sqVFtrwj*!qkxZ;cY=yq zW?*TDO=ADengdxg@IqwE)Llp(%k)ELdcb}fR_4*`1n-W9LQ7P$Eov&ztvk)7=!{VG zuW{-K<6Wws;9iyvmWZ*HA3hxxX$AYU(U>~*NOk?7j|XDq>x9<;g*}mkY%Wcqf70B~ zr74BbBztOj=t$OPd`8WR4`L~GAE;VQv-UgZj)L8-15F6;4vvy;$OF4i@}xZ!*+dl` zT1i$&u10+hbln{fMXW)=W|kw%Ns2)ij+*o9C%){&!l$ndto$hdV3W=z`tS!))978P zmfa0xL&8!jOHF@sZhQ3c6H2I*82@gk4Zumb>rs6WF{yAEvgrR}@p)wZ!S2Jc;?l4z()Ug`W^)N=LdV)Xpjd`oXy%S76BGF&F z{#d^p-s<#SPsEk77>FFncw8r_2{ISKcEzWB#Owf*{S}&R;=u1` zFU7cDd+n}aW%)?BHX|a#ri^C<-(V@%_Zu#RVUc-es8lJHEZG+g1}O-fTYi2=+O`%E z{+vaRzs7ihLF>b2DQyo5!gF+~o|;LlSaE)%Y=0dv>2lZu+pkvL7Pvfa;+r=u}S|vp?@4Iy<2Kg&w zj(Sz@^Qd;MclJK~9yK;V#K8M7-r_KuN{w{V%f#o{Z}_pt#J|LzcRr#2L2F5xCsB?PV52wEfsG1H&t6}a`^Z+ z?AY06evP+!%Ml0oBNHK742rAjUQ(&r!?-S@*?{_`;GK%d_RqOJWOk`O8E$=fOJv^9 zIamE7xS$^W!QmbyL&CEDR|5+Jwn~Sf|Wg40pq(ix9{o;_8nT);`qbx%EKDO%P)ZqhvvT4Fp;OpVOK;-3P0F7 zz_Q3MRBnlE0u77z*U2Z!O>BuX99x|_w>#fkLN(~Asp=Kb^(xu2{8CHmwz&6WlBLo0 z6M~2tbjiI7+B?EFMI0gj?5Lq8ze;eX$NcH7h&z)-zYV?Ar=8Qkvf9B#lB1~& zrjMESyOr?J7y3$$Tgnpk-u9^(yEi$ds94WL3k@<1p0)gjR@0&j6h2B_) z(6I(4iR(v8&2%Z2U7@e8EjkYlXNo`Fq++82kN5R=kx1JRiTA@TgbDT%j2Gu*zgKZ! zhrGEawXiQF{_{yPNV!QdklUG2;7i9fuj+h6m&`}CLSq7YUGG5n1HUkTqR>XnxRR$! zlHat*bQ>#R7dF8e1U3dv&U;q&22l2CXGK;LleC_c)Q1NXZ)(XiwmjZR5&OB&#w-KJ z|9&c9s7p}`4ssQAlJd_snTt{5-{(ExSE=M2#G9iQmi53&n-2HM11lb7 zomL^03i{^|vZbJ~hzNE9i4Ri1!XMnBqZ?ird1+ zrD}&vw^t(OpHz#y^%L@7XY;w9BRc;DZqGzG8TZ{>bqdt5Sr52W%`3omvl|L+0$xDa z-UaGo)Q6~5uL-CuRc=f&!~YTf2_kPg+0avlrsf5=gZ6*%3-9HZ`_sqT;CA?-V|;ik zxJG)(X>&cn<*HU~s7tAjuKpK3*-rS&A|$uoU>lsQ?G}y`e&GQ9vk5stw5U=?q zWf|hGPtdNFg0KhNpl$ejc8>!1uk%z_9M{jKhw70*KI4U>X&E- zh|Qa~(4>9(V*?5C?fcG*hd`@LQ8si*!WAeTwx5HUT(*cL}HaEC$wQ9D! zwf|$rk7q({W5X&MCSuLjyl9HR#SZnCtNISi_-PNxo9e$Bo?vQEvvl-d(bb5;+5s=i z9`?crof8lK(X%j%e5`4J9`ubF-{J0uxTG?Tro9F3PnKbBOQ+;PuBW2jqgIi0&a`S! z-qbnwbJgDntG_ojqfn7Yf2jOpFk9qvs>D+0q}h9YewK1@aLqQ4!8OhkQRtvnlR z#P77}V0PmfkCv44bch_4+=%_0Gb1SiB9OObM1nD~)b?KrS*aZzisOU_!Mr*2cWx&+ zgJ6$5*)>`0Py#bJ$ky*j46}VKY6*YY%VJ25eK?~`SiMzGqOa>gnNQ~}C2Sc%@k27p z7A~?u@A?%Olw;}{<)*j6u)3@Hc184P#TCq=-y3>q9b?fqoI7Rrnu_hFI9$VNQytn1 zTD)2gd#YHin`3`&c%^~F{%)M(y#-?j*7EmuC48Q(!$r6;)L!EBGEtrzUJau;x{^o7 zY2LNC5LVo8_Tw(j-uk-PWB1|ejiITQLzYX$smmeX<8>b5YzJIqcEsw|!i^qu2yrxX zw6;Tr%CNGY9&00E3NEKh+gNPkcEo|Ynm<$oE^UugPFXIWY%r_uHR9#|>_~sxsbP$| zxq2#_zaoyap|DP`*%c8TNx`PG`Uy5ZtHBSmMfYsk(ho-wM^8v)vm*_hs|11dh>QJ% z6g;dX`zd`)4LYKty9H~!^(1bWVeZ~oZflP zudq}wH|r+duP^v)UoadpI9S}X1tA(YN~;rWdYM*O)E8{lSKakMscm+!c3J&ibyzz= z^~0??LCcxEfX0 zrWeP#Q3E?{?2>WE*vI@{FKWJZq`Ou;wM#TUISfuG{pCx)%IrcpyDc=eqR}&o;3K$v zSAIjt@b@+DaP~8JVj`Ma~)4sBDhN*~_&?eWQv z*0eHtQqB+YRZj)*VUB%#H>L3#f7(AfAIN%OqdoA^CulCEwt(dmG+c4lsnQ#lFs|`< z;!A;cuYWm{r}=K~Xu-O+3T9x`C7lDd(~Ep$_9dRZuy6&e zHX#?NzlY1yJP@aCs)8*PSw|ziV0fJpGb2Ou%vK0-`hjqKW%q?+&d+ugGf^7^# zhKFP!O~o+%y0$Djl4E}Dzh_4TKD#^E#AON=pZUBycxzmP(C-cM5Ep%H5V|v}MmD@a zX$Orh%S#7JI3!d(7CzN5#Fs^nRJD5Zma(W*8*T(b%@Ci3Rp1YjEkM$HHj8oDR-9~z zY-U+5Kjboy<@|+^)TV(v+#pZ>nuu&M9Dj6&AThp&9$@~M8^QK;q_&8}hRV$;BW)aBCn@>r6p+qW38ehB*Awgnin5H$kknhFIDFGFz?aT*efTF$Pv0Sd z9d+uA{MvvQtH>)xs@|m7QTCp}{sNj1%qr+_8lQj%x(v-{B(+LA4G~la92pEA_?7jSHw5xUK+Ccn;Z&`|IK|LuCGGf_eh5ihyi$f?n4W9M1QYFh%=!+$xh|d?a#=$aQGy5a^_RZ z;o)P)Kgm_7#7wFj%A$Xgi;P~LMK?>ujr_3_4vb3T6Vg677uCClMHBsp9X5Hs+A=Kq zQYAU{)Ejn+dAj=X1pj`C{%D>z-&&rni{%=)=);wHFujv&cp-EfNwcYc|WUW4Lxffh1OvL%vX;SjKkcJ$&w)56sWn% zvX-!Q^ROsnn_#9=TDXFx#+l76a@Q^$psWQ7EA&1znWdUkLOjbpR~*S|GDHNS`(Q-$ zIvUMB8WG*A{>)+-FcuO&>|SUs3Bl}CJg@1P@!r3e1v@6@eK>80XqipBq{zs5J~y&g z6=ZXJga4|$ z^VD21<%2P`R-Tj>6%rqp2nXBC_{1BVh;Kjwr!2ltklgjhXziWdUzj zghMFn+o>aggtE!dwSS~c@Ohj(va<}NFYVQT>RVzfJ{hW8q)(2ZVZNZ6YnF;fGKv39 z!Dmk+x~BFLvRYtU*Z7*lKTpn73EuQ5nYfNrPPlHjD#*#<2*`;}h9nty=vuFh>pV4f z#_jLNGlQbnk>$!fm3M|Oxo{(mfwlUQY%ybJb8UFlCU3MS)F0n))(?N z=p^}h0LhZaVd)LD7yxFlY^9Si`Kb;*C83}1p0zVijGt*DgX8_e_$De}B6-{*Z6TXkt#LniDeoZ=_pJ70=)p+Iv> zfs}zTUA<3F45K6!(6|H~FI&&*RhXPjr_Ly47E(&JZ!#9$mm$f=tvR5fQLbG(#CPn< z3db!CLPjKfmcRKrq#~fX!!WLR>JeknE2cdQ+$|Hih`x^A0v-o!==QiZpD#@l_J-NO z$UQqoCk1sMGzyh~B<>Ao;8as_4%ghtdi|m{$w!+f$#6J(m?TA##*k=jL1@rzMks_r za788@zrbqnDK}BR*QdS##@u?7GE`tKDNOMWbCXa_H5qzdsQ>D-J90goD6}T5h6u$( zEvnBSOha@jS{t}@iOn06y;Q4$iQgBF;taB^6B4S-{)m#rgiF(02PlcNu z_#}Usr<$PP1&b#67UfTORM$7J7{Y7)=W74V*Bo1Y+km2fNj@Vl`>P`VrW zjX(roDB?I>GBAMv?=N9(6nWt%=t?Sv+JN7R5Hvrp_7MvCnFDx>cYQT($H-a`jZeA+X(EOHZ-oWD+h}Jl^Ui6mfy_jeiT_C-^1Qr>TO?BW z-(;gbCq6^FyhfpzmYhYuqYy}|m21eOJ8-hKFaAhQ@MfNIYbG$+v_%zx)4L}qd^AgK ze5AS%;8Thl@eOrWt1!hQCY1%kjwmV!vP@yTvBnT-gr;o7g7@dDL-nr5@dQ)=ipD}s z)>e|C3Dx*fKRaxoJfLklz4bTeMJucTp0l55-n>m0@1>oW7+T3(HcnS-p7f^~R?Kem zEpkC{9nk1@1C}YdEE5Z@oy~MQ!^8+)NKEUY8(Qnk)MDa{4n5;RCm1hWzoq7 zA#?{k(mbva{@GpgNth=M#sk@0T}=e7LN>qwzbPhhd}sAT=v+un5nU9*Y#@3RMRp(s zJcl(Tv?;!>Csc>V}UxOf*TYfTStYu*HJLQpng;q$JPlBBpn|ShI(7g zj6!SIJHZoDFEeaX6u4v|r z-tVecM(C$%Wy2`rC%gyzb;K&wHq;3&raIF@w#BVz{yIfk0#WIjF5%W9UClz7JLEsc zU5I+mP~nrj_eF_>WKEH(5aIjj-E*YVCj@66-5hMYX=XqVnO@FI`S_m2_bpcMqH&SM zy1w7&A>AaN)(Oc)vBvZ@#nDMfwF;sD$=i@q_x05)^Iih(G)3k&$pIFh()MxElCdR$ z^0f64ghsaHZOF<~pv*h$r_K1P>->_=TeP=0rwPdCZ-d1AjtI9~blw~po-F_CfkV)o zwrQm7)L~ztTlkns9_6r0qw?%`#FmWWO&BA)fPkQ z4zMx^ZODUsBk{CD(j?y^(BU-avaKJ{n-UnerQC;i@cLf$=tpZzoK?%x+kAlZhHSHb z2#5Q_83mbfKza_YaF_l_hVpaBMa;Q|=w&b#)yiMT?^1&RZ&mKV1|%FOnoSkPtw|Vb z$Q?j(`3dg?6tpCIkKPhS=^_9D_P+q3~Z1!)MX}>|9&qt@$`lZ732=kMl@x*3&d33*Xc7e~g#jEH1sdSfJ*H@PT8`n)!$iT;{5xvdm)jZLgG!@vY0KDGZ^ue)dp${ zk-@$(x}N?1*=!`v{xc?~N-$>N&KDMMKQihLx~GNG+NN*Jln7dZ4tECb^so>xN`}ph z_t630J!*Dc#sIGQ*PIU^Df8sevVH~x_y1=ppHKr!KSG^gvbk*S=tZw>Zx77S%Cq7m zZ<09mE;C>^14Hrsq0Xx2PNcY8-MuvP_8c zOsnx$)}C0ol&zec%j}8#QMTc2pHrt9v(bRxLJIeK*n6j}Y1=pfLtodV8;BB*zRse@ zWzHoYDSeukXlE*wLEOqI`u_C+&55XX0r^OC!~v?u2;5E%J2zkMg-u%AqJ^7YZ=zUy ztb~WNuU8^V$sC|?%|3rwVC$T5CdVqc@V0e~c{Ql}A1VUi9`j7%XudPf5GgjNq))$` zP`9#NURn%rz#Rpy4H-kPbL(pL+TDS4nlS!$?#Wg!-ZU$+h zjVn4Q1fc|o0tItp6%?YaEPul0EP{gW?_;mQuy-LaH0_JEvS=M$JHD7pcGs_Dw{8l}L*n z#ird;DAwvh3b9C|rdx@RI8^)qBly(K6Dv%lZ z*M16Z6L@@&gslEF^|qDeSEy^&zPzp4a~=_^fGn9^CTPDKh1%`bUy`+Fx&Ab3FFiQO z4xPmg#pJnIE_5V*+lBoO7zgL_T*yAozk(Q-A}Pi{+aL%4&a?WL+CiWIo4u<~c7-x7 z2o#Wgt-~<;GvLw|p~#Cwt%y=V1z7eGzUOxo(iZ_WT?B;)1HWaoGT(ER+(U>6S|%AH_B!j$Pq&-*g6qeiI3;HUdqifka_2+;vK_>Z2?b1o<8xi|<*tu%S9oXmKq$YCv{{E#q!n zb@Ln~*#NbfAgiC_e4mPDCPas#RiDhh1oX?imn|*%3kz+4Q9>D zMe=bwUcFuJW`^EWVJ!vMf@qtT<06b=ROoQG?OgWqEZmz&n)reLnSnswKW%ymhnxsp zgoW3wa;^JA>XwhgoLN$Y(!kGl)quFv!+mZXzEW}O1(>h)#SB|moeXwGIfd_&K4-OI22m(sl(YJq0RjcH2_*`JK>#hs9xITIJyA#AKl4Q>_z;i#XDn>hZN1H z^{|;I6RjS9@Q~pT;1Hm0SZ;q`gLE#xcN>Z<{g6(y8kwJmmmIZb>GX|y5~lIuijP@# zFlvu=clMftS?XDYjIVC{sG|0ry8#crN|Ggjiu^r&-+h2O&k-6mM<{%dFa%6d)awd_FN`Jxs=_%beLTkNh4Pf_Dtr!Tu)+K^NF z{x=3^4 z))M6U-`9@=9(-LLsdS z5_>a}D=TiJ?BGO$(ST1$v9I(t&DwnHumvcS6fT5WSUshL zt;+As96SpzcDN;$=gcZW%m^WE)|qK<>aE?NZ!`w@ zc#rktry9b3aJ@xg#gOK?{D!Nx=BmmiD!P@L_rrpSTFQ6qFR<)#A1S0YT)jxMS&%oF z`m$VuH}cnbRh17qto}*Z@C58(^Gw?GHv3AP9)d7DSz&Csu7UW$3jmM>?p|R~x%qB8 zf@Z&W*G>ipA+SbgwT0IXu-^#OQ53$(tMG5XB(VQj8rEms3gHG3t2mYGi@AlHft*N_vzf`2Zv(;_7q%T7$zVl`-yxE#qJ?ho8W@?2*}Rk;Kyh#MGOY2E)zP6 zQnpB|BFT24WHO<1H`z_69zc$37hv$8fgvr4J}B;7a8|8IM`}I@!8zAVrwT!pY>B_| zeNdC^zixvd0qf-}@m>q1l?drrM`WzeX|53i(}V@o;XVbakAOr}e23Rx=;&&&*a_fD zg*KNRmO~S=RY3rS7`tJq{sL`wBQFT3Cw56Ybn&fR+#&%`k7DuPZU=yPYwGR(edxX5}`yX~eVo(MJVG{8@edKS|3$mObD0Tj@%hLef33%bl zJO)|ze!u5mGrCl-at|?5SQ@+a)Jai4!CT+0-Wmi4*%5_qcoqZjNb^_7c5ov#kdj~g zIa5GUJ~|F4x&?V6;L3S?1=OlO@;s@8?G~n|0uwbV zlA0DEbRE(LSrvklV}HvNtnCud-xZ|l@lTo`7vpy=$kR}7kyAC=q*4uIkqY2rP>wyn z;ll06h7N|7fQjQG-4bMOBU`jAH1h>`R0vEmXeD4!sx8&8x#=Y9UU35{qnZho*LK)f zsJkL15AJ&pc=`3|?mKv>fHcEH1um*nM?)-gv_kOh>SZZyTv(?Zwyrza(0FN|o4D+! zXd_%D6_}d1#E(F2&Z)ulN4A=ItX-%m{!d-G&onN`I>1^%* zI9_Ivrm^60rz#kB#~xMfQr;TSm@t=XZ!{Nsv~5i6evbX8*pC|VQ>_{@k-za9d1pJK zbQdwhYQ|%aj{EH&Xw-=Bg@1W9CX{K!pSUrP zoyyjTAGN`)h@l}9+oIqaHzxM>JoK)?MR_%D1_Tio>-;75X#QyI%0q&f*QRLSNrM64 z!ix%ADDgYz+uuW3R=6yZ1sQB71Se@@iIZJyDk&iA*jFY32)KN# z;E82dPcV8_f6Gp@dW?WUWj-2G|uTjO;c&eG_=pq=mj^dMFY*#cnU`lf|#sKvr2w8gPMlRf0Qsju)8zO)G*kd#*Jpgg)DKJh_l!lKLJI z-z0m{O&Wy$if$T{j7LpYe_?J-BREcnwf!R*i+(s+Vz?0Rd2ojogFCdN7;%Rt5qD@u z1mX_OsKSE|!Oumt+*cGQE0h&h(C5I%fi^1K4(SFOHr+7*TY6#Kp>f@N9v6PWyKxdQ zUM8bss_hfgq4`7K8l;_eG9^uwX4b<4DV^FYz}RC zyxDYF=x-{UyB}$_PCVpkOdR4%dokRM@yS}diW6YIt}#=4S*Ux`A4d!Lb!U!Wd3tGC z=$od;n~z>u)HQQOJ`Q+iu3h!ol)}?^@=7nleTDa@r$Gq!)C(Uz`|wtbX91j3t}j6s zgDe)XCmJA`mrYOqSa6)V2~M?!2)uDA`m|>q0cEwmExbo0!9!V6BHDF zX($d%<*?5mKfM{m`XU`WxgGO=b_~w~gB-i2I~ro+pVk*ylr!0|QAmLVaX5;JFJS0V z!5}vZxz=;@Cg@wnVCX5Vq@OUvhtpF6Y=Al-VtXEGwVwnh!936b{@{8@@Tvn@54`F? z*hCEd1Y9C8`kX1kCvVEBALbV-nLwbTk4v-?Qc~?TxPKzgtI6N4qRQi{b7?4i94-c+ zP%)0ouk>#h$=v4x?F*WkDAneh{%GH_qZiGtPgIi)X)Xwo!GF`b1>Xx|bD<%=xx?^? zDGt9L*>b2D?n&7@W2^s}>p30P9_n03%4h-l(0&iNKWfCV%AKeTDiN;;alN``P(R5$ zqIoB9g#fXlxa+b(_HR*GAJPoyBiIwflhuIp`HLru2ms*oXh(&g9Y17&OTt)W_A8(X zj!B;)cl|%0Pl!+f`h*B|!KWc$)!Dh6<0Ev7E{@ei9_^ECCufG8dgFeI%KXf`zla=7 zQx@^QG$Hq}@#(AqMUHr?yD}#83{&o`d9L3Lhfr?XmZtD6=>Z$e2AxHzc;=9-csS|% zP2{TtzFmCEdAeteda>m$d|3koLovMVCw(2^%O424njtn`j649vnXFUBX8M$9`z^Qw z=&NG^{?qXGt26bJ4_p;+EvWqTC8T7rnN$UM6S44=nOUqI59!pi3t3iK(21|CW!Ox) zB7uD*+F9QTW-;C397pPLBt_ul%15@QeSX^g(`Wci=g$oJO!}dvZRF9mmbIni^mNgo zd8x+$=dUUn@C)>vms(dt!zeWqj?YW+z<>vYS|(4@0x}|1;W0%RGFSZ5-j4{)bY4ih zT$q=Na1+KB)0Ns+mj(t1!%HUx9(0q_2oL5opuk4+9H)071vVf%7Q{P!WPPTKTa^lno z!X1e@n_7pX+!Eh#w#Pf&zd1UtfqdV^5FLsl(u!%z0|+#j`X0Qks2QMPn2cxiAlAb# ze%kQd^kI>}`$%F-EnuJ#1kJn@yu2+5oYxFdH^6}yr%n|KD3C_Ll>xIWj4h+PBSAG= zs#xWw6;BaTp@EnF&hUhz_Z?+qV1f>aj@cV!s*avWXrE7Z+`-)+c<+OBW-7YUK2ijX zNVI|cm%zh|X{j8Lrb!||j0c#1^iNCiP`B#p&-p=?rn4Yp)PKOQ+x$8QzGkFIU@ZSR zowqaFU%10~UMk7}_8@(XRZYWK<_ENR(&jV(gWznKB^gH$u2Q~F6S)72;JIlGL}Qpd zh{pH}KSS7e8j_=7CJsB%WC+5R>eJq5=HO`%_e~?BGg8{1GwT2vUSF#anAMj-53=|) zNF3Iv@wMMNaU{0tV*PZJnQ|9!CK3%3= zf0QCA79?d->0O?2{A|%a?A;E-Vu5yyW}lKIB>k_D>b9f#i%U zBxi2-aZBHG1ZfQ0nZyfeGIoj}hP|B>qzP$sO}&ypQrkb1XXq;tn!(m;V(0u1 z;0!r9j22CVf0hj8(`G^=JV811puQHK;k*H$699h{v{e+eM}v9SUgKT+Bh}GTT->{q zsA%}o>Tv`5g#ZOHdOyt2hgfKN!_n}EV0B)3kI z2l5XA+GHrHkM0L`%v(gE4ZkG&Zas9Wl*2{PPg1=NaK-ci$mw}gIr5g0L>g2!9Og_{ za1(vZ3?$*D-ma==@j%S-lUZ{)C)YI6gon!~UdQBBjGo^x&!m5J3gbM@ zH!vP+t{)sUU_AETCC2%KAvaibam>Wz9wB>7kx2>c*}YQq5|4x7A$IBsLR#wC0Ckew z%K2SW(-=!Brg+_yMT^QyIU+G^#J`4k`;LM1?ojTkBli%5v_s8Czr6BOE9&862uZ z3e{2DYLwecYM!|ATS64Y;yWcFsxb;MPS=*6*xq>OEsHI>b&&p`^Jw~T4M(?}PE!l- z(AaGh<(}=lD?7e-O&?~fU7f}dY3b3a&b-PF4k`2!kNPJ;&)qEvXtB`>1{E?sLgS)=b!`C4jQ_qBPC zNO@2KmlAo@ak55XUlL5L_g~#=-0|Ubzmk*V8H_L^Csv98bylSh1^*_gi<_E+Wwvzje4_*NH98&W?=l zJY9Rs`5ZkaQ`H{#F5Q1=)bZoJe9w$rNzs9-U013bCayb0TE?8UiP^&xO2`RxY8U|u z;vPn3YSf(rp{%#*^@zrh95WVEw-HFc;a8t^*b8=EvBP`sfpGeP0UL~rh7o9%UFS-Zo2(mKS>6lBtQQ`61sihlGLT4 zBw0U5>Ujf~`*ppu9wv3t8x8*`-leCOUjKo-l9UDOLC&0)(fb=tB+EBfys}=^6qz!k zSbRn+fS$gi$4>j0ctq-QV@@_P<2;vKtz)i`o!&$p{i9*mUC?_mWY1}|GFc-d`{4Mo zpZn8-66|aL$DWgXtz+W%P$fL=V_ulKrHWI-1k(7Cp0#b8ZiruZ|f!)H%WjDZ>v}s;BJjV_q+)rtTkEr+(dBudGuMPLU$iui+U- zR*P&k+7dhJ$oR%%zE_tLzEM^Q!zrT{?^LAvR!@(ZJNJ`5o;MkAh!;>*pNcgQB4oOkte zd01$Vqw=YITHKSTywtC381B8lmDRB8NUrJb80t~jfeeAWqfWmp8I#q$61S^Ob6A$KWI>^BM}@L0fAbsk+^f_s4OBhvsrPO)@x$Anyo*|E+2 zyqmXLTb-Daro9ws=$NZ#^i7@IQ?j)t&$I=mbcj&;y~FJI=H`xAiyomE z_v{zr#{&4Y&JcMBwW%T92bKc)_M__$lGIMu3LkvD;p~B&+!uyb7#!S#ZP{~6nJhV@ zOTIzaSzgg-op&Uv>6aymn@60_I63R_*&PU;3CoqIY*>AA!1)N6h4>9p+O!F|4fs1c z>xCb?Bp7b2luRBF5H$=E`^{?mmQ@oxW0In;znTVIMRavrp*BAwc&X2L-M^9b3IBzx zP{KEX_t|ryOGwXvu_(A;tKIY*X8yn!SzcQlwMOsifN^n2`O%=}F{XV&+V@3b>as>v zH|=k9yp7kn=b4=Xm;NH<-%AS0J0dMs3MGOFkRt_Gy=j2%V!dOV%c|}5u5MNxJ14J& z3tU!93>=-kB}3q4h--$4i?129>wFdQuz>b7^g zYNfMIU3QAR~BZiCTubu#ZH4AK)VH~Y5UKIRWQ=wI`A&X zfzR_hU?K4Ij?DAKTt!G$NuFEP-gm)_9(A;*t`PPNa|76Q_};{(jxU9d=W zZ$Wy>g2j{t(f{iO0Y*ZyH*4Py&RO06$@1dtGh0zkLamS--(#>D@1{QE9P-uw9SPkC zd8GLcH8uIOym9gSpaqV8nz86v z=3-C#0bcf3oaCF+*s$3Zr|RzI?ccq;Xv-6jFsj>}p^Ywj1H2(?)4`lJ8>1+o37a)S z@>y*;xorT8Kj9J~`@{jaLIU^a=I(t^nKu|f*t3H)qHYTo4?-v^KMI^D8$~^#`vMC> zfJ(Nbc7T!49wGF+B1u&carJy9@O)FijP|sX77`|P6^7jg!ee}TY8x^6Bu7D?SCf;Q zEVmdCE>I#}sXFpx_Ukp!Y#9xo|Mh|Z7NOgj-n|{51?1c52k5Dn0|`2MqLp4#d*AY61sg;bs0EjJnj->p&R8x^DMjhRLF+A}Ye*kR6A z+@w9y%U5Aw1u)KAZL?v^?Q+FvWUy`(tTlM!1^%P%S%j483+)>f@nXB6nmeKX3IpMb z%0mFdpZ)_;sGHuU4#o|{bkr-gk53DEgvZs>q??1E+bVw6uyuCx=Cx>+#IDOjmby*d z3eeE=9E5bHdzf;`1DM~>$w&Z+dKSri69B#k7cy0TPSac^z#p1|TeGtMdM+)VyTmRV zs8%>QrodzYFe;4@_cX(KcR5+HmzW84ndj%dZvuE#`AorT&Nv9v$bMiD@ZlR|tQL5v z0kC@V0OPOG2-d>F?xT-XL-ED9!9W0O`^vKh`bbp``l>pbQcRkl{LskmswcYhA*Ei>qk5C){3~~T^I;@PLy3};) za_P;x3rGf&WX1*^46!Ez28tI_p!k+(%4VTwRJPC~YC6$@R_t=k z?}Ki|tkC0^BU(o>(UvJWbJ#jSDpwc=PE%aKe7jJt9ptS5OQqN(1qUHy-lQfQ{v-s0 z`%VD--`(Z`%FC}&aL^LGoUE)Ui20KJ)wqR#W&?mh&t2=arC9GIfSzT*zY0)zW`J7`c*UcklH^!J zbbuRDl~+;gkX8W_^ec#b{QncYPW}JGD}VqfjQk()3XV<=BgwiyNZF(lFoyyR$V5&5g7)AiOXFFH`CNnE&FUbK*# zedS2Lw(RG&yBDv;`s$v4%0-#520=f6l_~IPx5fHnVPmSHG9ggpx7lN^GPPLr+A#o= z+RjSnpR<4!pLF}2;tQhGhrfz$zc~7=4k^WzbAeYrzFDpCAHW6IsIYNEZDY)nc7q^d zzk;Y_I&s1IHJQ28RisqDt=a20)W z5ct|}O$$5{odjR$2Onw$H~k?#lqV(YJVVV$yj<9!E%Y!M z1nj36$${V`)x%b>HIiSWHk6GZ2MGMrul!Egc9;X?|4+_h{Q#r=i-+9&2{%KyC>+zT zPboS42RVXQ^)s=&Rriy+)W>gF_qvDQi)a=8#{Zsw#qFkS5JnWjTyhA!kMlQmTGb_x zR+vdXX$SHpAgvJTJ_2$hASgnbufRK@yu#q=-Mj+0>-1=xv3dLc$F0exkl8}_K>c%4#>EW~~1$h#I%*GnoC`U2ybK4vv+JAg9fQMbPlszcPR6z55R0FH!)!ZS% zvz%dPZ*eM4XxOv7yW>9@tF?%3G$lW5ePyhkx4A;)HpSQ`8-QJnstX-FJ26&vod=z^813YGWm#(846W?a>&Vt82uc_53 zA+>48L;WF><>c0J%ZxbnviPB{L_YhHUatN;coyBn<^ERL1Vwh`%uCFcY^Fa*;QT!O zt()NS<8wE`<3{k9z@GDis~ha;%KNtIK%t1o)+oU~$wj}p__j~6;773k{I3NX1aHG)izXOeWd_HPsPovyNASL-G4t~sl_DnM>0;vQbfjZ29$th%YP=(YXW!)qx z@{SYr!3=HU^P}8iJyk3@oR$smUq)D=p7B(89+bFMJzwyK#LKd3PGjS;UtA9tI!bSL)2SpY z>v(vZ+}C>aHT`jwg0P5Sn65NU>{TcdM+acLcFfSb#W!CCcp znU6jLXEg5yfBOW+e2J) zkYI1J_&fj?HgEbNq6Ck)=JuQ{z{Ss9iO`{LfkUM`xlcm&Blr51pi=J}m1VB9#{fd% zK($u=*2w-q5U4CbP&R@^4#n0eV@)6Ijg53Or3iND8d0O=Y3Df_-O(5`3fK=e7byr`3;$>eg0lWsdaI9~Jaf!b_ zkFsdo-l9i$Hy}e^AX4zzE;@om;k}SxwCeT%w{vCR@qd*dKnMY0%|353i0vtXm1J`! zPPvy&3Sdau3s2dopUQcspu=udk#DB@20)pSKq2qPz^)-*Lm=)FuHTnC7gnjn{Z1qxq0a}p>8_%oV-G*C&lb8 zO)>(T@$&hcQ!~L>{oma#L%V$&>JK(>$_jM^XdC2&@Vjs>Y5HLsmPtWF^V86AUkz|o zenGFB{_gywz5!$mxt}w50FmIW5JY-^VN$6w0j8n%Gvaudnwj|{zM25~JxGuIcdNjX zx2U#&6a&1D9~66ua;6FYKF_8oNq~g|VUi(T1%mVnidTy-qFD0fT}$o))(Hoe{O(_f ze4Nn6UI@>O!14E1_fmF*1%Ikl_aKRmNotQ-CL5}So zfXEG|0swUZp#pgOTrR3gF6t*g8FeKAPcju4?3z^HWF$>L1L~#RbumdLZUREoe|2Dx z;(UB=M{)EURjeO$rUHu7S^pD~EMPyAR_kO!0x;{Oi)r4sU7VI#2Qe&R`koUtK|C)vMUxo{rn{a@TGI^^67y_`b^^2tj~})l8_zf$-^~ zzY%l|^UyUcCHy|9E@C5CdBM4>^FDY946Ra z?L&VElweN&vmM*j8(W8got@058;Bq5s6%}_bUo|wgmI&tofRAEYT68`{nR6IZ6Ymx z=Fef&PZB4`#V}dvm048Ht z&txOGi{X|HN7+BvxhHCgAXJQWe;VuSh-N<=vT1?~;iD0k(29kRtrC_xd+&-7dZQ7^ zgJBYUKdd@?Hl_4!9v`orr$U~57v=3(q}aSEC0rt{Dau^1dKPWJMxD+eMwoKZljz@u zAqf#Yo!qYCbcvcHw+W8d964-sBSsy-D#twH2 z69+GRwch&UZYjz@ZMbk-O2JsTOK_&-bn#kCzOP&UyDPJSpS2c@A088*p0YMoOSe>e|Hg|FD-pD% zoR6&dU7iPGGw0c+$Ft%W;1Zo%<-Jz}x4EUJl8MRMqIjztPo`};CQSRq1$eb_wcu2v z^R4j`dN|jwm&QknRdqQWwyV)0q-J=3d5ZkpMG;2VuzYjf3Z-n(s`Y$lV;w7w&}Iu^ zLh|;@n%6uw)1h5^3WBVK1z3IL6%{flzN=MuG3}QUkJ96bPg3bisj`k?5llQ5dKi+m zZ~L)cR@@gGhH)T$bzTcKOy;OZ!D)5k#o~Kfg)8sufsV*XK5?AC(p1t~dR&=1q|d4o znj$7+m3X}dQYsUTuJv^ZzTQ0JhI~tLx=!)Naf{dDW)t>TiN7LGFsxRr7Ji#9Q7CoL zKi7hHmtP4!@VTLY$3{5+4|e9COl@4E&G1HL3P&V9YRH!PT6K3)Uum2_ex|D=C48*X2BZ4tK=C7R5mz`1r7}`KIBZrMhmhLg_XSIQ=YRC`o4%FsB*+aj zht6voI*)q07<^OMIuM=5%347GPJTJyuP`^H-a50Mn|HR0P`Y{}ir*-pYZ>c5rqzuR z4j>9t#IpHctrK;ypZe4v=$CGrr4^5b_GlR`2GnF4_RhejfMw-7`jevJ%EQ|Ee~_$? z!OK=14OCy_WqcBegsii^gTVxA?dT|Y^r2MfSjWu|iP6O;ZAzK-v*}Cz`6Nv&@Ov=u z&f#Y@ZKyo)9#dWk`r%wMyZwmkb30G+y)C%J9nWRSD==(*FqVt|BQ3e&&Mz1+`$ldl zqbB}P$qSFN{Dhjd^EPH>6UiSOzI*@}g4~p%v-Lydo$S#?nmXGfrU$b+8uHIAS9>4k z4s(t3R{gUjHK3VthG`((C3@rsou=BnIZ~xWnDy57EUYE|47%a@7S-}2Q6x_LM2U1# zg+yodNdw_^_eUHKoxF}nha3`JOb@My(n0(k% z76=zL=641ZgTBp{(Tl!rWZj_uNimm{g%*tdQ%mDSP^o zfe7M7XaWEzpmuyG&ZqTs7ws1r2$z^+(dpZM(7T`|AVgY|D|OQ6g0vi!#jl;s)o+NA zAy)hlsq#{Kt>!u$NRyC?SD?({F|e=K_%SztTslI>a^fj2pa@rw@(H**e!CV1(DR)V zq~1&T=cITS;laE=R^di%8C`|aPO%duKR@P|*AGLsI6*qqHDB^sf64NBOUS}&AotDM zlycq%&$97EG=t^v&h3waCAWuZ^@Yn>0?(Mcj;!M4ki(~=`VK!K+4_97_R3-zKCHbb zx3@I8+`2@&%!sS_r)BnnA?=6Nb@Q83m(M+0)i$qAlE`vQEs@B&G^WWyV4p363#ASo zf`C4RPV?b>YPQ~_DVhOqRAxV&u$)(JJf4PZYa<;T`VN0nSUd%je~N3%OIv5db<6UU z^KX%n9vrX}QJcqkXal{kznqkYDY|Bc8}=TJEIO9|8%>kh&0R~kT^s+_nj?-_5~M%y zi90NLweS(ga<{LVtL_@?g>X1dG0%1V@$yNt?yL&BDk~R(gAeLai`8$*P;W|_AInbW z@aRXEfcM?Y20*{;`QztWIOw1wqz${9|2V>n{ju;vO!`W+c{ktTJ%mDiU#MVXdC0T% zM3-Z!-`kRNJp}RnYhJ%13cFB|Z~BJGmSLM9`X^pc0md7^AP+f}_+ zI;)(RE7evN{PuP7Xcgm(h)M@^_!czCSHdwYOE~S4+ z9vk3a?)FyX4y#}-Op$)s5PI20*v>`NSf?UOd!l>?ord-bg@$WCJYHfeR>3O3Tgc#V z6Kvg({_Mzey_(|~4f#d8V?ihzfaCtB-xs^^r_1dq6CSQ;^IR=mmyxI#u6nipnd4ME z#bZlJcQjKOfX=5jyN2D$0d9j9zY0y`^?#33#8}DOgIQs}d}U9e z8CcId5-Phtd5+t}!~-0r0MLdMy*!$m#KPI5c9C$dEsfY^@A!|3{M7fzf^$nV-s#-- zf39>rK0!loaUXNQW)C0BS3L5@1PfSDAqTc_4TtVkXq6DuFZZ5XP20Y))Gw9|fWfyt z=hAaMd$9wUH-fIwwdbNwKU&!~;I16J{vft!nk{l|>R!R>{fr_bV-?ZY(>+-DrAL4? zSv4NsmrfO={Xi#lfZ4`6jm))D%B>qbRa&h&AB>4y2$Pkaqkn_HoxAGMmXMF|0VgvI z_+qAIf)iY3DuJ)^0)vp|s7Wc;U4domhfKwGZc)3>m3Bc2Yt2S-c4BB+{Guoj%$TZ6}M}*Ug$J-E=Y_JKUw?8Mi6PQ%!} zPs4QOr|1^N-8$*563u(jdDfeTBr(?oHV32@Jr&vIac#mak<hI^ zX%{1Sqvx9blcWpVeD>N435i?BtjA&gR|gcKX+ikmnlntp%`SVce6cNg;jp#?xbcGn9_Uy$5&Buo8j7qaPoy zo0q~Y-0gL)@^}7|P-Alntt`{p9V=?F@L+EK8f~#i)tGgjAERrD(~{$3{6Bs9ubYpvT6tpbG`YtKlxuwR_I?V;;OjnuCSTcuk@b{QmEgX1nF_iqdzNYYWhO(Zn?6ao-cwEaYRLfqiB|DCyKTTxwkrn>LuTQRZhXQWYn``wO9Mw| zUXkL#vH}5^PuCW7)bHD3Q*NUF^NOd~9#=k*`R#fAx>bDOZ|cRZ>)Dr*&Thg)-;G=E zR7Pr~YNbU0j~u29_T}}PIzNh#=Ile%l=$kH<)(42@HEw8au8bMBOv}@B|}3dOm^%h ztkuiyHKVKK8~EBqbu!mli;P^S#s<5@h1~$UeH94ni$G6*AY3R}>z4=M(Gv`*Tjg)z zYl+7BQKps+tHUc2df&0U*A`%@pOm;m^o4sO83@^_(dl*TyOCg2jO!nhC8_wbFooH2 zRY{>FL6rXQdwEmMLDP_>UQNGzHJx-DVWEo2qeXwmICes@9PcP~c9bbzc&1@;yU%Ae zK|IM#0d5_%Ne?Xau!wjq%*;B%OKXevIhc^9D>CW*mS z#GRJqvH9*2eZwYg1PWuPg2&Hyc$!%@2&N;3-~_RwYaSETeI%*OvD$bA5rK4~gI+>& zT@hjSyp9B5Lj$&V(4+pi!%sexM9r_qp^dkXzyW$r4s|e!0RpMM!OIk`96^jeM8~Tc#ntUnJ|ja-mhp@akRjC;~iDbAg6e3l~Rzj>IL~ z@bdsQ1<-E^@&gys%lz|nf6yf8o+uPRJ%xf{`h(AL{Ic_jrlo2}cVT3b z@xx>m3#wTtkqg7gSmM||oG%H_%!giiDS9_7PO|uGWBP#_5~%R9_2PgT`O0%%Mf|}092zbZB@;O;LKMJ z*1)kE*TGY9JMpZ$cE%#O`tvpO=+{~syCAfjyYXkmqhS3?3PA4x!h@uB>VhbjxOA#s zQl-Sr#oACKv{yl!EPcrXnX_RoBS7?>9U$q!M0&fW^t>%^>L99X+rSxrv-_2K-AU!| zY!x}L%|^b`MQQM?P0o4YP!-_~ke&5{RF0c|NwX^xBF_S#>b8OT)l{?U3w~}i&OUx% zN{EAj>SWn1R3-R_2g^9|GLOMGxd*lObaZs^7j~TND{QX}!JT+iUE-#`14N2mch@sy zh>pOb#j>O)Xh8z*7)U-~L9Cq`12&Egkiei8$gXxK$A8^Jjh+KUWr}$PV;*?3cfs-! z&%f^ydjuA4%5QZ)P_RqD)Xl-NYN+WBT!BNySz>^weG#PIN)({nRQl#mdQoE#|D{*t zgZR%4#DBUOh`epp`*XDEJ~cng7K|<#*jKK<)nB-}bXzR@QjJx-pyZ%_Z|2>(#6cT% z5JE$6#<2+_v;<2^?PN@~1wNDO5Q@wdQn?mu*U)gkyb>b)lTF__I4cbkngfDoXU>UK8RyQE>{{pV8k52&;r`|!_7c;7*X$; zhmaYB`|dCln@ebPyN;l~7%SsW-`dvd-X3d}XA;UD+%A++Z-X!6;%(j~OacTUM;FX= z_gO)@O?$cO{XA?~F2&C+dh+?*^&lw5AO}V%n|f@T{*7O`q67CxSQqPw-`wHz2zw0` z(H_U&bFg`~gNtk8jBNfkJ)+!NqHNGBQfFqlU3|P7QpzQZYHosT6cCLxv2|;8mY(i^ z4t^qIRW_fc$2R>iNR(UR7xj|{?VM>4;OP&$CoNNN1-2Y_okdAV4ZA}&zEZ~cX!i85 zzJK!-Ag)~kP28diSOPX)xKL5ES$TXr7*@Vua32}Ik?5@VtrhKs9(IpLpx$X_hmP#o zd7bdN+Srit=re1lnR^*$!^}0FMvisq1V2ew1qnbVq4TF^!?DXo^cE@@Y7n;6rZN?z z4B8LB{ROeQWus?pSl$KmOL546I{$*5PY&UKP6`R-vMhi8%>TKjp55l0fucIc(y!~; z@!%UeyPmr!6z9wA8u%;l2YfbIxSj*Uj~yx+LhOe^vX$d&R|`Ejnh?!3eu-ZFEi*1kYf(o(SV&3m$LB}38e^+ELjmtFk@xG+CS>Qj#eLF8&W}hr=NT}y}#S2_d2N`2N zzVW+3s9B(G$%Rw;EWD>F5i!w;ARVxJ8xU zTj=A~eqo3JkX2+j(yT0DvGQVwzo-qMtn#~rXY?grW|r04Ha6AmZQB=QJ@IP`e`1iU zLu5@nutY4$R1B@WewNAp)uxDV!($d^#G7*6w=|*+CaC6b`_d1%S{A$96nS!b)B58q zzqZ8Lzd3}1i#S!_*g=uOrMft1l^%{=agDfj^&2`T%1n`<`IHz2pO)V`+HFxyn-HuMg%$^Jv?U2{lA{MMGa|)t)MEZ!_FJp1S3B z6fu|kYF%IW&nqR>h7~iD`%jr?4$K( znvWBJ|Dbx$A(MC6db(1p_S^*0r|UB%BJIJp+c%b>6iisdK9N^r9U7hMH-duw1wIlraqQ!b5_@D#}~jPOpJx_W_c!q zSa%1=iVc&b4n0*aT5m6Mzn?Xxhee2K4@V(-cor@b<0ev0UhP=+j%e^sM2cLYx+fj< zd1=vA<8aH+_>OsMc*N$-)S-n>`Um`KKwBFD!$*R5;#e=;>)zHZd3l@BIEE-Q26v>o z^ago)ap<9tYk~wPEyFXBJi@kg;?f|;PTo<%;j>SIj#>98(am`9T$qasMC zvNyy4pIfd{1mkx@KOyoVRahC|2>M~M5V}@+;Ljn)N7uMP9L}=*7bqt zZpI6qz01JHpMAuw82iCkJR4;%dS~wC;sR)nFYhpjBvlwnFQ8t|6XF81Upf*&{`Ji< zRI+=6r#M^>6L5|T#LHx6f~en@&4Nk+e|V~Tq{Q}m!@f!4wOc{NwmI79oj|Zwu9n{p zfHL^@dn8@V+|J#PMe7eAtS>9mIn}prd90s!;55k7^IGFshT6#C>gKn?BF{`XXv9q> zUow5r)+kUrWL(mv__V_Rm~GoUwTd(%s_))mdMsLw``-Q7(u1WBG$QiyaS7S>QAnzT zcgMuwnYW&*%fw|E@O@6aE#k~Kuodc|vKjVtc)7HMbx^oPDm$2vWQ+rtJ z&m@$iC6ZJ$?=NoARh5L)e33W{92N6;_v<#Fng>G?=~kI7*B65K$yJ9S#J z*8FE}fgYM6dc}q=NKtmYyYM53C@H0Z5g6&nMA>CMNxDvSQ)THWh7;8ldOdB_p=-? z01yL8jn4LHn4d+Q35)Oe`?^us-7T5Kd`XV7K@BOU>_v?%-h?GX>4B+sB<|yvg?*OW zn1=ZsHXHsE8zNQUHAw)KQFd?p74z1n(lzM`^9y}e%yfogYV31cU}s_% z83l5u=&m@q=f75&t~X6wEtoZw&>i=ezq0(~7FDJWuGz-!u(Wl_8t$sBRRnl;h5`is zg8zVUZwO7CS{78#j=F79K~JUAK|V*9)4-}nz%Osh2UE=ZW>u6y6sYzJ{Aa5DpYwN) zoV_;lZT$_lh^bJOtrVrt&=Ru$bI9V6vwB8nF=8`CA`H5RUg&{P2YD8XmBAnKTq77) z%vb%6RB~;S$$IgM517JjBVW$`&mx(uRb2n^Jktz|C7>z--#uZGR(_{}Kc;>6gB0<> z#>&cpNpeIL{jg{{GVdpAL9*7TgCHqOq3fbQvYvu!d`#ZamE*pv=1kkccRQgeAff_9 zmq9y8uvFii5u+goNDHMP;N_;L@{b%jsUT#qD3LX^&LbfemU|sJV%nZ{>0B-?DxYiR zbV2f<=Ymj1dmAP7mT}>=KAIt&c!z28I#O>tSoOOJm}+4LRb^PxE!7D(=5~x}F&i*eQyvcTR)Bbr;_`_=>T#u*PX$y(ZV|Sp^?2+pO3xI}ct2v(RCxY#|KKwk0iD_JUN5AHwBmmP>0091 zw*qVe=~~Y2JX#7V87A@rgRodG9^CdaDjLrC){-iogShA?~I%JM`i zaa3kw&Z))-{~xVtKJ}{ zGb?hNHorcnYjAXa5@d9J2KTq+@vyRiE`lZT;ojXmTnkRGH6C2j)6%a&WmwYfg9M)e z&fA5(kq+X~I^%Y#ovT%%lG zOn*5wS<3>FScAB7Me|SYdj8E{Z&a&-2ni|#ZdJa8N*QCn)vyXnrIXZYx^g8-ZG0R< zaXl@Ep}c%v{U#jU2GihaJ4y~hLCSWUT|yy1B;xkbV~meZW-RHasIuqFJk`4MYX9Z0 z?DAE5^(?H9g|-E^Fkhr&s^r!CzUEq_her@^3x{m;$S6E5hN}?nhkiM0z$xpQ-?>Wt z&v-=Q=?kr}4<}^BMC{h7lKB$r9cg(JW?(1Zyh}GQejmvOFh|gKa3QYsG;!pvr2l|~ zZv?$_S=6=%IrntcHm`;Uk=7n(Q<~caLYnS~09wp~NuY&rk8+>sW0O|QP@n0@s*8bY zfyFh^xnGsWO}AS%+i$~yP|YSn{XoT;S&dE{?tes;mQ;VRYQD{AwbIF9ac%!d_9a8| zb1{&IO=ANU21=T@OPY9bcQo}%&5XzD;t26*rJ*V)srytUz#i>C!&JU7`&NwE0nms# z#|`HTsSib_X!6)(@GV)%WecqJE%d6ue6MGie;^uGj5xXXWUwD2rra$b|hC8qTEic;6i1s5;Fb%mKH#-Md3ve zx|1lZ?OVCR5(_$U_ryCUC9p84RU}lt!?H)ZgCC8%CTkTb#?m8F#lnP69<-Op8kWvx z$%`=*iyTtRy={~&nxLIJ^a7@Hn4C63SzrTSL&f|USIxb|lu@Eo7+#(J>;L z3Htlr#KH%wEXJ3)LcqsYp7yQuGP=gqZ_&pnqK*3=@$-L(J|LRUk4H>0uaOtD70Z_ULJ`Au3-<0BWjc1H3TKyrS2WI>7T zamAyq%(GKQ8jsE{-3C>EPBW5(?NNmO zD7)#lJRcLC@~nu7UxX~N3x8Nyu{{i!Z(nUudP7B7wmhQCz|awa zov!i-;AG;E+T5%C^dVM`fZFh}lwKh_(I-PmPSTQ}Hu4LNU*sWlkdzdn5`un?ZR!k@ zVO-`Ogx7oK1Z}gt3HOcR>F;F;T(|NNoMnka4>PV98<87>>JMCui;^Y14p1Avy<=qv zI#qapt}oPkmigbAqqXdE>t+cYE5E_Er5Ljb{=?)5(XGi)wO+x4VAWM#(_MJ|earCl z-;^ll#leQN5W$m6CGs}%ccx{1(51J4!!q(D--?*Vf zYY(Qo7mhvJC03H(wt&_%R5aS6VD6iSllFu$s^H+}$~l9{b`MviN}A!f)`e&9i63h)MqQp_4S-|jS^V&-Qc>?X6vlqX+p5+_}$P_P| zzI}fYT}m!Z3*%RM-Zjj_sBl)br$G3ys18-qet8@{iu6J+d+PfbE#TZ|6~6z3wSv51 zj@FvTQEiKbEY*o$rIkN+-7CUHYRhWzp-Ym4yQ2E4nLsj}w*tufdkpAU0 z4oo-`fO|Rj2`C58f0k7e|4vmZ&kCu#6vm1Yzrb_gL&fisnv}~!H?l9;-;j1hp0Yee zL!Y{&Jc4Tk!3k6vF67s@Fcvm#JD9xoRJha9=L@o-dQJAa>8H6YEzr6q9L6|;XgYyG zF;@a-dt}m5?JgAKfa!m!=h*vC+IvqMi)sfj=DPZNL76-#O+K$IWBfbJyg{4qfRe zR!-CUa5kQRlJ_M>ko^y+-YB7uCD!a!5XiBW{W0TMke;qn%KhHbiOp17;kq8A%zMpn zC^CbU+)lwE+SaZA-~{FXhd$_kq%;ZCnI%7M8ls+){JeUwgbg+DqFuj4pEGXl+fK1v zxSN^tfOm~egv5=j>AjPV7Afq)YK~vqF9R3v!x`2b!BBJ5fD%0Ued^nlN@EXz6a5gNiA`w1WcXNHZhHpu}rBTgwj}V^m09_&%`?qX^@Y~|!2HcBw z7rTF+mU{@eVl`vxj{42C#v(k5`NTlWFZaH}f%}sdRc(c$&f%cZc%u3QNMVH%;%r@N zCS8x7Xu9Axc|_6mrNeTyQV5Bs$3dJCRG`1u{*E62CsLdXjnXwb5bJn_%o=6j(PPeY z?dr6sBD#)^JVjR|*b1BNeepHUhw*gF5o^toX&VWG9-P zjqOHROS2wKl+VCNBQsO*ae{TcGvB-&lN7Su-dM)Rh%}apfE+HUBI322^u8^e#|&ov zs~naog6YYD?a%d}cwH^teqd02GmOzrg|w!uNM%B9dYG@c@B}6ur1)Sw@c;&NiCbuD@kno*%kV**);OQ?4HOh_`n&)YX$h$Vbg7*ENr(H_!cD}#Sjc7_?_g3n zK0Et6&_VQDC-5Z_mYoF+gNFXibvr1Ke}a1MhTG||ohd-U13o|QxTtx8u?s=!`4Dr3 zR;PcKk-h~gml65bab<8;n`}uuxe*SOu;lplV_w`*C^G2S5Wd)&YvhX$hE0!&Kz)N6 zJPoh7nt2iYKf~GWTU~%pB6p3?hO2<=OGpL(&n-JYyhd+fQJ`aL6+OB4c*$C!h9v%1R!3pctF(Dv8V06{iM=&B&&n{CZUa4jE(UWTqXkXC1plrU^bipR$|o(0`jHCBmUZ3~A= zdVib=!w!PTfztbVgdwzSC$EF?4@aK1$&>q7hnL+0hE(|m;b}e{FT)yqWh1UGM-*^9 zgwXC+`rpQf11&lxM@oDPW)#Z>M4_3E7Q6I(Cw0-<;Qf;PG)6X)I`K&qnY$x^rN!m|wy zRDQThn2XJ`PyZ+!PxrbVKx7#$h56h3#F_Rqjf(gLa0=hdNENX0oAHdx ze;%Dm^g95dSeBOW0l7J4eti|pXFR{Y>1mkL+(XQQ;Z2ZSbboU|9m%~F0+AJBQHP;w zATz_fOcl_JJ2?`?-vloHv)i>89{ebs8QbPNzg8Bf=~D3E=L5rM6$5pnBBK*@QIF^U zT*q?%!2V|4>sSq-6MZ;e5}w5Yav@g3bf}Jwt$a|q#PWZbXEXo|9_py?f+iFgztike z|9b>!1)SVQ=G(m9wutt~)_hyG4QFc06k@*T6MltW`GtruUJGNWjUX^mYBGDb#%!&H z`)s3I_o_?~yezFrOSHkecixOaa3x{+Yv_D;tU%^RN~JXUfDs!DsFZ5;|4q7$!yMts zpxLu>Fkf!y=k;kaC1_z1$_13b;sasML!XsM zauev}CTPB?i9cNn&rzfWg_Bb_tF(6|B2|=bNKQ6_Yz51t4ziqOa_H&rne8nr3Rs3X z#7!kFyw+~0iJg8gtW}|VBN5a-R~`p`0J4dPquoHddF znQws7l>NDuIMT4MAgbQ;t23C&IR#q(Du<1S$rJjlT)1rQJSluYR%v?1!G>!^;-%FV zPsf+xUz)>?f%=~D&TNA4mkx%XKvDIRL40m`3tQAfo~cJbTgV}{P!j6!wP(UzYQqz! zRyExcTpo7^*_wGxQXR1#Hkr-_0ae_p_8M+b)+*7BTn&IeN8uIDN6aqnbUdvv`b<#mO+#>urH z;F($=$TLYKRt8hrh@M_sAv);pqr+f^h6Agj zn9wPM6a`pXAznvpqCYik6Hij^zrak`1j8pAuMLt9wC7YxkQzLp^*ff8=2n_i~V zJxP`No-L`vSA#Nt>L4knaSfSX%ac$^X}q!u9#K*s>ww;NjhVSpE$DT0Kibirv=;>w z#$FS7iD>4km${WQh|Wa3loQFfbp@&%JB~m_{_Jr&Wm;0uPoL0c*C6S9HY$0$Of(8X zN#$SWc z0_d>q9Q%yKslUx~6W86Qav*81Ykd5$218dhdEiOdvxW7kNUFVD@fn}8N3tdY{OMEo z0$k@}T7RtL!q%R+))a+DPVS>*x&S<4ZNG{YJbI_e1zJLP1NQdACJ##@VnixfB6}XJ zt1)(38CZy_5=+SS$}ux=Nk_$?e!l8fVrwtEtfA?F^u^{%v#?(NmSr{`CG5 z=cPhyMK5~>Vc%S8xB50+8aJ`Y$7||5mvFs6UkjBj?f^cBpg_tE51iK5>GW(qycEfF zgp!M{D}_qqNj{EMRtPNbIs{M2s8%}AnLGxdZ%(t|G0*53-Mv0HqA<4_$>aXkElHI% zesdgBz5WM+4_HLC&C`mXTkD0$OBYfHT~=YO6oViguCt0CfCgtfrRkPzQBM-Zz1id^ zQb4SX-15lbb=1R5%)mjqB+zZ7X&yA4%V*=G9=IHOvVJHqQZOm0%`Yn8%@enhj-wy# z9hcW8L_wF0oQnb~Uy~2i1_t;2`vDHOxJjrD3RixyZQAUdpIhGW^Y6(RsS)C!UXbjK z5fHmL(;_UQ0f2=61&|+zITpvS$Yi3~@C(+Qg`z;`VSeZqLbVj8BK z9BRRx!~fbRGJRN3;ITx5!K&{ecyl&e&o3z<>$jiyy$23f3 zm;K~Z5sq~&UK6gS#AYsI9ZZ(@#68K*?pr!9%s}@!S7x{)IZ`P{9x0KQ9A^x>SQv5C zZVfgPW+Rsy;Hb;{n*6pnP`QpC6B}#NKF6=- zk7=|VrsdVe4W9qwH*JtzRs*C(t_zaAxiBxG3F;Rqt+a6=Nf!&9}*S0rJ{Os5l+P$(t=s*b}@ zbLLdi|HIXHhBeVeTZ5=kQDOsB1XQFbO+Z2EsPtxerAP-6>C%D$La|V!iBv(5UZfX+ z5Sr42P^3r?y+abDBtQhdGeN!ge*b(ja~x*ooPE|_d#yc4xej5$xy=M-@3K*s&@{&) zq@1Sa>Pt3r!yl7>*8~vA7HRY^jMT~f5_*O`R=Rj-<-4=FEzK8l*ZfK8KXfcU9W#AU z!iN1)8ApCUcnefMb^%732AJeoFdi=ss>G);VcIs@N3Piu-+&kb3XM@LO9iM|hB~_` z#(${fGrMepRQIN(;k79W8&PnXC=4c4)WywZwWbW@*F#suhFAA31L4jjGlhQHFaS5% z&oMUIT;=Dzj{Uc=!@|)W3V+={;HdZ?hb|-#Om{R|r%Pfg2H7BQ$yy=Gh@xZy41>0Pah8+@ z|FT&;8>Djs2c3ZYcOBB=CDDrD- zz-0f2?i+$M&@7q?I`+eC2E?H=mt2FK+vsblO!eNL8pA$wAUrSbBIZijXcK7+-#=;w zDccy}t1pG(`lW`ze*xcmV`jK)OeSvmWUan@g9Nbc!YJ8a9K;+bQ_EYUbAtXJkI>5t zOR!pMcmfp8!`TBjUW02wiQ0H9^EG>;+S$T2f+o20O>pP$L*;sY!jFDnegSfgui_@7 zldU-=efyldQJ35w3vAa)n_`GJUAxNr-Fu|=jlH2~p=z{>38#MjUr%c9VM<7I0}!BV zrdqVEf1(_@J=gavR9Uk^k*1P$@ddQk%_URrN+KG>`Iuc3Al{2=__!}~udW9v7d&oa zcrRs%p8BU#UjqSVbq3u0xhL!#fUB(CE5$>sWZZ}a-7X%M{4rFvX8`V9MxhN&^E6qg zG@jqNCa6=xROqh1x^E`Tb25fma$q|gDVOMEdgas8ZqEG_!^Er!b3YP_L~E6AZ(Siq zRnI^MCS33DxOjt69fA84`wZ|8lH`T+I^ASE`DNAaF$BG>^-kUd);R)jbffgX@dlcI>T#sl@!^|pOu-$DKuh?Ru$hFg<23)}qvf1` z`~@;3ZfEGN7EE0i`oPZwq7*fkGLa5CKsm<}6-dbkTCctI;}TbDnPm5-eqwe4 zf2quXicCT4d~oF_M?LpNWeV-Yo-b4{8PE$eh4-fwsD^nSz%T-LqbO$ZSjF6csVx^C z)^dECC)$2q`V0Gokt68}P^ds{YzIH#6D`T<|!2p=!w4+W@& zdMjVpV^)*cGw)3G3gvkw4!RQ|W{3)Vz|YT=P0ONMeu8NLqNDsRYndO{VPmzvmmTj^ zY|-vj<7MVxq?68vU(F~b-aunQd7cDNj^tH&YxX2ykABGW>7xY5BaK7uIu~!oo7`bU z`#3)Fh#yOXybw#UgFm4%_9pI-`e-xI76qWkTek%44UGz8o2b4KThG}7%9hdhG|2|) zmJ2k*GK;X89>Aur{eU~8*u`t$B!O2{{<8~Savvaq-gT)(00{oAPaAT&dnOzdH-ugB zLi&I3n;RK;Ip(w2f9&Kt?9Oh{PRP|bljywgJMuCGe7g3I$+u2EJJw2Bc=d(7-)yvf zmhYxfWnYe&_>1{(To8BnEdJ;Vo0v;tY7q0eNY#{V%jV($W?scGPBu%N)xOz|=tj$_ zy0UhE1)^hWp|2UJnF<-EE-|e9uLxJAc3o@cg>+g`@i}(jX$%Wshc@qCf!E=^xZFOU+_o# zK@8UhL7e|DOk&~x+yWHmV@~D=A;EqyM675^wpo4I36NJeg&@5L-4lxA#$(~9z6W_H7Bhww|l$H;W5opkz? z#`?A{G3L1BoNFT_%QWTtPC43hngr-1_`yZphYeWilUcLhdKNH6>Fy$ehW+1xaNSOP z!Q=@WhWN@yf0%J{anGP{6np^91-3w`GdA-aqbs08#ZtPxxfB%RNVh7X9gwA@B&CU9 zRsl*H-#|$t@e`yQ@JL*f2|;R!bj zl&866dw-wS9hsKN1^3024Vqsnz`6vf6vhJRFvO?c z`olwz;gH%}LD5W({?Y6KUPJd7=8|hSJ-HXo(8o}hh9pzTJwPh(KLKDANxMo_{jTdI z0%I2Vb+J!i>x;EvZ6%5}vgrYOp)&E!3KZx;ev$`H24J)mQm-|*)=3uSL<{9wqxcs^ zO&`Fo(>uxexXZ(a&HZ4X*WtQ8jCI#?(%flClgw>L}}r)JBbWx_F*FvSyc z_oaOsUIVr4TM(=KVO*s9_w6|6J|mMJhDPazolze>n0dofU5d>Y`6rglr6QBqSRM&f ze*ubKYEBDDfpjszZGA=bR<~4uLhH>{W&NfUTG}$OghW`j07>SdbnqT$J0t52SzKZ^D?*POOoQ2#AXiy5MUhxS_ z2Q(&=eH`TY~y%j(v14lAvY>HOobAW;KblkXPO)`W(k-~F7*Ocs31Go>Zf&%_tK<; zU|%lqOuV*;2c%a~xR;(?7KTcIo%XK5Id_$}oBe;GC6Rs3R_5VjF^k82zpe9o*(CC_ zyCwWT<|pK^1~3cSJONbWz$o6V7!*|HLt;Vw5&umzk^=JkTMK}9s+ciyFC@(wtfdeS ze6g@roS1f|io9~IcVLJbv3G&4Eq^mWp@xF#spxueoA-zy=Aw8BLH zgoTp^w((8iLJeY;e@*eYbNAZ-peSn>DUnh*v0V_N>-ZCK*)U{J08tDqw75;zcY(u_ z|DN3(Y(ex1d-9IvGbzdHJZ7y}XZEv3*3)%U(WAY|*s?JySqn7!>$O{Sz+p+~BK?`> z0D^ykLGeejx;I_5hVuPIZ)p2cK1D)CjQ+*Yed>&V7Q84~iU`xo^7{2xPh=YZ+(k00 z@%Ezm-9>ZZFLTQYSL4eHd^wUy6;TYGt-z;I0NGj=4~Vkq`F`j35Um863lQo~SL<=} zbplP1V0f0i9~me~Fi06R7@_xy>;qatsFxTTI~mV=9D9$ourC*PV3w5xP7Q8k!<~c! zkoRj5L2EV3xc&4OZA+Mobb(tg$W-ScNY?bnPW4AZJ5=>FDF%4DB_7xnuhN#XxR1xC z{}!=Nr4quxBT2_n^qrIa=3k#mU`zp_PSlcJy4%gS_U*$bHegS?`qsh!_Qwo8FMsAg z4PAOg_A>zE!JHA;qOz&B6QS|yYYO0QI*w;jRBqkUFrHnLm6@WfT{aV6eX!@2rw(en z#B4$N2c3Q3B_*agqKE{kIkqREvbLQQ#WC;J)PQZvx4|V&n-Y-bSeNklhtyC^9uUdf z)#;9cxDQo{fFMhwTMHAAP^4%)pYlsL<+N58+&y5ir#$Z>UW$#XdzWi+_QR`BA!6@< z>%pkH_iIc!nTAkvgr0V{uCx)lo%_6u-cg0QYIv6{5->(9xTJ zXDubhgRAZElM(6HwPsmIF(0CUtt>5IxC`pyvS${M(cwOCU5)E|HM(e?80cBcF0O4P zfFW}79qy^dXwGi5LKOH7?jIF1MpwnH-?|YxpJfq{Bdu(>SSmv)F%-{CbLv>J z68^3(5 zUfz>CqdiUHPg`$QONKtPpL~je#-(-qAY}rg`UbABTXFs8a3vcxz*7g!@Hx)or2p+) zPIWh?m$0MHLQc;gpD|sPFer`-6ID{bClP|L=!HQIuh<~d&EkM5gGB6i*2+e^y&$lT zk`O!k6(CcKz%Ns*bs z!y&dG%gcBS6|Ni3L^|w6T;hsPo9@&Ef#Gi?tm(J!U!!^y$-g$+Vb6BYQ))-<%%KvA zlyeL=|LvI+_mUongCPCp+o>V5L_MVz_Q)=W+hIB~OR&eq7l3(MU&H1q&^SO&Ym2bB zLQuH+<5L7tU7Y_&ciE{Q$~BbhxZME?sZHx#CeC%f`aV@84BGD8g*~2gW%q-OBui}$ zE-&{;zE!CZUYuEO0R~6dRG@u@)ybr$UI9nmUaWpReHbID7pG z5^AbI`fTy~z65#DZ=-f09QZa37vJRSIv=#f&lO_gFEUI2!H2-@TxhKJC2l@%8=Y`M z0pE&PWpj|y3qt08>hEnMARzRLc#3HT{R60No7T3+Y$_NXccUj$Z=LMCGWXl=`iG3^ zlG*1eT=%R6ibHu6iy;pl)UfNMl(XGjL1DnK|E&zrQh{n+y&-m2w)t5|rDM@~PxVJJjV-?4FWvuv zgsUWPz1^K|NvN>MmJGS+*;wMf2YYY@{yYrCbY{Q5!L+0rmPSgxn6bxRQUNzLc{Cx( zGNJXA*O{onNW21zHK@a4;s*#m5}$y?UP%Uc{c2*Ea}GvW$dy<(eZD-12F=FqmFUKR z<_3|Qy56M-XW-m>kCh%<2#T@)2x=a9odRMjBSs8ASa>x6;fq`LNpAaA>9BT@ClELJ zB3deH@GPPZ2Bcw=pT)j>--G55;^SygQ&s+ca1ntikV^ao`eq1vfjU)MdMgF+w%{! zkP+(=MR!S-ww#(rB1k62(4~`@;YI`WU!sdNoT1$#(@01oGKRpSw7CN)yxMd5Uer)OM zTg4O?A}nVw7kW`sq}${&<7qrg=NIYaEuU%O_AM?qH5|uSftmlX&;i2+E|b<~u_8iHe-X8~zj$^3usuPYq^i?WO!c1E-K+S*WaknjEGdau_s?iln!8 z%6B!BTX-rq^W|Khxk0|%Fbs178euZRsQEMy7gJi^ZgPe23g-`zf%MDD3?pb8~G__6NtbTHHr(xJJlJ@YUJ zx=ra5Vxr_rTP`Kpz|pE`R&4^cLg+m_?qkrc5T#exRR32W2i*RigRG#~lu+t=bFKn^ z)cLwLoxE8*=!ODag_WWd(AyvviklaD7f7iBP>CA$jhg6yaoVkaWIS=P6ns{#*M9j- z{$kZwu$wWYj9!ebX(EWIU0|M#+YlEET`xv80fX)+{UBTU&S5D$C7*VJeq;sJouyQ_DtYKBSg+P22+PU@{% zjEtNCDnYS?nlOEOM6B@ew*68+5HHv*+lHOEG~3`_H6a-)m5HKW4K%_p|%{+U#HTz0VMRW;Ojj zX;pVGgB$wCqyRp5GF_2;@0k(%s`OOG32vL=NCv=B-XT84sQ?5G)7UcY%rr zl$GunhXxED8(jJ7T+;;IQ9qp-zc(8X)p=8A4 z1Rk{%TRbKoDtDTA!0J(Y^?uWUb1Rh-mIlXg9MAtEzr6~mbesmBYwvUj_E~#aB;n6q zW~;(7P+1QG<4S+mU4cefBa89kIR=o5HjrPQ1N{eZcfCgl3g<*^NsRd$Syt%dA}I zzGR3u!C2y&_M+`0z~x*>Uom0`PB<`^hd<-8DdUG8`LPEkbdUwwJqI0&_yrVMfl&V+H*H8!X94- zipuxQQ?bx%G2leuBS9~t&R2AkkZ(G!*bFmo^bwehyM;Z>K)@T|9^>29D9 zzPKA&>Z;s#Ui*Ur#r^b+m%CvueJ_gQSBY$=Xb&NC+g(4}{m1mU(x>)YQQmV?U5(}~ zpUS7Z1Mnx!kw?)VkP&y{rvfxiEN<+?CsTwQYzJIiz@Zx+QV{5xO@LuPOdKFZ$@*v-CIl zpN(D%=@(bCV~xNpUe1>(z)e?I+Q*dPZi|gpM!I`blsd0t!bdI+H%Wfwov&9JSmMG* zAEnbGvKyv85A9s+p}g6wkF92JrGKz)A?H>$`R;Te=r{MCQn%(4_TZL>aV{OUNSeND zB;*7yUJbqGqr;6{_sOl13EW+*ym0Ya^&tP6UDL%YH(r5C$+AzJMkma7X`ZcrO4_P~ zZl4ym&f`L_GTZtPU_5x)$5?IBws&6Gz|lq;@kp{{DF-1TCB6>l6orJvm5j2>6zl{Q zOHbajC=w0rkM*j0wY`glwc2$pGPA#th-)alwe%g z4;Md>Gf(+iW{exXJdD`MUQ?kMBzTtE8?5B19-n{XMA~LrqDYVZgp~@^Xsf#|VHa2= zFwODj72k+A>%o^C(fQQ}78A`=XEEH-JNeixY+)LKf;!99Wu8Mo*zzvV8^yd4CKh0lVo7`DlsBTZGbTHLzL^-BO zjV$@(3NRO1g^IsXj2G=)N1Y8+Fiiuu1&*AnHgwtra%KNV?u!J)y6}Qr$A2;Ya?XYW9W?J;r3#{3 zB1d0VSF&=5qKEIIlQfpM=!OgkyzDwB>FSpb8dS1suUGwk;5+ZP9;oLkLnjM*(lVKU zVOg8TpDEN9L2IYrFn|$$SPLdzE@N5H>U9;0ZeoGmkjhp4q5Up7jJyD7MmZ4VEoJCW z7rsvmaQu*erS~wK%b-plnLURQgG=1zyQfoDwOgSk(>|&9BKm6`rn-x$rOp|ZYoOZzZ_NP%ObwP+ zPv5SSY|?kw(A_u=iyDAOfOk$H7BvM{@>i(UByE4<$6e9%5H{6K$0h0wY}Yo9)IEs| z96qB6nq_8q-$2PM`&5vMFH{W`5{~ygn;p!c$l^>=o3r6dy}I+bkP~|P0;mhUhezCu z`-mMAy9;!W_G!f7Qma@O8RUWy>7Hvo6?IDCil2u2@hK`qM39c9MN1kSOt!r#;81hn z%kF3W;SFo&U(623*rKbSI4+a+zxgPVP#jZ9suhh4l;pq7?FCwRZjQ`N(@&Ttn2`raXKf9uug$t@Gl>8a9^3EDeQE)ecUYi_D9fuqRtMUsMr;2Md zz*=h9et8jHCftiv(l$!H;t8)5`1mGDKQ7QBDR7is+t3qiiRK#r6*e*ZUivc!*!0|t z{jez4n#5>ZR|{*399s>{bN8oXNM7=$(h!Lj`{-OD4|qc;6CP7RtU=2eBk<(z*93zn zhjx{W30lnWy0IDmHOhmfz_8paPPrMmF`mzb1k7uW_2E>%(X&T&KKy!+*PeBUI?M%S zG%6u5WY^_sQ6MmSFrvrIN^DZ4XI>9E)oh;WZ~g)CD#6p@nlV=ADS1qaV8H#N$I{GT zRB!^W^zBTu2Dxvp$n4P6HSK5}8f|V)^7O-)N$fy}i>YqAq~77JL81MkT18*(3#^tl zh=X@%Q?VWn$}x+EK`_kPd!36^tQR-<3=XX z@t=mU`slt}$FJVc*gc2$xvhBf(&P6w@y$22dFmhVx}#)YO#AQ;Oz83AzbbQRC0~0e zFe%g|-W&&Caz+!Y51{NY%9O7o&+lH(H{IfIeB0k7*_^#yU!(>u^t_8sLLgk?I-)c0 z@0BRNSpFj|s`YLTOz@g_QH_SLd1))(oYnLX=F^7wMX9X*Vle5n@?h53qM zR|hO-R>8or3b*RP`G-|Q$xpU!d^{E_ZSauo`Z4gj%DNKrMNL<)qX#By!I-^?cn1Fc zfIWJMXH>px=TaWFF61ei4W0K`Sy^Krob_|%qEFR-;MPiNeuFFMH~tC*^ay)D!OWw)lYuZrrkiT{U8198k!?3vdldeiusOzz9?vMH-c8Y?q&5(Wg!$3Fk= zd_*|u{C={2Zzx@a6@qzY%C48MCA|FtH8u%$$Q>_qoO$VpWa9uabM=r7Sk6S$n9AYi z1HK+-awle%^o8mzCq?&Ch8W!(@mANERKc(#=2S<<)NoveX5!wuSRd$ zn}Rnd(^OGy)gOa+}gLI-c)oBb6HEac(al_y2 zZ~t3mn`(|*`6`zQH44lulliRN3pF|T#XdC2Z+)Gm?!_!5N9Te6wq zmFu+v(G)w+=Q50CENdhmEO8$Pf9{~c%@DFgeM@-Y+=;-VLG{5QA=9+@E78)&7x#y5 z*@77&pHR27*%mQk(Yop~CB||K=~+za_L>sI$OLdlnJ0YVs_F<(v~fgBnz1eJ2kndc z$hHY}**2Jbj+zhud3+Sg@RT(3%;l&VFpyq})BS(|zYqAd4NR>BA#+YuE`GN!UEiMY zaA;6FM0u7fwYgUQmi<3ypzSOI^s(vw?l3 zaV11r!+}tLe)P$^+s{es>Dw{P$oJN~rnEi__`$8Sht_p%F?|cNH&2Y~| z<>cVNZSNmn2%fd88YHgjn3Gr5=o0czN)9Lm=tP~Jja<8dkSQo^p5~g_KI*daxiJ82 zDr7ovwYC0j@7eezwXZQs<2aH>;Li^%Rpd*K_F|p1=i~b zOp4nRbW#R`T8Rwo7l`M$nef%UB%d3et3E&5U|L>VG|0{Rit62O5T32ssI|Q>is`O{ zeZM0C%ZVz3=WNEozXQj)yEMvMlI^BAYK$4P+bl(Q*81ph4Tsb=VQhQK^~?l?<%{qB z;J6LX-21L)OqW|gO$>@hf^hkq)V$PTAs*L06p$KHbKIeY)SxrQF}B+1BgZ>>*1%uCm5KWMvj{>JQ&-B?-r6c6?xSWf*yItc0^F7Nx;`ey(E$-!eiq(0b0%36X(CF0J{rd0x| zoWUe@Uj+Z%310LOo@nGzFje;;;DE$?+R)+G+lvQk_EkPH#|lPbkNI~hZGS!X<;9g3 zxd5dx^3@!`_k}=!!fo+V4|2C5!twdNO*|@mpv^gL`{eTbFKRU*!)kY`EV`xik0Tx5 zT{69|g_WZ;OwtVnHp{Cmq_o>f+&cl10taHwcdKg?qF3=k-0tL_{Vqp`Q46V48j%^y z7eI2#2Bx^HUrEzP$%29DWFtw?%H|_jwfL%Hij)i3?G^06m`C579+j-3K_0WN zX2~`m%w1gcB=Q+^l9;B21+<}!xCDe{X6ff%W{caLjm0#0+KX;v;%aWNWT2>$iF)(11byBUh5tA^Ccex#OGnql7=;LU8h7o2}S0_#vM&y1i^&=G}G)+w(gH+J<;2 zC5S7rXHm3S;1cU3@4>nosG2jUzDxO5zG&2#dr#V|eL6b(_<4K2+Jg`0T&8I@ z024yvW(HabS!NgW!zJgKA>ia-9qv4Q_?D-V#ivwene$zo!MFBZ^S-U`Sfi=|r2`<_ zIbskkcyxcxYqPZBmVP3DX&`&40M6bR)#p-Q;?}0a*Ct?h>;m5p1Pcx1Vn#W+H626c z`C6<%as137ox?TRh)KRjIpKSgA9hRA+_;-Si=NuKjFNK5ly)|n{_@20ro&Klt7J!n_h&v%y1cImbZFD zab(*QymNpyph1P?qv#4j*1xH7B;0u|Al3EWyMDHlM_!AEdPsSAQ68LU!l~KG0_}3VYoE*Ay+b0vZ!BoGOc0&)4v?FQCsUI*HY%d3HXYcu01Uy;h zXJ4vOm_@78tQbRM@k%98+Jk)j(giU@ORt&Xzrksw`t)L`^9%ZQ)K>D zioXl0reADfqN&^~ZLJ^K^1IcQ<-Oi*(KfkeX}?d5+9T_=hF6*0)e6T73eN zs71JqE1F0Oemn$j0b}MmU?v~jsaEq<@{^~QJ_OSuz!z{lU6*Q0O&ve5-}t+W1g=lK zdHC?uVtOLVm@On=#A*E74b^)(V(W!c5DjuQ+8QhDC0y%Mr7u7#-hp$ zC3}GY3f@{L)Je(5u1!`Ap?V%7ZK;>(I(W0d@w~4i(n1PchDwbDuKV!*+Sg;0GHW=i zE3q`(6@yPaW6xuFU@=z)wCr!Omn*AMI9>2_TFbzF&_ydJ7+T5GWwI0dKHyaRT-e|e z+a|Iw^kaNfYVQMfME&Wd01rtd^L|7u%XcrXO zzo)QEzdNBXM$H{vB@}W>M5eo7AO_}kd+CpAl8zpf)(mz($m8tJNR*jd$oE(ekBEC; zem+UHyuDS^S!-b9#*v>D-gJhgOUXs94NpS2-O87uqcx`1GGu5@S5$>3pN`Ys88lFC z4JE$Jn-+gqbdvSi@2_k$M}BU-r1K0tswUblz{VJUxb4#5+D@{?_kIS2bXeCFp z4e=qXH*1IO$&D?1uN++to?NIux?kKDAjSJ@x80di7X?4q^|x=RWWkpXy>4OQj+&nM zU}q|!ur%#BPgmHkDuTNU&V?s4R{&)o_1vC(X<;0XeJLH*@t2xdAs|e&!{gXL*nLj{ zCIIKvFnCb)RH|Ns{|9Gsrzd%={^avT0kVRM@O7lO$uxjJpFt3qn@HLAZxaxE2n7Ku zx)YdW)Dr5k`_ZOI!M!>q)?EL2>!RvnF6Kty%44a$B)f#Y&{65FBk~C6zd4pUqb_{i zq&L->zDZefQH|jCgC*kbIO)z<3w0Y@(ffg9mp|N(opwwEgZ5|;=AY-!j)9eN<2?7T z91*lYH@vvv`5}E|pE&h0WQX_OZFN&m6=dPS8@C-YiLV*h*3=3n?6e?yWc_fpOT6e| z{#Mrvdx?MnZSP1ZvGGt0UfZGB&xfGDsO@?Z(4B2CM5$L%IL|knT~eK$F)31?z|>_& zxctG*=$7`@v@WG@=rf$k`1Kvr<5p!o8zsfEO6Si|6*` z&=Tlpj3r*qfj9 zj)T72W09v8x2o|l$3etw9Zaj5f&Je07@ZhugT}5A{5@7=agVXu8OyzMfxv6kRr*2} zy|vtau{5cz;lbvk){&l?c6~1=j+t32u0RM?m^HN4+h1fd?Pv%K*~Y)|;%0YD_y^rhx0M zG8#kladh1~dNaK9_Ye5!#F^{9l83oZ74k6;2_6FH^9d{65fBM#691ar7XT3I_vf8O zPxLL)VL$rXpN|JvtF#2_GRZ0{9MqIfp>oPtn=|jHQ5IRxZ4B>BzkEOH7T;nGw{b!f zh4mxQ_=6}sA7euK%#O7P<^#^$ZRPB=0Yq~mj84{gyy0W?jr>S{D#kHG6pz%Nfv(pt zaqcLUZau-LTc-264PH`rBLB%&T4cGf>*Ojg&O1cq4{eR za+vc~!hm|kkl1!_lkW@Nmzmj~A5Uo;RXj|1!s8FE}F3`F=mWHmrxb96Em+)?GhBFD80!eKCTf-oo1{IQ`{N00 zDZdj3n@PdjC^}-FM#!lMf0&NPANtEDI{#{xt$!LFcSQ_vU|z-;Eb0cE>}8?iH~=91 zV5}8T{UOBu_j0XV3wDo_)&6w!ZqD%MWPO4Nn3Q`3f@^HDXL?`H9aV9E67T`bAg$Gq zW}X**JaU3D*m>x_FF~UjgFx%(Uyg`X;CU}1 z)GPHW8frA?fJk8d9J;tq6r-=e^Va1lD?KZe#0MXqUd|g(AGC)zcOF|D-RyO; z%I`RBGLOdE_+P+?C=3;2twBCBi>;qTY+ zOL!$}exdD2KgdjtBo&QpAho6zUWWwBxsC0_o*AX0u_J843fkL8$Sp->97y430sX^( zAg+=L)d?tTvb}WbZ4u4_C@05lqA6+o_tBD zCyu=w1?V)nqOn9%fNH3z=Yrm~twE4IwU@(mVyhk4icw zbA9bck8f-41LQSmTtH8HVj4e1WmSw6vfiW703R6a=JN+I;<|WLgr6P3`-YY}cNkc= zFzM`S*U+Q$*5zyk4o5}VW35WtkgtcXy4VFOe)(ycHg8(wS-FxP)T;I zv@BBr@`L`;S5}AxY;o9F62t7ifv*iUTjdEWKB&OIO2u+0%}BrSW{8*@mRh-t#QWG@ zWhNbtCtvLGuF@ySgIdpC*c{!WvC6=yP?Fk3rCvUsrmsj%=Or8&0!ct<-#HMqe8?W+}V35IT zA_Y+++ur9xa&H=Cul4%@K4G(mb2>^HCytHvW$6Bng#%SNNE>X~FVO!fac8sfNEFmT zK8g`6_`oF?6f3y-AM#Nadn55tZ-H}+f?*Ztqy*koDR|^I)?CaMkN#D;$LWN}AIEU` zBwPVcua0bg+*@lcCMZVBoGl-OW-3(Oo=#W1`Ff$#^0JlK6obMVgUeNW>4NU*>Q&kR zHegmaq};P0D+!&6y>=(z{H49EhjPKP_M6uQZ4@tW}xwtI#|YH{279QaZ7q*Uhcf9=1#SE$u(qq_oa7vJ*E9rx+YKOu4(ua8UV)2KO; z0}A%DA*G+!LS$JAqp?5dz9ebf&2NL~5Ek_HU{H8w-5bEaKDjlwmR;LocHN+C$M3Jy0(vuWC40a;bWg2RD*xL) zr3(c1E8d5^4c}=leaV>}Km3V~^X#zSC)x{8hcXtCny?Yw#eJp6!iQph3GBUD zP@6!+MU>(WTPpm1B^k|rZ1Mfi5QNBNyhoFb1aqa3=28q;2GWNP^*63u;;g~Byv*3T z1&I8sDPPY>r1N%-S{;LTY_R!{)MJ zp+MJy`qT-8JyKrSXV;?4uODA%eI}bwi9Hdx(tq~Y<=_-{$s^d^s7~2TkrY~F53%*x zav*Nkv?Ej5m=ihZ6-@B#RO}T+QIzzx7?2M_M+9nk_Zu1jL|2mC^^+C=9=r5mkR*ad zEkHI{8|*3tGC-rXurD_Dp-;D58sjjHc8Zrvc)%1Kw2f2RS%KN`bCod-RsmW5o+t*W zbX0kZcCX-JTTfSNr)<~VkA8IHbO|*JhcRmd;uc#}ZmmMO_>B%g$C0X_KSaAx8-3R^ zki&W=5(V7ud*9P#%XTAN~a8ss&%V3uVU?*kUid=IBu6Ee- zq2E$Gk5r&nx1dpeRyJQG6VF!8HrMQVdd6{4n-NTox zA8@G{ukP^tz8>TU&r?FejVmr}%Y=?mpPvw!6&Q-A(`vu`P@pLkaQlhfjTP0vZQiA+ zdF#t^-&~c_!&wIT3l?q*nW@j4p7`yj$(IJU9k7oP-z0g1H^$Ij1Gt7<7y>=)>Z*1b z_V8th(;-k0?h#wu5`aoG+}3)6XmWUs9i*ARngofp8KHP4=zQ&`sMnevS`J}9I98i?%!8B%;E7R&dDEa>pdM1)jsLto)ae0-ggym@hao|hf<6e zIr>>TZy4CL3Zq;)Hn8tn@6cwOKNSUu#9ALM@5OW;a_nzkz_|0m5R1T6_%0u$Mxhtq z2`mm44?Eq*oYlS^f*y+hnlp5W*4iBCBRdYV_d9>k|EPZJFF`CPbFb@t_xMSSu4Sl1 z)e~%Qdlb2T<6Yu$)vhh4J;-10a(Zx5gB?&Eh7l|ar}xx2wl)>+$ISrJDDPIC2pqaa z90Vnq^6)w^D46;%<=lto>Eg1R+k%>z|6WX4U-)c*VX$l7wX5q0wFJu#9?2Q#2YOYE}`vix8r#_DciAQqBwUxrg5y~p;ZJrokBf3XG#^UtFCKrgs zlKdl&mPKHf$_h1B8tEkT37GAD0gMpcjk#^T!T8`w`6J9(RmY7RfSN~|VuumY9Ix;` z01{6mbpC_HGrm|S9iA%6s(U?ge1B@qC!CYc@TUz9zlK<_d=sp1&*MHR0+_MM?@!f4 ztZO>z7_;`_*%TQ9F&^ked#faozIFj_3ogg1pN7o$oh(0QpK|{Gqh%gzun+Dn_juPzfok-13!| zr||7=Lc?6ps4LxTb*!h(#uBq-3epm&5Z|-&x@o?hBT_@+FJTyt{JM;ZP9;}$miTR! zYsco_)TC2^8^ZQE3v$RJ1 z#^=8hz>si&e5oAx@AFFarGNwf^!r~n;jZxBUL{#$_lEcohVR1>6s}YWA%kA!I!(Iy z`wN$#4CwXIV*f?}OLIamy_rAu{&P@NeF15k$}BFQ-2kn9qpPs412t{yu}{U?-G)oN zx|?~a`FpsiY(3MHfY-QKImf;~s>fFpU-bulA$-=HD$KhyCGo9VdFsJj0Y?r_%m$U7 z>UysYecTGrV&Ptr`?PK&AJ`c|Le4!wpk5|LY)48)KB5mXQOHtsnv`zjEA}{r~WOKqh zcEqV=+jlSFsl}{m^dZt0`f#l<6&X}w39J-Az^Wl3GbYzgL*^zp*q{kH7>E-M3{q{p^Ar|4F%66jVxgUWcs>-HaK zL8n`YF?onypj)l*{N;n>jE-ZImSuCP0DLDpA62`gwZ+UH^S)MZJsZw`FJXUXpiUG> zu_L{H`B3tso7=#TY|bry@ZV3#HCs&O@SI{+*P2#DR5jp;$+InBM&YDox3;GB67iCy zKxG&1NzbsfB_@3Vl|3Y-$=<&$JoI7fXH%Ih62C^vPbs@lYF0mkotlAuSzV*;BZmu( zlW4nc1Rd67e-|_}LzkN%eZeB0!Dc{O)~(E}o+~=eS?$FZjmwe#O?wYn$NUQ1f zCVQgiqo&sx@b3eqbb4j*ckL$7S$p*p(ArjiRM52Bp!?GSpcv#>uY+xYr-I4>pL&uh zm4jwmxTT3m)|Gjql4(myPusgnZ(strb5P$j4J1!)~r zE7^14O0xZ7Q6IA|p*Y=7P^J}c`_}K9V_p#uu~S$vW1UhCdhIWN%S795JlNEG3x>+-g+AoaLUT4)aX&hqh=b{B)?!z`_48NCmMT z&X-45Vi`eV zOf9(pMsqe9WbPfW)|Z6t5NkBL;TFwqB%PENmd(B7)+NCokDC%E-~S)H_^v>xJ8U=3 zSxLN#L^|<69m~4W;zY_O+MZvAs2y-AXC-G`DF1~>J;1hH8M@PBX{6?eG9sMi?_t11 zGAL)vj_kYr*>cV=#zU;C%%OIXy0Bj{1mw{%%@<3=c5O16PiF4&d6<;%*t~fnCA@B?AEP!xTAJz02%lpE?71+h5Ig{7dv9=~%@2h-7 zdd$M-JBF=ab^06ZHR**gjO2)B{&y*&#~52N2A4WZqLu0H))U%39ypV}&37D$1ZbtW znp+J23w(?YttMdFc^*n(spXF7wsPw#3;XZ$`znYY*V%k4n;B{KefPf^<{b%{ng%_= z^=G`$^F^zj`)v^D4^S8mh9Sy_UC}m|H=+mB-DXpMU7ISC|GBo6=2civuYu4su+iFCl;iM=u%40J#>EU8kY4WBlF z=xvLfhkMG+GHK&mLA&C3GfI5&XB8XApZOwX85tiQusIU#a-gzzsFbHMRv7I+@6dBa za-pQqdRBjj?5cK7E1#M0*qc;4UaY05F0vpcl`DS*eaZppc6Ox;`ZxiYelXrp9dxoL z`u4c=+dk#J(wA$~U508~*0RCk;o5i~P8WFhAaSlBO%B-(NC04Z#ryDVpUhIIy*0eN>8;6xVq z(sMMi{awiyyfk@dX4&&B<+hcW6aFZ%BhpW&ix%3%@DyoSZ~MR;gf#?pb59L!Og=Z8?S)zf3jdzN1!d&xj3 zriU-lfau!(yzhFSCk@{Ql3|l>$oGS;n(`Fm`+Y1wKcFOkY`l`9VY2ajQLE}Y5aV^8 zF;Q#n0Xz0MwYk+Wn@kS_XW}dM|%G+y# z@#Zf={*fc&w$W=1h52)=NdS@OPwb(I8qJJNP8r9;?#^G4=hzTLl=N( zeUGyO1j{T3N`d^bJ#A1r^lT71@Kbu_y^`yyokHN7UH~nO(A*#4*gS0k+ulj(0DoEO zv3#QP!9FBc9<0ztUO!9K7OqsFbU{ZLy&?Q?70?cMr~*6GqHOrx{Y^H2$4fL&e0&M` z&tBLkSh}9+4M8dXDkCVpkMqodf{8!qID2n5ag<<|^&I}>vBYX%$vC+Dgf2LJMwlKZ z_lMBU^%19b4s#*Nh^N@T&p(`nIN#J!p876*BLKQMoz5Zxfl9zN`KyJ100~Ouzrc4m zmjY!&o%U~Ds$Kh??(OX^tLm8i(TK0@Toc}*SO1A+8X!jz1KpQ+)ft6C^J9f#RbOEK zRMK3n?K-96f#ZqX{))~*Yq)Dya70NvV-(MGJ+Z7{1`Me~8(8&dGzp-4FegS_55o3(Xo4?S&Cnd>qcH@`$NG#u;WwZG?zf(jvQ=dHzF^4 z&0_#Auf4?h3!U`?)5b%u>Vj=nY@IaznSkD*I062g)m3C`d~7jZZDWb6d7__ohS=Lq z(|KUDI-%=H$N7!di8NGQ4(Wswz1nqU_F|qcgmwbFLaN-yVFASF^nqiUXhK6`L02xJ z6Dhb11AYOa5tKY5|B@qxKN&R|etrAh%^A=IM;jOEnS6iJE6RN>hjf%-KhAfAUq_C6 zSP-^@IM()mzjFdEQ(2hpdYMaJyOVA~i@cIS5KB#I&|%>7U4Bp%0V8)XE=^lq1_W`3 zT#ZTc_PE_6S3{E-R|PUWK^{KZhUzXRT$R;*Gugm`lorFdL5fQl6oTb5iI5ue1U

%)WqKL<5C9&j)1)9gver(=f;r3g8Ml1xM_A5z_A}w}YBw@no<2S|V*)2+ zyRw$to&WDltP{xQ!jk_3J*DXNlTfGoR8Y^u^=d0#7@!SVZ~Qj8r&t}kI0DHG6cn%(ao~(`BRYqg8A#QA3Z$OmRaSfdk|q<#g(RE-ZdBF?vC7{#T{@#pJ;|_C2s&HoAum%;{Qxa3_Qr*N ziQm;sfhxxI{ptIMXe#P;iuUb>o0Y@Y*U4RX;%aG?Ya)_6dj%xNb2Fq;%c$G`x?UPH z_@KK}{ko@oYC;CH_;UW)U__OYpByLaK0E0wI2+13r!M-Y14O{-9~C!`x_pzU=2>&= z&++3?mDa9st)LY3TEjaxqc2CrJrdq`ywDKM6ykqyS~yAAY)^i?ch2jT3fMEo6l15% zzu@bD_Pv_R^PbN|eQwPr{QE0am-g#W>@@{aPm|_yOOD;fIRr7#yz#~n=1x%2{!6h? zy;Ns5DI8OUQu7%N#BNq}ON1{RQQQ}6RkftA>kaVGu&q@0-*;gU)NuSpCY4C9KmvwO z_@gJN7AL@PSa#mJk7F~jkv^j}UQ10@_Lhj>Pv=FZ{XAuXzHdXZ*jK!(Zi4%o>-9?V z3Y}O;jd0kIPvQ^JPOri}iyqV85{>cdVPO$QuMM87*Ui`~On708U<2j>p!JY)uNsyB zCQP1dWW_6bOB=aB?Z;WqWJAqwFz>w%ln1vupQd~cZ~F_YWuBOrwn7%0?yIGq%J5!V zehbSyr+p{5&I&En37Txrw4K$?2!)?I1In>h$7TMag?vI*0`I_iJC*TtY1!z7!-j`i z?$VywP6!#!@BJ85s1y)9oUA39dFgLbVqtm;-?w+!DjN}@{L=R0*Ar~vy&4+jr+b_} z(q(TT&my{Q26utv96D&fK0wH@bJmHj3E+5Sw@kXRVsj5XZPg5y2=SdU^>2a!PkbL3 z!5sP{?CQDU{{hM~Gz8N6iuJD4Qss0^CdPcldD+j_7|MQ@rd@ei202y9+>qQgIh_`^ z1oZO7UDg8*d)EX5guRH=+(MdTyyTtlfQ0aK80Y>=jc&Fw@`{#K^Y^T08Cx*{684Vk zxvcy~&6+a;rKazn5Mz7Bu8fyJI|CfR(!T}RsV^N=MgoGkr_+4?8&lDQ}HjKfwzRSmxYDSNvP7MB3E zTa;h-!Q$2zF2WxyO*80%(Imrcnng#Th^T(nhgWhZuhAfVg1PAr&*zIXb9E|}GTO>- z7Yk@<)ZeqV3i zB9|WWF3mU!F|pn&E~&J1dKhc3MU%`f${Q)!EUOLA)sa{= zCJi6nY9Dc3n%;Z@$_vh13zLUMP^z z>Zbs`)@63*wcrX#d`3jsOy~1I0$9x}F@>)wf#v>h=0=-tB=EVJ@W<48n9Bb+yBiaX z!Wdyv$Z;)5vIpF!F<2IhK9ni4K_jH4suT{WjG(6LTubq_ux`EX>Mj`oUHk1}$ z%OLYdx-keY=pAWH`!zrIFeUwWRla+Wg-7M3=4xQl+ywK9GF8H*O$b1%E^(L(xd7C* zARyad9&1ynDHV6k zG=x4ffW&6lMzJPX=?Frc$(lv!RGlxxru2FVCtPLrrUvjG%*KR;HQ?`>iU@)*%1Ysf zQpQRJJR-Ik_L4L04o{;?R5NT4&^~_!dX!r>W~QEo5+sPmGw46bEU`H3na~X5o3UPD ztl&_3yiNKQ7*&XGFs zrCBz6C;)2|RH~AFBGATgTK*?^jYXX4Y5DW?lCcW~ z7^_7@jSZVVITCyZ2>RcW0=v^@q%6b|&^}&Z6Vd%rUd;r5LKX;^2Fk`wYc@{Q-|Ua1 z2=gn%hZFO1oMnrFT0UD5?btHw*GvEm4G0WQvmLm?2rN3r5~5qO%umvjICE2z@})gxnpsv~5lr zCJ5AzxsawxQ$O+`%t_nlb=Wj`JsoiReirwU$U7EwT)YV}*d#BIG1p)j?UL!rX>M*x{6(f)I% zT-pwfLypFxea8RJ;hIX#^p&e^C++)M;VZ`@;VxGIjM(P)~+m7)PvPVeC>HT?vsPrmPCo2K#0vIiBnS zR)R{!3idJ!^$&~{zH>@uTBhoiW!u8pbHQhy4Q&P=_xY5`S^$W#a%J}+MTiVXKt5>D z`F+dnYw%54qKKkTwaiRMU}?aBtDe8CM4GxogO-yX4(DN`K=lMn?8rgb{Yk3IQxw{# z5F1^Gmq_31kqq;j^r`^uhk+MrguPtY9Gb^OG+#v*r=}iBRa6MuXatlZ zd|4vRp*;ZD0#IYJVn>9i!^)YNUa1;OgxBvb!tCjs05MwKjS84 z21c~b_zV~^Jtvwzyon@jhti(m7mb7@)r5#He1kJI*Eok^Aq+x4^}omwsv87|l(xOw z2Y!Qy+rgLr!25tegCe)Oh^hO%AEQI4tJIY)H=<8B1FavlTJ4FF# z;3ec`TV)<4a+NIF#cs3<`OflhwfXr?KP3eVUGbXzmR-Af8Tbh3v*2N`sFkf8Qt_G1 zS?5wmp1xnrf zZgcxrKP$2RyLOm6uu5`xJ5<{{R1Hl_%(tc!K3ZN(1@xEkISx z`z>=N^#@?51BMTd$=u zCU2<%QEuq0y{sj@QBbGH*GnAgC7(E#f>96>{vBJv)fDr@h!uj2M=e>@9nw1pY5y(v zeV6xQ_D@x^%ku+z`c*iOGAp<7_yZOV~WcRljrid>W4oX3_-81|GXNv{;MbR zN7gPU{-`}+VJ+~}m#84{12DrcjoItgl0x~1x-I+eik@8??6zduskwO%5k@^@un7vC zQM#(DMk~F((`RZN4S`h#-)<&^@-sq>NS?3*0wr$k$n6Ld~K|RNxwEKzR#<=30c;x z+{YaJc@aDsq!Z6MQe28YoobM7zm2>}XIy}18JlF{F>gGdi<5^i4L&_z`&O(JX zuf|L*kWm+JBTFRa-Whkl`g^<#c%#?s5L$(C|I{l8-d%D(@Src%9CCx(Eta z7Ol@>6a{ZLc1Fo}T66b;j$Cvy=TNW}qK&Xm5&Q&3X-9~C@-uGF_hV7@j_whGfSemX zH#38Yl?^R*CF-e|!EDfsoCcz>>7eO#V|t=_YsX;8uywbe|NI&ov?NSaac6%V+5$ivpptQ%qw3*|vW9vrm5cQNs)2?aLuor)I&aG7$ z=o??G($B7FQH{QZ2`~5gojkVT;BzUC-nDALV_BkYu}9j|Jw_;Y(-V|>>2eE-$OnL_ zKP7I}{pY>yk>nSOdu9Q;YfQ^?(N&IK{@lf`MQN_yb4t71s8CKe`!gTpTxA2|(Kual z%l%}v8y}8oT#VPy=-#{kwC|yp(Y?H)Feym`c|W0x&yJXCtyYvAsZ4ThH;)K55%ji) zGIB?jP(y&hk}mrg^;uV33~RyXdRpQtv*WjLv{apuC#yT5MGW|CRX&=~W*{@TORAvm zrAQX+owJW4mo*VR8xePnyQ^_H>08w>^C>yHZggCETbEAr`_p>N2;m*^@_Iuo=TKu{XokhoQ?vot{nake_|w?w z)BoNZ@YKg&kRQ5cUt8@*Ud2Xq;&=EU-O7j`l@F80?bDPp6n-XT(YZg>w(iO#WMs`_ z+)MZ0$SchWek8y3#lh(eh7ZcydCdvVadd7kM8LuwKVb0Np))%YNNVVf&>HG&FY37< zHEdBr7kw8fTlkAeR-;-Lvxa-Sl@FBAv67D|#?zXA)lA@RO2=WzoJ;Ey%#%^y|Ch*A z@YT#WBkqAK`pFuD_jhMV&}jyQ_J{5-sndP|)>1#=CSWarTj$4i{pk@RjRYFcSali; z&sx`_{|qy2@%>j=t@{mS-;5!T+qSn}!Ozl<=_mNg=#mc4d`0c}(^QBiMQ@b0762$2 z)9Fgz`1zxLO1CXAcXABTvm^}QAysdWYKeAF{|)-Tz}h}5oGjmSe`|qR^7n|0jg7ksT@jGXH{nxNN z)FgmjYr_Ych*ILqd5IONZG2k`PuQS*|x8^~T1Nbq->4}$LQ9%?b` z_T?}pJIaTzJsur*s}j(zij{uezOoRprM9);_UQ9SNSf@501XXxJnRf}{VdduV~;C- z%4M=t*zuNfVHAb%d*(~bIC2N16pL4NSQKEVs{HNG_AAiyqyE;t+KuYMOUeRan|ay- z49O`K_mqF;fn?^k9*-b#sy0{zGtCyZAAka&nG6sEZZJ$<1@sw&u@`=d7>F1D9!c_C z&1&dO*&)v~_)45CwoDvvTJ60B;9YtXr|C;EFieS4AqdNR>R%1j26cRw6bQ>(@bT}Y z^PD=Jz13R&+X516h`sekE61LDcTosX#|v0zvCT-4&K#T-=RF)%*OZ$cHjEB!!t;Zi z2A0LY#2ouu`NfvB{Id`p_PeMT`wy>A@JFO{!rFmLQPIl}xCL(P%7Pv`uR+E7MGasO z@O1hXIfCD#c(v#{R|#V$h5?R6 zZ{Eo9oT+2Z4idT6qy2Tq$Ps~DEMD>ElqzOP^E6eS6b!HV-i5wqty9#Wzm>VSU_B4yE zdAD=}1VPmC;vR5=Ut4%<&m!msp!5tX3G0p5%aY)ynp)t%dT8$0Hu}1Jf`?5vb@J-< zt=)0@xv;|c=iW-7lmKW~?-UnNp8fEtjBgR0pam&J)FzwH!1P$Y-4b1v`P&ffg4l_Z z9eEd&1QdO5d5+DJriD2-J!aQq7^6^V-B)(3XxAy<1MV2+rT`ER&$(Odc5`^k{;%P% z1oE;MPi%O3B#dmmv3dT!Ia4$RQox;!w#IOzod0W##++h1 zuBc+1Iaj-mH^Q=KG-4XeN}ln0!8Zg^cB`#(IN4QpF=7X?T16n0PW6}(1)!h&3TA#5 zk0L%QQ}Z;qZ?^2ELu%k=1|RPbXKV5yD!((NwewYB!MpGOZ*^$iTFe41-@}QH4%Pl( zG*`FWAGO;@lbgJx?m!Z#^6CT>6uSBhC}rY}U2LSmpvi(B7G+`EJ)oB0XoOu~_!6_} z0UgsrPlwU|=IW9)%;HbMk=yM+S?Za7{V8S6x^l|s6vqZx13lsWWz(;I{nmhmM$_bU_ybcJdykDy6h!n~tia zjo(Pc956?VqzF_u#JuoF5#b3US0njsBs0Q#&g|o z@2#Dj&r2%^V)?fIp#;@Gt51@@@0%Qs_I%6~(p>&PP=cY5Fn=>P%It6mTd0?%23 ztD77GP_iM4UIn}wlQ9Nj56IpWf&a&PRV@cX?_e?u1w8k)oj(3g*cofD4IH68V`Jv7$wR+y&B1BYoBfes;v zWyq=A$pvU*M|QhpO4A#hh3{7fDKJ@+`=d|Y^%2m9xHbZ#(9P%V0Vr#$*phD*L>Elk zAbMI4E6=h!Q4dXTNiJ=jSM2ZcW?V!x3Dh5DYa{OfS#n|@YU0wDpPdJ7(v6m7#cu5= z85G4pbJ55pH`80z|9sjA_wGIz>lI0Z2t?8gD{iKZquAu@zg=sB8=!6lZNCfFl!JwpTh%E9#AXn7XD)zbOwayx*{%sJu3m_8X!{o z6iAl}qVoh`FIe1MD6Pq=*N6>^Kr_(rS;VRUAa+gU5{HKA8(Z>~~ zVUEv-3Jc}%^^M;m>ez$LpMkb(voHAS;YT5LP?75fJ-aelwC4efM0#F-di=*H^hzEc zI981dO~Kb{w3Y)?8vHgI4Nj0uZ*W1`j@LDMhA;@fZe@;#EwM1MVl_fyHBr`I$Ja#B zW^0Ac`SI$%{Z5e@kFpw>6t>A8@X+%i@mAK3Q)p0@K`kH&G$>*-kfG`CLs`IAA%dujNp=)27F=F&gbsNF1IXv$1)+1?) zArRp1^fP$V|EQov*9g#_TrfxK37ox(i$y-6eLh(QN!ZAqH^kf`;Ltv81vh`4699T)a7?zpe%lkInQ>V9Ld^|SS&AJ1i` zGgzAHtYnw2lQo;>UcxpP&q(H&I&V8IQD(_-Lb&f;D^cg1~wFdR|8JQ0)S_G0Je5^m zPge3zf8A8IjSDx+`e76at8*CHU}ZTTBbzoqQHt|^;c%J==JJT-}1hQKpHORe1u!HQTjp_a&l&CX*Lh zk`_X4p65WRz01WEa+p>*AP!w^wW<`Xtsx|so(>$?WX!nG@1);le8%6gaN6PL{KozZ zIq$ud=w$n28^grfiTY{>V(`^g3@1Sqyw&P)8OC2j2kmJDq5IZ`SAN}16A~UNzm`O+Mb-JAxZT}YWLY$TJd?x-lXq;vV zZUeZY35ug5`X`PiUn^gr-81Vg67mNBG4>-Lr=2H%3Q*WMV3{K*_t60_^z=T>)~q)M z^-^)fe*U;nnPP9(&I8QfZ4-o?ppCFDM?GMPt#1O`AewTVc4NlY%!Q+JlhHt(a9n8T z5giZ97FM%RroSA1N-+E!m2sVW$tzIr_xyL3RGvit(bOE1ho#SK?<)VWL*JyID4)y} zw4dx~{v`zlHoX&KqKOqrMXwiuZj=}WexsI3yFZOKcM5x+imy54C6O9N?fwb0Vz-_Ff|`2= zXun^qmBwieAXXre(dqCs*OjUnz76oI9rW#5&xIPLuE?_ohqz%{*(8+B@1Ao)6p?=K zcH_#1L$fE~7q{Q6v0i)Et;jO`OU~GnE4E%p&&CgkNU9W@W9#?%GYAu08t>l!H*bqU z)YHsz;etw%<;>9n|8KBUFKWp$=FRxdEe1B_M5KlFYa3R&HL7|fku^L0_C>`I`%5*@ zACV=$l!f;}&dbkkHi*o;&bFf4CwveOn)#`zoi>l^3`!)1j@BEK<%?82_xLlM&985` zaPe*0W<^bO?LW#F1=1vao@V@p`7oRft8X~`BdeP5KrBjMOW2MVRP;-se?D@5 zR%HtA;nV5}BrAa-&pSlnMHd7v3gzl=g2n1$R~2=iYrE#p)`qPrzOW(jR05;Y_tozC zRf(~qm^Ngo$%(F}A>G>D?a-#}g)!H-7l-7uWaYwh!-DtjRc6`f&17DH)3;x9WX; zubVyvv?Jhn$^UA@cE86!XQbtoSjXit1Kw88w{pJPPZ7&D+BrO>@|arc+MBvOAo~Rn zf|P~~M0*I{aNli;!OU|)JM*B006<~*^CyVC{4m;o(a)ns=7)ZP@ObxG9zjq(^bTUj z(y9J&@UT9pZTJ2OQR@C&{{8G9cm{c#Ff^-U-d^%N^hZ_tJ~p?Pt}*bD*=_xFO)c^~ zW1CYC&A;44vy||mkchhd{U4`a?P0m2m$nxr zH$+P?npCy%10^18!LiZCQdizMZ3pqjkG>_JTFQ=4Cn(jUX-gfZoG~86Mkzd{ibL_y zZ*S)s0gum)k*PuN$10y-eUA=x{ajt)#Jj#8#>9J<`F@;YMV7f)W7%gCpMIkKZ7Lis_6!^~l|iqD%Ae=iq|$%8Y!SATraGd6Pv{b?7xwvD0-_FQdb!&f~< zT@YAk3*;Ik=^U5GZ#@|FF3XR6>1Bi(q=Y4ps>gwQOm1Wf@mkb$Eenj?xUh#H`mK%D z7t#!deGzEnJHsQLwQ?9=sf8dr*z?K z!WGt7VRovh*{%BZK5sG8ZMM74IiIooV5FGE57Td*nKVR{$kjm6;TYVkDzVmJwBfvG za+=5PoQlXZ7F*jc-$K1uerm4O8_q1BkHcB~n2ncmjusJrP@W?yLVf&dxE(j7Hz1NZ zR;0+P>6j$l5g&WFxXd0E+k3c;k85NP^nP>CN+eTlb8Y$J3phWIvfl_+_7t>tk8RgeV)}Wjk{_=eJO9cp}E#A>DESTV(Mg^V6O)M%VVPv{$?y z_U-j?S>eTZ28#3*wKZBFKh9KXh$`R|Z>;q;&t0YZ{5xN#QTh77e5C!SqC#&j@b5(1 zmODnXU9L}q1M}d-giqo@;>Zool1C(V@yE9Zf^Vkp?eHYn5w9vQebCplkiVX}-gKxt zWb?n0dmA%PCJw0mR0lgh0oU{+@ja4-U`^X%V>TXnKBryE<#V6}O{`E?P& zToL~?!r&1a`~g{dj7VIb3(90rnJ32GIHZOCxZ+3|y;??nD`Y*Ta)d?KX`}S)a%tcG zMk>+~cE&IP?hx-sLGh0`kq!;p(4^>dCsW+KK@-{c^tX46Llp%}9)8e?7>gg4UPn4g zO9VU36`p~nEt*Ki1`tiCL{72Y?Qiz@bE-lusc=(g`jNG+^VUq2%Ur0;8O@gPO*ZGg zIA)_9Oms(S+@os_Cr6!6ju%CC>`WksAm_kbSE=HZv{>a`-G1-foN;X|r8L5s*+Y1o zrIS8lA~c=IrUPsO*t-K*F)XV|NRjE9j-AeJ?JV%cV-aQIX6@)a9B>YC@^s6u*>d%-o~DsL>` zm}X#rqb6W-ZxSq{BOI838M(HXgg~_Xyqg_t&1;PqZABn>m=@j3XqmWHCE}7|Zw2$% zdmPPKL+Z?l;?|9s6fqaAz5-?>c-HwRB>WY3tgw+sjvYG%BYFN2kG^)I=X%<_+G_)+ z7gjU5ZDWG4Rt9-JtFPwJ~i-xdvmKX3Zs9RZ-?#WJHd?fN0@~KMj6pKn0fW_ z3C^KS;u=*fgi=5M2*woYj!F{qR`vGNkBAkcy?)0qH#t@4r#BZRUThZk2<6yv;xN}( z!i9Zlv$Qtnrc9$xN9%Ss(9Tdlnrhr%4(0FwDL*XrkZZnnE@-XxJ{P=X7^y zD94Flw%OXOOu`MyDDAjs*Q%nyR_i9G*&4Q9YPP>XgOn5?0Y48|&#E5oGqQoyR z38iwT=nr}KD;tI1LwUo`Uqi~vuWG*^AJ_WH;_)1Z^HBx0 zH=*s0jSCV_+2Aa;A#sRjEZcZ1wy5Apc_T`G35Rwr?ki+t#(cu>A)Fl*qqPM=Qzi@U ze&&^x;Iek%&CjL!dUlnfBqA26cx_Y`UYQnJ$TciLw+;GwjD(xglYWAvn&!lOBbcWl z3N`bFz^Rlfjn*^F9;qGo+=v)%zh{_SFqb*@@kQ{AR8NXkQU0@G6Ym$`<5j{ke@wjD z^c#Z0PgUx?uq~R=puaNUsi@uD3*mV3a=znKu~V)pI2?Ar%fvboP;)K>(d%fMfFJuo z%hE!%{1K`>OGkIgkhim}5ldrozh_tqZ}s2cBZWUq6WEDJHPjd~f1{OjSxhXgz1Guw%v$z|@K^@4 zcvg8}cSbgF-JaF1k(3d@e^G)w_9h!)GgfaQ^&GkjOTjAN-uN(dA3LPr>@+lQ^1F@) z8#h$)*TK}2t~qdxI&=NM3A+vKHG?pbw}C0-!z=D4-sQV>((GQ&Py9b2zXlAX)+D(rGP|>Cs((EvvkY!BDQt?~mBm6WlzE{R(X5uY9Rh z;Ef;iHG-q{6c3fi>&8=O-Wmc!%Wu(zHFI7YtOBL(=ReZSsg6s{ceh zPj{`KIdTQLEz;3-^f04Q0j?8lABtIvKyz(9s)4tb#=ERH67!E37({h7t#n>OzO`GQ z$RDdJI2Avp;2ezYdUUifm?ZchG79UXBDC-)jw@=V_gPmj|!V;k6-;&`lo z$y5;R))e~l)R>qm4Nxzj>D)1&^38tR^P^xscLpIoT_sF;84Ns0o%dW-g?zYnX;eT! z{l{l3n$+wET75g~X8=Mx_o+{$#_O`(<-7z-Q%~0Qm2%>+V@g`32DRzdVvDyW7&`+ zs+4@rn39ic0h4O9^U&WTkC*QPOXq3x>qf%N8ad_JYs89!ACcn=h=Vxv>9I;F6O)wL zsF zoYQedy)_B}9@Ehkm^oga;p{m_tnip~Ev`*_z0`tdrjG^HYUGZTxnQ0R#m?7N8oS@q z`s9}`%np`lM_lqz4h~GMzAM^UaBM_#fn5_;J%09k48Q;u;2F zSj+zYdk7FEIBz&c&X8BB(q^;TzUKVMxU23|vgyu#q2<5`v=lL5_g^vnjUoOeSfzHa zR|xw!Jw6}L_PvA0uCyHID5^;u@t^QYiine%X?pEY)5JKIZx$i~f|X0=7_6D)DKjPK zXhmrmYt4f{<-HP#Q+1QE!WFjLFK{OEdQc3eD1D*?{6L+lv)Bpi2e5FN2k+_6Pn=VXyx0=jp%hvAN&-6N>w2N#gp)>^^gue0V zs<&7(w)pAPk2CSohFOf7L<|YF#Gy-gt=_VDaIpp_QH?uLGh?)4%6#;V^MI2@Iz?gi zjsE3Jt*S$JzcIQ=s&Q+%lQJh#n##^hXb{(%?V3w4*BUHAMBMX1Jy9<=(-KwkYA;Pc zDXf>6Uz9qeGL$UR?R{3yK+B!h=t4-H@Y%VB54G>V z%Ik_IrL!N!6e1!Ze;_Y@4jS`YD6Q)4*pj%Rw0+B`db4RbAw1HaZB$)wq-fMAUSPZk z(S-G5Rq3NqJq$mI;mKJ-7B$+W;cGAR7@D%!(K6+3#v>B*?07vbf54tbNT1@%S z*c?iDAi-m3%a2KK6d22iM=FhrnhZ6#CQc40rJ4qjTqvSB^;BvIM~QLR&}ww8;v)M9 zFK-Bii5QjY&TH{8HKaM%p^l|Qo-2Ub)v_PNvtaTs`S@d`wFc%==dq4%S48>5?B~k+VKXl;=;MFt$ScXT&_TG zXQJbnYE+Cs0>T}y+gdvJ{Irx2>aFHW%V;Og;Rm#>HWSP#>M8MClcS|#o=89nmLyN_2%>MWU53|{n||5CI36yb{uUG8uvx41G%|a*_2KLd^cf+)NRX%Cs7IhxT zpgD~?mGR_#5*8mtz>6I;<@L-}cSE(jXij|3o5GMs_KQ-!FmE+;ZJn7i`-z?nqYwNuOr5*EkzIQP7_XfZU;ra)KTR4`jdE0cb*%SB6_#Yc7c!}rPEwUV z6F;Us6lPSps$gEWs)^eBV0ZU}_Y1lOZOVhw=Q1f_rK9b0*A=^T-SrO{*nu}_V2jaP9dr)nj1r#xcDx>k}T7Jh~eV*rffkg_L0dn5C@ zD-?t6%;SAnVX`Av^?e^Op{7dhBBbRhuOyGvM!g07p+KRYR~|oZ42lgsYZce<;u;1q zCW7mmcbNjuV4w-RCLt@?aLvR9vsDNpr;)+HymD~)`Pv3!V=8+)IAeD|Gq&b>qu7{Z z)=Iw600?06D%^4QKgW6X!2xEYY_sq{yKyCRVp-ynVdJhR<7Sp~jt(EoQqF1JiXHY+ zE_I@wgfO2N2XJZL);?G5hQG1-^qcnzu^b@|bxwSFCp+t$3mo1)HY~W^8y*lR<;EKy zIK&7JQ_KG5L~pZ_MCL&vP5c!fzUjBrn!iYFO2fdd3BdQl;BmZHn&2e?;_*6`b>Wy|N_Ea&LF zZtboLkoq9hN{J$IDr^7n*eCkXpUwK(C;m-?4bycRKYgtRi<4*{V zJcv>2=+W27UK3H3&(G5$d9K@z!qNFE=Y32;Yr^ z2IStHOSoc%X5NnvK=73M@|bg-ffg-Q08aV7sc;8j*Y(6k`-v_oe9cQMjvHwEI9cJ zWx4WFMa#f#l~z5kMH z0kC`l^fuN1e6wVOWeDjGs`CXxYxeUckiHcS2Ht;Fz+6%A$|EB}*u_X)X^?R+n>ppZ znF;LCIXGUWYtXs7U9J&It5&I0xr}9jnmBN6N8Ih*iQrSQ+;WRWBpPIOEG=Xf65gr=c4e9g)^sVok|D8472$JKuQQ!1=#N_ zg?wfYtqSL_mR#m^GYCbaV^0lWoVc=K+m(Y~k||Hc|9F^CZTVfeEDR#s}Hj z`F4mmbrCO)QYJUn-t*g3N6no|4cP$)I0FJOpgW^kAuaU(&&Y+cBIYuM z0_L)x6c0@oE^~T5IpATQpX(7&_#xA;>evt?l!;#Q!5P4;ux9|~QZ_Gl0bRpV96`Ds z4q0Q80OCH(rq9D18qYNnJ1O_;0!bP;FXPAmewq?1~%{JUthdOC>Qa-Y%yVA0!$Qz zQ0wfFt{5g%2zh5AwAQ)(#xr!Hrw2lV;NMzT~otlF^3 zza-1=qu_Uf{1GZ%t~k2O$ZCq*_46u5@{yc#r%!{m+d({e#y)taa@<3uH6d(_*>hZ( zS;Dyn-w28>^rA$Td=beE``*hGhpLa)oTccH%mf;;)+g^jdhA>=uLe+5Y(a>^rjtZF zHt5aDw0%+(4?#}5@}URAz7MHDnyoq z4DM8tB`s3Mk|LEXDf^bJStex-Lxo9}k+H-Wz1R5--S_i6@Be*2pLv@5InM9=&bh90 zuJwDJp{PCRaktFViD}9DWu;QRK&;~)+SE?BpLQPLZ%KdM`F7&r+d2PzHNWb!qFtZI z@(k)SFEFiL%C=k8r#xGC!nXW4*cQc=--*3jYJ1L@Kf-`%rO?yxhV)NSz3SG#!w=05a( z4dTSDr6Ym*;2GYbtP49c6gdNGD6iKf9JO*|HFdJDJTrtO7CpN}OZuL_?s{F!PXiCJ zcd#k)4|ckYsPOq6*uy^XN}`9nzV+!M(4UVz$|jx`j9UKGPGSCDQCnnq-y?DDRgbcG zg$0?ri$+p@jYIjWW~T69R&~tlxnu+@j|35Qt0R@2ZMTWG@N*NDofAPRR$P0$@OOWU zj&igbqq{gVnaq|1<^N_$JJBR_$$)fXQNM0c?LDTw1ro(5;$+{iYXoA|;xjYiD>S(y zFPkf!6G1vN?KfPazme|e>917t!@{s&UGjRz>K{I_pj-Rs4P*d#=zE@59?ARbwpKo) zZyC-c>auvm_m19E1YM;5o2EJ}u6_RIrwE3sVg))s&xa{hQ=Aat0UQgg$w%YaJ)`Zu z(dS|t6thmOuD8^{JelS8VIINKeWpJm-py^Wb5bo5cltFyeBOC}vfb3Jdg6_AP7gN_ zlDp*f^eqdW3E8mGsP{Qb)>bJBqew{M5;&qM!Z|%q5A-H=74*imOrF{KNkw9J1dS7@ z!0DCEI^pJEU8(5%a6+M<=cVC|&U?e3=P^AaeC3o2vu>~T$}*qM%XjZ7UIvP}O);yw zQ&c1Kz+l!-=9=Ky+)$h5ho`itQ@%8~BbQA)z^L7x)M|RfZQ{PF4P;^IE*3K}73mY- z!s@(#YOMi7u5CT?r$Jdxy6zY}NSn{zCS2ht#c<{A$AokW?#*^>2@|$X!(|H@z{yG6N)RZnfAV^-(=q%CtgO+MV z6&vztPx(u`LQ8JHn}mI-i^aJA?#wAxpra+*F<)-+Y~cT!=7)Vh!3|*-Y14+IZP~xr zpN|N3_7p1r(%+P&0!z2xOoAd%1V!M3!f06HsVw8Ld?Gm!bRp5#Q!Ez>loBty=b~`+U{yRXrvzTjjl1uY1Ui7yWSBu~FEr z_HA%pl-_7>O zV!K+DchWr6Tf6N?H%oP`HRaeDx8FuqCuE)W(ygD}_r0IfYg4+c@4o%=A?t%gyLpg_ z+}mLVQ7~4q5m@D}yB<&Fn~!Jcb;Nv9v+5x2T5D6$ z_By+rn4S5ogVVZs;;G%v+^=`pj_CQ8!e0)*U<)+)e~!E{^VEfP{P9I$4(C97k@ea1 z*Y+>lIAh7xD1)Y+TG=}8BLYF#wPOc;_J3>)gy@Oog1sZ283S*24X*MceI>;Xug)uq zard<3RX{nBGW!rdRpmi7*XYClS_4}p%YC&aOziC0_C$A>Zwg9i{m{HM^(o`zE9TB~ zXj-7vXMM1qr*`jb`>k{5mXI?DPj1&jzrQfT{-foR zn}t4Ah1D0g)k;N8IGL=vk|6c%VnHxw(x7w;?OeYfx%vY|@V8RQT;ipisxmUs9Lp6k>c57t*ZTzZ)O zO8aqo@4J}#mQ%|TIz0!L-w1Vhc#`)0EZwPF^d&u~q{&xa*yC?besezaLZ0+t^Uo8k z&j(+B|LA|f(%$pNiH@HjFP*+nAfN&P^Ov2SLt5usA^WWLmO^JP7k}e4>{Br=rl^_1 z>_;YAPD+Z_sx&rTXXrk2^c|LM$n2@`EE2`J$xW`IY3VZ7dz_wH2@O9ifS zIcB;j-TQ1emJND*;U`xCee_V-c*rRLLoFSqD(TcL)&1AEtw2Wkb6 zSF-EvEVb?!xUp^UBo7P5fe}uD4G+np$c^qcE{Tkt1>9Lwll^Rm$|{>$dx0 zO5!QE?Fb~;jL&#=USOe-)$L(qj|g@oS!iG$M2jsL1tcM!i0K+uFW!$}-b@mTEC4V< zFF|+9uM4jDVE=pD`7gF^4fcOgfsf0)yo@`KM9MmT2rR$B<4!YEscDylZV6G^sp4cm zMlHeFlE9y`ob2~mlewJC1Ji(opauR#E*{`?t-5n!6TjI-xV?rVMzTq)hX1W1buIZR zf@?n=WuOW+6z#5X_ z7s=ynVhvqpR4pQ`9!F`S)%?$0^&;Met#c_cr;2@ySXQokgLyvo&&efw1s*W=<)7L!AJiXd@~GPSGWC>s<8Gh0{7Olt5k;eilllPyM*I)4_ba;SJpdNoqz=dI3-<4& z9` z0rQJQ`ucLbS+PL#TlA4|E^)^`Jut_1Us1MD|M<+MW=9vJO4v41RA*kf6AQ4_!1^+Cx&z&fHoRgJpc5+*wu-rg#-ld&4j~gpz@{tG_R!ni=n`<4nIK=T zdjWM#-(^(E*(=mgDNv?U48M2dvC$wFh?gg16X^egI{Z9b%xas9-udc$co-;Z`iD+H zQJo;4WpK*86=e9mtd`*%$3$?Bph04M8o=$LtC)}@!z{TC6{)Mjo ztNF(tIHSXMfO|5zM?&+JFeLCz37gB)@c3qkb$b4mOs~B&vfxA56ImiIqRnlUL3dt8 z5n=P*dz1x@9EjRtuniwsemBU;_sH;<|2QYzBOE8a$B@FmDGPFT%;S%JNjB|E_e>>d zI{nGoeO8kU(Gd)<4NJSOHdUzV*FTsbKC##!%bo?03-b5EFK2oo%k(}9ch321t9&{aA}xD{2ddw#ZWgV8EnpI4B-Pl(1uUIHG6 zk_z_Yg`(hTMpPdW>V8hR)xR8<`~s}@=Ws$nrDQ~4485oW!I)RQ5D6T4iYwJlo!{) zfdU}Ur*caX76LuTv+;ZUsl$<+4( zdx8ju?uo5w8h{=5+_i)rqkhr}YyEInjDO%f9FqbFbF=pxBFDv054qV|cLy9~Q55-u zTuZE=uQGQ8=)37nwAlD`setz}quqyt{gXk+AgIzIs@V8QDV)>YTanQd9jTpHU>d!vej-v#c6x^130m zzAKk>w*C<$FP0~Qj^OMdxJj|&N(nlu!^5yi2 zMm;#luP|`KDZ=SHQBx`5`Ur37!vd%72w|+5L%1tk>8&^V?T`+jMJ}SJ`HrEULS%Vq zWOBS{cbVJ-x=k-eZSsN3qjmhNiBz|{#3f)1{g+A>2VLj`E*?XhB&3Ua4_X{fRWIl1 z36oXz7D^kS=nssmOjHy6!2T)4Z}u*FuXrD`7rH&~#BP*0PU0DPx5seun_rKr?|-V~ z5Tue<=JaSXmyvl!@~dbL(iJ@2*YAXmRA3;pAc9}Zpxw}YGEc=?%bQ>sQ0`eM#m=uD zGOT2+CmvCHUHVV&8MGL_i0SG9&M^=ZLOSL6xDR8JVlsx3&{ zr?=7Cl`D8c_i(knd={06#7-omfK!w6K@t}U0G1Ub-OpE8>GpxVrRRyRMUpsl%upB_ z2;HB5B#^g;MW-xdZ{Xidq`GSxI?!~Ot4OPDm|^-;FR9lH^@IWu>H(uj{4S$FPkJ}w zD^-N`4rzhclNlQ@eS8Ax<0mh|#d6WG)%p9n10op*kn$(MsNrIJEqbLiP8OdhN-zRI zOd1${=Muu;CJY5stJRR{y?!TUm(d2tnN8;~rJ(jlDRfpUHhUVPS6`|A=_&NOQb5C3 zG95D;GAjiVtV8{mfvTI=!c>=GfHg)~BUifJX@uo$6gc_iNk6J(g zi_tY)j0Bs(Q(dlf+WF%c45bMAc(YAb>~+@?$Y3EAkFEP_5OgM1*n2Jwzsb2R~-hfNW`BZ06 z-~ZO&$X~^`}2j3vX>ZT`-!DoK_8{AfPU;FsQx90ddOkq#H&#$x$YB=lbiNp zG}pM&ifEakUe9r(R+TR^>N8FsE`>j-(uO9_JKI59F>X}mvq1x4y`5a3_aLQw-pJbz(mQF9yOowtUHHCS ztkk8A7OAU)C*{Qu!cT0G%;<+UIJ%_}IPZR{vi`2}`tfMH{braw!U-1WzA`@<^?bEC zF@}H=b1(2+?R&#P;SJ;)XFhPlqs*JzVAAVqg-1Pb^s?HG& zdzle2xhJk`Z8N9@UT#{s{i4xAhQ3JDU9tDe#UxcW%IO1YOHZgJe-GtrfLo|O8ltIQ zyA945XTHOY{X}7n}e~@2D@74 zn)rVdWQiwX)bJ>3{t$K)gvK*Vsu;ADF2kO#*z{fiPiFOtynXsh?5!w>jV_4tNXOq~_;y9O?2wQb6p_#ahG9a!N zKk;_xG*~m$lNQJr`p`=HVOl2z*^s=iIpmCq5c~(vuuDVu=^b`AJ?WFdyiGlqh9V(v zHrqMIg9v68yj&Gb1zru`Y8THSUQLVrs?|BA(g6ijbQFk=32pp zORs`y{<>1PjFpdUMr_h|DtM?;{F|Z`dp&7j&Z=Rvc#QwR%0gCPS4LUeX>E@IifzZZ z3Oe}w!vmXb`Wy&j3XIT``IxBhx2e(aHkP4`(43C#{9OZ^Ng3sSRIPGCMmY~ABfu0a&3M-p_K122o{+u{exOU6 zhD?kUzk{h!5=^%y1vE^mN1fCsyU)>LUt3QO8)AQW8)gX#@-)$-2F?I%fp%tuJ8+BGL=?Q>*mum?jM*RyOnWMC8JAri1 z3!JvET*3&*f>=5<$m{_q>8#0~fAl|_KrDKcy_*2G|Ds>~4p;Q>6f&i9Js{7=rW1Y< zY}}b#HM$prS|j!lHF<1dNK^^gS5 z(oiE|%Df?ai7rKsoinA$zI8y()7liKou{R%}_JCzT*- zNfT|$pygf1FKpTVrt>nD@C9!ZwH@r$u)2p;fHh{<(|ponCt5dz@Di2FbA4ij(%a8p zY!U*K_1U?iK6U%`xywjEhcC$wYV%6*!>-l?c9~AA>}t&nCys%2TU+<=q|j zrg}ozFlUWM?wo(y5}7KuSKuh;@4B;3Y$WA$41fq0<&NwspDT*2{Vz4oYV*qQ!>m~D z$VTQ_G?cfjt)s?Ei$*;ij|x+H8jso7VRbS6cJ*uI0$jTW7`;@Hy08pni4LB&qt%B& zSg8+aK{LRD9wn`=Q4q**UP9$S2&!cALcPI%bd;X!XuNn42N|-5g#YG%ajYjLfO>*) z3)T~F)bsJE&=pIQF?(6LA?}0c{YrsHtLCwD)a3#+|B8pO6(p)F;ou^sZQG!az1vuE z1!oh)W)4YQ<52z3xtcv%;DU7pRB4>VtL#x+QQGUFF4K51-BX&nS&F)uS8o3T>;s4L z?W}vY6s+a$mT4)uCo2w46*?FgEgXCF%d*SGXrX(8nFpFC#rmRw5k3vEDrwI;%xaZ5 zcepiQRxV)b(6o}XhFn1I;p9As<7L)pfsCjvm928C8twR-2+XjnCh&&oDLJL?4?kF5P#M(Q++-&iVjD(ez^vzG+=Fev`tziO^_ zoNd@$#BJyrryRZWV}!#uD%)^9*R4pG#M8gmGS`~N>@1tYi-cj3E;z3Z9KK+X1R)Pi zG?66e-w9n-6a3s0(92t5eCSXDRs4g3t$spqGf0BebDt~=t^FtgniuX5Nt_YV^~u>c zAt+J}6DY>(CJzCn17PtyC^GS;&kZ@b4L9;&0%V>a)iAmrNMi~wsMS9c0K?b1F)9CY z5f5kK*c#;yBFFBgu2k`(I!}N4|B?Y7+3-E2|VuW;R)SMSw8T1 zpc#6YOZzqt!Uw8Y(DZBTV#@hCfpq#n0(*YoOK>kSdV(8zaz9!l9IIwZBl1Jt$=JIg zJnf?WSz$=xd%gu6>KE+!D{vq!(l-uCTo%VQYCNhu$eurdoaKHa>s)J*w7DTM9~kE1 z;B#HfW%2jw3BeB#J=aTTwkwOkx%dd%W0ZV@dT0u996S0)2dA)JlU)GmibKc#YOW*?$9XQ&mWt_iL>7t5^0Bl=%$3aAy`3T#V;N6DD zjtlO>G7B_8IP6JBd(}1$fz+>G3q$BOh zzvTH7xkI$jK559%4PE;vyrk>@G@ZmBfeoP5`6b}8T5z3~i(bBI??(<_h(8F6PE*%1 z4j+=OTtY$seU^|Nh>#4y7Fq|GQDQlxmw8E1Xv{i^wAeiG(-UL3%;^+OKog~bj>>b@ z+b&lEVk@Cz;4<%2Is~pcF^pMSHWo16O$a(OQ_Cg)DtziqWzUblsj(Ijy`BAna9tl! z-K?3kG;;P z-)&=7Dj;&0+unI?@pJS_eb5x|Pl%%1Uqf57fE?{{^UWC>>8!qj)5mY}kNaYOInORQ zZFZ199qav;FlLp31><<1Rq1VMxWnsvs9^ak^K$K1-||(39{awtL;?Xr?}!@);ml) z!Aqq9z+(P@UM4B7fO&&okY#q0e|pE*=}Yu6h^vOjf``~m;2E>!*@xO#;48dAvw-T* z*DyVZ_-4~$faCROpMD##5?b_c}Ur!Na>Wgit<`+vl8bLc_^Dwc9`OFIJJoPm%eX*F0C zVbsmb<@WokpWK28A+Sf}j4IINnb{9hfrl@NDh5B-wg&Dwd_1 zZW{SiaQejkT(1I>WCol8UbayIDWxxt6*?A_zDoa-ckS!b+Zw{pc%_;bL!a>2p>5xC z8yfq?uVbfzlVU~iO+5UrpxmqMyYTaa)&*9Csc^S-O$xo44bIBxRs_;B9iK6;GDy^s z3P@Sl&aL=&Uw7yO37lnIwq+Ras3>gcmmv%d707HB?{CLkS z)$DT>nP=z`&!&ze#_%$TBATVPKr{``8jLh`W$0NFjKQgd=KuGua3DsF?yu+}=!Q76+|)!wj5{!~^foNQK`tN-FX%Kq0rJ8larkH3xnrbRpZUQZxjS9WA*P3#r-tuqJ ziJ)H)Kn|*?MZQ&fL^AlS5Jv#ozP6SN0#s%U;mt!5#UO0UWroe1KQ-IUMK8Ja;baz1t zc)qx%e(?+{_{aqdZeu<&#)kj4(`Ak>v)MQ9wmb$LaEPv?Sje;-N_X{^1qeNECjJvK+eSM7sVzy^b_odaW*LR&kPMOry6Un#uJpYGt#TqyFh7vamCqWD)1RVO@$)hI-ar@2;quUN$j%7KAJSwUr3N~B?9qi01vDS7!`%BmRC;5WPW zG?U?sUXRw=MGTEJ-Nb3iFxuEpLPzvy0cmnmN~J*h#m$qGE=GaduJ2KkmAgBhHii3M z0T#`K0>K#>TpHtEVCHeG5qiK(D{}g>hFs~xcTb`qFX3&*;T`=3=>(W>0jUY|o{nan z7(a9by6&V-=l@UC9{~mScvoz6(F2*53t6ZCNeV#N6+V1M*#LTon$|u!6)HC3!-JtQ zek$?47p;V2vV;%b1zw)rLs5k6qB3&#!kT-9G;c95tT4;vyJXjXP z0l7lH2rHnhnb|X%2cZ@!pq3rdbqWL?@?oJgAK95@`69U2nLki6>jZQ^ zMW38jwD}XF&>a}hsL6{hn!gn1&>2`Xzq6Fbbra;;_=$W(S)$nWa6FHdq2^Z~F2*2C z&S*D5m*WC{M6$gMe>+`G{ZSo+D0nEl01m{sBX&FVlC;gUm#i29KhHiwF>6Rp&el?Z z0Djb%!-m+hK*r*q8X?o$r}JfWI>S>cSl8MkXz}ockRwDW*?grGqXvY&q}t#Kt-q+d zD61%Rkyz&L=5L-mp>hZQ1?b52ShZ3BTF_SJ7FZX^-loNuUDms7y_0f2=3pSxbGIp3 z2Lr5|NIHPZDA!sO?NK9FIGqGh@~n^{t#{pYq@7Y}wb1G|EGZ2E+FY2Go+Kr@dr*8I~<(|*71){OR; zCSJ{*x)&4}f?atvxso&q=e%E6 zE@E)zCzGSTa^^cxmE&k`Q=IvicJV-(U|~z4CmdZgcN7VsEnIz!sr-R8UmZpgNo)3C z2!2<22|oJdm#(lx_WXwyODGP=C2n=CHU~GmYx=^EtQaZB%}JjAmc%Q<`L`^LV$bsF z+*&n>I?W9G+g@HRbs0VU-$RCtFCNRV$^>6D_>8{kPNCg-Erl|_M47Kz zDZN^jYx%pBRtE8AZoKOAPK{*4eAkM^TFX0GVs$<{-DyK^jBKV|tcNhc&!g1=+L}G@ zFD~P0yIWHDuaOQr+s^*`n3t`E(dMX0s;`_FB>k$aAXylB)gyW#*12&6OEB*S*N=;D$FV%#{u@ z1)eQgSI~TW#l7K_Ft<@9k+gQBnHZ5D`}rb%96DTd`0-zG5zozS@(?hii~Y#omuxJ+ z97tNSj+Ph06xd_P|POCo;3GLE0;VoA)5m-*+qWm zmiz=7LGQh&H|4e6+Ssd=gC}W$zAUj}e>dzi591gxO+&iad;jkHveM4p)-5WpJf-JY zxj=e1e2N!*e2YGR4f`*h^lQ0W5>x+_n&zdnT<053&f(#{^~?pg#8hk7;WlNxVBCJI zqC|Cf9Op$eZWWD4X;BDq^mPp@NRjemqKpmqMp)k=ZZ^~Jqm;6nDV z&Cwo|a#cJ$W$D166Z*fa6_pi`Up7~be4-PY*CbH-b38WXF6+MwDdu7SAKn#a_Dg^1 zeu6GX7F~|(qk~&z>BGbW06g;tJ-un;RlR5xJ5Pu{*U|N{s<eE`wE;z5Fzb%3FTt) z>x_!xI_1fgIG=yx+&TlH473VhXS+V(TMk1g#E}RkXRTx#Ipg0U{*<%-g2VyD>X{^Ge~rNad$0kZ@S_Kh6Gniry%QKo$Z?yn?k&D2iEs-?2M}cAOo;#OIf5LyF-s7q z+mHpmyN^2z3e%kP2=kBD=cw`Tt>>;J{J^&I;&=JhH?gGMg%Sk?$iQae5RMG;w&75d zy|;*1;aBTj-G4)@E&%{|BDnZGj$~S%4?u650aa($;D(|PQmqmiUp}F!_5sRP&Pe^K zi{5RL5QJp>?m^m61JZ_)7*cLCAm!llj9o(eVFCcG)3}G>{N%YfKkBy|Vsw>{csvSx zWS-SG`h~EDkl&>O0I=>40MI63kUC8H;2q?jh+zVeiwdd8Qe6)tb-1V`|D6Rn4&_|i zXxd=b90Z5>5)I&YsL2-0dYv^)KM|auI-V9R}c&eZB@{$YWGK3V!Q3gx`x6 ztD7nnuse#O`kq4~`Pm@VxoLJt3=%jufN`K$pnrT4A_3=H>ys_bjoZ}8bvu~1-XD0hVK z$^#5A^M1hT1(p#0VaXc^;>>oXk0yW5hcy6x61t{`^-PuuRG45yc?Lv5SREU6IZ0q( z=p&3NkPb$Cat?;wkc;gGHw<(E=!|z7U+@R1&YkB{SvnezzGuc7LNq%Tf)T?p;pN5Z z&{UaNDG-a`pj+XZ0NAJAka`^K4j7dfcfCoyHPwhe1J47xV{IA!42BMYsL(^#l6u%@ zDe8&i(HQelV&D(+QPUsh19;OIx+eVx^9?<}20Z?UBN+36OaR`Tc;*s~vh7)%6A0F> zk60SKmH$078LAp^H|gq^rwO;57J%&A|4g^7x8_aL+c38BfL(=)_rwc=^TDq&{OXOT zF(mKpYoFj(Sg|;kMV$skcGarJWcW3oW`4?V z6XhP-hPq`qko2AS@6C=HD7ivM~zr*P!8NC08TarWX)a&2L?b zKm-F;d1D2>i{cxG#4S{gjyL9RVO~IJ<51B-1F&@cU-6#%AKCs!*x+0Qc+jKqWRMgwy6D;qf=Jg>*Ju}sy8|d;2Fm| zTU~FdWoKLWBJXmSFiKn?HFN^F!_s-+p*4q=^A=K1-8s7qiy_*HL9OrnURKPKBHfu;R+%9a`d~B*<^Kc;HmpQf86fxQv>Z#vSI`X8 zL2|zp{TN!M>p6~>1304FdIHBXU(Xe*r+M;!NFo%x_s^wBIFzc2b)<#^13bhft0 zL^U%IBL*N>F{Rvm?}RWRtrG`VW=M%bq!^+6(2Z#Yj2#0M;kB$p4}Dl8b~CPZ8BdXl zxF^tqB3+{jHMVmEnZOTE6d)knFUt#f75hJsxyuuk0I!>POX_r=!y0nCT5QF-W+c%> zG0a@P#~K&kApZj8QdL&W+${3EgnktYlO_sb(%!q!BoddByC$?{XZFKB$U-Y$+hta7O4T zVqK4$1Nm{`kz1G-=O#Rkfg{g9t;|ma{8g5t9_YoRLe5I_t8Ojen~B>l`pVp8cQKcT zlhLlL^j94uq_OmWWpqjY1w0Q&0UoBt+UW;B^1aKqi$H(}(2dn_j|gJ=B;3O_ayiI9 zT5b(%6$01~L=pH4t7J|V0o?SK@HM2L_9`t$$_GoM1YF)+db%gzHe9g;Ux2~kiV%no zkCfShhPRa}(+#D5=skeYf|m&okT}F-pRv+%-$cNwc3+JrK6lZp+Gs!oToeKe=~W6Y zHiIFLtbjOlAhweMQzfIklw*8PY_Aaj_#g>`0DO8@7%Y$aadRSswvQqx{18=SX8(l^ZFCMSRTA*JKOFSUF+abd>h(U{k z{$V+kg8it9BVL1!23IIxEn>j9Ly($ODfwtUpCL`&a8GZ*#Aw5|XU;XxW>Kh{VH;X* z9IZ%z5e`3+R)*;(jAM_BXu(7e$V<8+8x;U1ScMoIf+<(qjD|!U@m1(_J{K%*Xp|i+ zmO{kX3`vIqRVm*@gxUQXVB%|pfo8*FxrJqbdj|mT*mVq|+Z7<)l-OV3ZFM2`pl6xS zS*r`yc2+-U1Q|<^|G*0J_Ia-`WX=l8TFMH7;;6<*(;Ci9jA=5I66y4aPwZm_vE6&c zGtM)Xfa5dQ-(a@C+7V1z6|aJ-rIe@mGv?&D9u@xMO?cYtB~ojE~}zKGozvJbS4ZD)EjYUDLL-UdC%gZi{tGhZ9m{z^M@8jsA49k zhkIR;K;80$3fEm#@I_<<-;P$V)!1vh z-Q5AI@sw-bhE|QoZd8@Ml)5vf@xNE%9Q!n6!8;8+p9n?~G06Yoq{icm+R-ZK?qj3y zaISJLm$~k0fP1^g-0G4JXxr(-M4mB%`M^Gt;dcN))1S_MV5g>Ds@pvvHrYot&KsqQ zLhX){w%t;0eH&FQ6Rx;wJA_%pki|~>c+se(TujaZ!6FI#*D%Qj=iv)=7|{W?Irv9H zU=c$>4#H0oz%!bwctX4EOr3y*H`tkXZj|d1HDq9>?a1`s#{U_-1nC=F`9FgMu29}j z5_;{S{-`zzIXS|~gb!R39TjKDfv9c|E7nBF>`;dw>hM|ct)W)%lJ78Z9W(Od4%$-gwElDyYUzN|5~sFUQry3dWY`ALVwoVyEdJCzrG4Z#id?0E zveY0Lp`HnIPgdbtHNH5qj|kafW_u+Fr-;x01zW|8{?9qzdZ=kBupZ*C{+w^_E_zdf zv5HpVVuy>ohAx3K;I$FlrWmb4t}9uNx&uuCk%s)%?H+KDGa=sPF z6*Fu-A+EdRPAC}e5p5kbDK;vU_8N+u5G;u_r%55(;#+$Rl`HtwqW=c@5#=z(A!2v(~^042cQ2M}ZDFH4Kz~{$LodFC(l4 z-pLuE@P<}tzIs-H|K(HU2f1sts(vu{WBh8gq6xaF`T}l9;Gd2rk|v-nFB-W9sPk#) z{As|B_9dD)_4s?MYby-COxqjo6tg3GFJVrLMvV>R3`lgr#A6_Q1tfVDt9{*L=6hJY z9qkkV#LPwqP%R^8KqH@J=+KnGcxCNJKCSJI1PJW1IDP}KebaV30+;<=zm7P5jfmZ5uw47YEtGSL}+VRp$;pdCYGxvSR6Q zopjo3xz#Kk`$K`}eR-RVuY8T-@XZ7(ryAgx)AiE#o8rR(4fZYJ%^5(>VU40%Zr6Qbr$24Lps zlaG-Nhd77ycf!RBMrce6?wICtV}dz>#!TXl`KPu6aSdua*z?s-qlgB^G_Xg|Jdbhb ziQqBq|05fIl~8zn6QP0%2Ji*p0Bon!VCw%ru`v*4k%mM>Z3V#R=#%7vO3>BgkT6Mp zta%qg5}rkh$Tro6$ereF1i`um5+)5?n-y`YO2FLEeS>Lt0Q|@Vzzzllb{Ao>tEC!W z4B6n588HH2b8sL40yLbuFl(Ncz@Y;>i9H{gKU|}xk4r1y8=}>mF?oq0orY)vF!oss z5h7OsXorJ|ISznBWMU#55PecMQvo>7C;4x16ZUG1)KeGcOf)UuQjjC)Aix)B$3kh` zi`4$u!$a2e-zIcM)Kqdaal-fLrftk|#|3+S(|>1<{!WN0v~5gSmfn!qc_}=KPb80K z3itnw|220xRTkcieX0mFM*mc2Up!lz-XooicaZe~!E<8MSV6K`MD}e@6;u5IcvqG;i zHx-3uJIHa3m?44!u;}6(EPg7>e|+5C@6>OlX7Of!w!~++MCGey+Rg9t9DZWWxQN33 zhScOgFb86JF?~4Q^J(^y289$TLAP3PF05boFSONJ*)6D9qyOQ(+t zRZjT#K(u+(QZDM06c79`NU6JDTL+n^usRT2yH`uPBKN>m4%~7XqYF|zz8^fI2lMKn z@+736Co8Xl=kk3~a71kZJ`9B?fXfgj#hF+yFdJvOR9%p&tZw$-4l@>fE)&r?xOHXjaEnC0ZVWu9oq}tR@SMnA!UHQr>p;1C ztok#EcTy*9do0PXu-`T#8P{dl^d@_WbDpwH+1VrQ zK@Od#N|))hKo7XeVpTIhk1OykD~nW_%bNX7zG~ij^%B>7{G?QNlTg1Opc7P*ae)a; zulJDGgtYwyDJbFn_movq0h7Uz7|$Rl!1kEVlT7z|?fe-BJeMYw-^4+is&u~TM+5}pTExeUDNR5?;4QFH}Lx41c)pO!xd8sQ8{6^#^n zsQQd~)6P8eY5wZj-*3?r(g-#IoKlNM^b-Qiw>j1n!_c|Qp(sM5FU*AijWl2?K=_bq zOZdPLC&kf3p)T3>KSN{319`J1vhd$cU+OSdkh(HuO8r_43C?X0Q=~12?ojg#mC5!^ zl#Kw$fARvMGa&oBEX@BiWJZ>R_-od2ODx-!R^g%)rbGO{Y$J7ffyp$F#f>_Pf;H z5jp6qK|A}N{dQYipYS;EA+pKeuYE;gn2iUBeyWqWG=KA|(|n%?W;V$m{yV8D6Ald++T49k9h8dq$6^&&fStS!i4$C8=Yaa+%cqN!k=BY#!jQ(NA2{lZC5vMiLqHez<20TfqvPiv=G4$sY!==`aHsVrGst;$x*E0zvlR5^Yn)93! zoRx$ZGrmxY7mwf08LFS&>1s@PZ(*{%U|w4vHfT>!(0#$-f}}I=49coYPxj9@0&oGPmCaPXCpcjiq>qsPZP>X(U{C69c5dad0L|D+9SZA;hXd8XH9 zp{Z7eI>xa2t>V|Ll&w)~GwBIAe{Q95xK(Q+q4mK`TCJ^vilxI5G}Tw+D3zl0+NUQ2 zgDz(rI2uEtNwmEdbY9eV&v89Ds!zCWf4SD#G_M$zgLzx>M#e zMAgh)WoAweuJ}7r{imbi2h?(-25FB=lz7DHExm|a&M>MDXBGV5B{_-=Z%Qv#-6Lcy zvv+)e6y+q*xX`lEbjViu=TU^ z=hlDy5j;?A)J~yR0mqOO9e}9k`!_Z}l4bGv*XFreV=PW7fl%9PXH-T$&cm zjamOzbx*J{{EJ&b`MpX0IXUWaL3(X{@j}DA)m4a8bw!xb@C!?xb zPUp6sc5z@WKVuyqzw*u?dyYBE`UbNd9aR2wL@nvHg|K8UYfeWgOLW;A*WV{c`Jg`( zFJ$NbS@l@CY966g=g+FQ%fsRPf~eS*&4V`ECnj$#Q9X0=R%lj|nN8H%a`Z3n;v(N= z;_uRHb?7apWB<*T@|A4^<+kj9vs$uk;2W1M!CY2n&#^@ZwokAzp?H=p56Mvlu&L;8 z7kUF*XW252O^|;6AGU0*Q1$kOu2&py;~&Rgapd31`2g=2gm*aZFnJy27<9WMzoi#M z$6k|$FCz*`T`FtO-JBHPmNy;ribOHUsXvuHy+|pWvh9*;+e7P<;x|WjHUCVQ4*G0K znJ<3%TB!M-+tWHZDcY$t2@(g(5z*leQN4363es zU;6Suvia!Q{$s}?R$5-GPF25pONKZ5$sx(M%2eGlI0f#PHx~V!qNJo-G@_8~s($rv zW!-|uf8T3huBs)jy&1&qJv<+8YLfKIdQyCtZEEa4%BM7XKk3%eMJ^{y6JA=Fo1b?x zZVOx#D|2%AZ05G268U94At|TXtfVxMqSK4|C5;|+A$cmYZVbt&GJ~tMTbtFN9vYr+ z=3x@Z9oEkHQQ!O%kxs^M1!>*cDSIulUcGAn9-k>HuCueGtHZ&ba`ot@_bV-H$0g$V zB;sHyO&V0aw-PpV!ZDH7kqHuW#f^)2VybC!^nOTsqKQI7a~?3H186g7JDRg%f4- zjla|nv+a(TwjXSh=^1kq+X~g4*8<#)RCh(9J@4`u4f(`0^X&d* zlj6rNY{`6}lsS2CdQom}EB1W!owust)+ak2g6w$cJIp z2>h-HxAs3Tek!Nlz|r0$KuF-q$~y)?(tOzBxeqZ}igv&UjoU!eE;z;8XN9Q@P+!nN z45sjtuT2OeX=JG5H6ek1s=%&5U~ZED=n{iJvJ|EyE}T~D2mBXALKh>H7#g7Ir5F%u zasjr^D_0zWP)CK}SwvBgkkB#3_0?EA2w3iZ3YtN3&%7IWBn1z?K!VS0mPiZQ^eI=} z?H9m|X5J!leCe`@s!VkjM~4nk6C@-?O`I&&5!WV!?IqA`@JnKqscAuo6{Z=St4T?- ztKmoN=x^eO8IA6AcTln2jnDrZO;SE(PONYl7k#97AtL;7M7ktLeFF+P>Rsd};O|$o z9BeZMk)wccnos@E0J(1vkAHWyoUXjJ`4T^x`cdkf#J_D9g(*R}-w0EJwW@c}vwXwn z5#N){?x_KL{%>h|U=JKEr2M$&US9r|RWYc6jT@JC{{mUMfxF$)K^Us7zX{vz(%+8! zZrj>o4X;}IQF=_x7@N`#0EM%ZfMvbX*uGw}1X042Fe~uOoDnUu>#CYuLHA=DNLHRJ zO#hSDvxfr5`}dbF_9Q_DR)7`t9% z`(ykzFzN2$iqnz6N%5TA=^!eI)7<*AIsYY2g}r<>7OgpW-+IUVSec*B9k;CCO6bO~ zVMJPokKeQ2LG0oiP$(+tLV`s%9?3Bfu3Zzfc)d@8*@rsg|CWfUx?p)P^Zcn(*x*4& z7B2tGZ{MP_^pNRACdu!K-^UWr3C-qVy-!W6`?7RVA&1=i<#C2^FIn^f3S|%JG`Ot&(M3|I9d4CU$z zbs2d+ubIuDC{hw7oKF@R{6D0iZm4zl@3Y`psR?8f*p_!0wPUX z0O=~C(gc(u&4%dGK|%?=_ec+f&?1DEPy&I_{N0&=yZiaJKYo9#&pyMQ%*;Laob$f# zId_Ix65)aw0{}P9!S&`==(pMm{es^iPaWRT0%lSv=+;SWqYsF?--cA)`Eg4?kM)%6 zV&J%L;VZU}$KDe;D54WnoLkI7&G&;zA~9)&Gu@q5H-`>fL&N;B1HC$d?de|0USo_c(Yc2PFMh@6&(P z3ibsA?rN5uED!FgAJ&QhJ^{J_Loyy8DnrNM^UbkfS>o zu<&~qVUSWQKv45sRoM=Hm0a+G9%V-Hv{Qm;hg{uK>G8t)$v!AvxIs<|ru7x=cLW6P z^btyZ=1O#zI6-#_5#SOO4mg6b!Z9(88w{jRDiWU5=>$6!_q=O2zVIdjYVr}F7&Cf8 zVa0{O=AYP#f3Vk2_ppNy27zesK$TE}89B}JdqTVEiKmku|N24vQ~CztpU?032WUk* zOd_`8{vLWP*aKXAGH8k(|Cr(UXILpGL|;)3TP8gy^%oR~IKkv=Lo8wlJ1zx>EQP1` zm*6FJaBGz?(t|(a@8EAXw;Rr-(8$GgGhb#S{LenC$CmMp+-9rQa#1<0u6eCLq02Lr zKxvQp^xZM~Diy}ab&A4qR}d8k7DT-L32=lL_XhG@2xP+kpY?GEz$P9R@sWR>56k*z zElr2oBkAiC*a56hh><{WEZv2ZZXT}xi+R}pH|8-1EDMhNcjp?Lk0+t)bB4JwQ}4WN$igVomf>M=vqLAVC$wM0t z~tey&EWRW zmr2UzKr9%X_yU4}4PY-aI2!=-w%N}xMAFsO1zx;DkiYKz&C!W|k~bnaw~22GOniGk zjylzU{79nhKV)k47a%@Z?sdd z0Gj`57oARC$ou*LU2;(!XH$tR{`l9M8U2?x=8=qLT!&l=zs9bKpT3JqY6c({`qj{{ zu>f&@=}V%4kWb~s)1j*Wu4yppuiJdmmjX}fK#4%g@OBH8^HAQA(_!2IXwK-PKaP$; zbTWx2Oue?j@rLy2$7Dd?FM5882Z?cUlk*VXZuv0?qFWq208F7!se0|n7q4z?&A=By zpaw_{ejGImEo2};dO|#5TTckHM**&4z$7FreMuccLeR7VBqV2%n=xp2>&?a`cPI}$ zppO$=-gk%us3KxxPy%JcHGFW27iV|KE(!=25YQa~*$|-GKA;@fF^9YcN14G<=sp2g z>*0TogU^P>LFmuG(;{9Rrbx%O(b~2f{@M9oH%za)Km9M38UQG+F6^gj zaAcOp)iA%W`mg_%8Tx0bs~d&c_W%Mp-3EacSeG#P^f6N&r61bZE)C0OQ)S!iE7@7B&oEi+3$@^YQ-} zW^0^aNATAP=xHXGeRHm%8B%`$RA&zp-v`?W6-4NJLs+W}(`SGVe+5S&J?bNfDi5!s zqCwz-mwNf$L%+vCv>6%)F=_p2UR*l!Z(Bi@KBkP)r+}k<+-ATITf}$0Ne)coVvzj_ z5UXL)2r>+qT-o1B0hE(^*1@x}Ku@gB0zYxuR}b>};8(dOeO5A;Vu3#N2MA`@03CU= zQ70EH-Ix16xc+Z#X#4)Cyf`slaDPBHypI8F2=>FyoYq`05&Gbfp994XmY}4;97vx4 zUn-JQ-%{XSO@bl7)Bx_nre0ht-sbwmqc6Y4{zujV=86X9YG!~81DOjp3{Y@5Epk(a z{xQt&alg$9k&zB?@k!V$6Lhnn(q018RJjBqXcZgg`~36FD>#&Jx|n?NZaNS(n=}7S zn+aO9L*JGEg!#Cg%RY!XAGu+Mi_DIsiqAjTJTLiw;rko^E8lN`1HEr?VpRBhhS2T2U;ee2iy2m3dW~l1fUrdyn<=@S%77qRmN=LQ;4HL$?qQu zX=((10aj?vKqA7(Fg(%(H+Yw^$2b%|v;Rf)JO7VV{|J7Y>OY65 zzS$#aa;}2_XbG6>7D|UCm2{W?s2;!r0F>n}iMMYByieWp6U-!scPwt<)0G`>0Fc!L zn(Tknlzx67PV4$ILu?WVJO2kZ31aAf*dz#|FgN}eo7_TE!-wej6o6ZpMW5Unxr5H4 zcK~02STxY)?C3z!8h97XqPOJ+7~u9~Y{yY>N0q?iws|K6`XjbLtI!r`bwI^|BVgG> zkQYKdkSxRYd)PL%r4Rcz+I2wD(*A>X2Y!>k|G(H%PsAlmsA@(QF#y#i@3jjkX-5cL19Aehblhf@9{A^eM!Kynly zB_KXSMhB$izY@YOI!1%U^=+g7CM9&EH*6W*E<_57BV(7i0BUH+vg@P+G$9HsCTT|S`GeJCaF`vOuTAj7Ua66H_WfW^6gTO5ig#HoJf z35exs11hr>dFi*f9}T>NfO21$LCZ{=0zeL^o&AW1sX^?1QQQ z6C`UTOm{&jrc0)FV0cH_aiDfiFk`_CRVo6$=6?|q8)1T;tR4u0_Xn8rMiGin+vA&> zTt9U>(+=I9UE88KPqu;tA ze+5ri0C_(ELij&(aJ|wR5O46aikWF0JsIg&hxCot0G1V9vFIe`X4UdVH0|A(A#kWTy|?zts`v0&h(2am)E zj)SFsEy@1NZ%+fVfIRLhI08e;W92&zr7b{~I(m9r&)Y6)0X=U^;rr)^-&+%>ObMX- z%+<*q4}9VUYDXX8=?n#_((DmEx&ZF@FG~6U5N5X}k9WrB0)c1%ev~g6f~3Xmkd*YF z*b2l6s37SNEUQPnN`G)|@Zb=G02-Lt9LzM+Jp6xiX)+XYv)d+3pFz$@0?r6a37}&0 zkS--K{XeCIVOR;c>jXw_i8EVT!Yw*ky$3=HOjZFm=%&X)kP!c)CBW&EF1BxJ$dzpL z><5jc%P4tUVG}M#Za@8kDDDhhNC?;x61JswSc-K681;WukzpO7wy5|rz$p;HfSCy- zc!*nE~Bsmi)uHI z>0bW;6d&{7iqDol3F>3?SPWMXpjft;zwrAsz9$vm#7pu@yQmu|Lsj4` zopKhj7#sVGKNI#cJy#JqmuIBP-{0F7$BTdxDT&@pQfA`X-xdfF zqo#dYW5+fg|3tKhvo|jtRJkhMrKz;gXx2R>SW^*8G;A(u%Qr2uS`>PYRKh!l$}J@{ zpOr!cXBuLUAu&S>eQ|rFO|dSYPvXW1mwXb_ zwtCg)*6rQ zrc3RfXVRyMQnBJlq3EU#hv>Jx%yvc(3-)8?wlB89jm3N)pX;ADWQ(@$XzbIr?l`@C zUvbR(-qns@N#){9%QBM-fvx7t>*9@CBF_Yrzx1mBlczJH3a{po1*F&x{G-eP`zT1n;()P1;16wUz^p=*nq^~cJP~tP0 z8`ItkHvge9L|U}9m|RKNU${YO?iV#pK|EN$U*x{{sag(eZ`a$cS^U^cbjFvHDa8P- znS@`J4)~Pyd9xk;yncD7gHG{n@PI$TXY@8EvVFc8y>tBSa_4vd^zM;fP}J4^`7tUl z@fNd2R=wm%(N7BBF!c^uCwxq1p1;g}d2m9*bj{d2<}=YTL%WAR83DYUn<-AA=zIKF zsP6z1U+Ecp_YrQRLjy^!E*fGnMR?)V0AE+^?JkGYiv^i&pDdDhv-$b$W2!mJX~Gs+ zPEPp|a_0!*L{qk=hf^t{mK-vJ`zCTKGYC{QM3w36V0SrJN2c6K=skQgzneaNLa$ztT@m zFyH-xJW2Fdv9t&8QE>$4M57Gq)PP}UOy;HMddQ9~d)7K%&xc&J4y$pllr6P4THskr zH~1cu{(2EXz;#*jwuiDQ*N|yAp{&p);~*m~l7uhE$doWY?PvDfa&?iJ*Th>?{8-4+ zAj++gXmg_jNjZEszSvHvSmZhryc}}|r?WXUI*_xqq)UnE7Qc3#x#eb>U{%3a+9+ZV-{XZp2@3i} zhcnv9*H#$Hm0RHBetC+$O~H#<_!6^IlT+{qnaZ?#a{Qiy*_#tPS)#3lQIAej>5M6@ zwzc+t=Yh$mWV`!)p5{UY<0%EgB3@sZ*0NP{w7_ETYE+^&f=BAKu6*~Ppn-bTgvy>J zXYW2{-3^^$Az`N{;ew9i!pY*zTyCJ_X#OCM{*0>ryS&`P(Zl@45U)UAxx84hmHY($ z2p+RK_-Ojx&};Ws`?M^5{4V8sIX=+ymG_vL^11+K|E&4QY!K#2-p}XAD7)FsyFF*o z=Vw`p@auz*jvGx|;1L$C9z=z7@LULYy~pwmr19nFng2W(mh`f_5A*I@!>wMgi^&_!?!oRtzaL2k0XQAr%M@eU;4+~L&~%}=(8!s{&V4W>n8j@|YBScSvf<6#UDh)TQAKdb>_O~wr%LT)jXs)rS z`1@Y7lopHcTAiMfHKcL(OE%N8iEcJTIPinN5poQ5%qG9%x;2%e{R7L(W4RDaz4c??Kvpf`(d-)Yg2>enuU=*FolhLBhRd#3KgN&C!uEGllWOEnGdAwor2lirhThU zZ@HOtjtH@ggT2NN=fU&jgM;lB2WFZms2Xll$obXAm}<@aEvM_>TI|mD0|~m8J65@l zAtnW{T<2deQ=SW!Y-DB)w|}|XV|T>rtxC1G^XHJWPW1=AtY=1jo+InIj#Y8yh|Y#- zu}hTeNN1Y?!*PMvb%LB%08Ee#Z_u`}}6x zoxmg!I_$uSjs<;I%h5~H+SD2AcqA$BQ-b+R+K*gWwOlQ>`hpXV5;rAkUoNXivvbQy z=6ijn6x`zi2wPy@dBfk z%dT8e&sQMz&@Xs|<>6yHB69G;q8w&kq(FK9@8BJbUMs>l z%gjlDhCpnYnw3mqM#yKLIU(TrC19R!)We}EC}yUqV?@dYx60s@{~~2!c;HAh;~dty zNfcQ>JirV5aVFVpWHuG^qzkx?K@jxupU*u=;i?jV<8ZCpZi#C z_LJ2K!O%%j;3Ubq0EEX|Vsev5KzUn1RBW1Kn0n-dZ>(JXkgFrBSWMh(cF1SOaFsLx zJKdf;hKZlyRGwpwzvfwKiFvN}?h$K;z^m;Iz?YfDNGEtSnZWzZ`>(yN zS4*QzU|~E{BLCTK*FDjFJktoGPqK+n|I41oE2R(adCXQ9^W+#tum2gD({>>H5HhXI znreG-6uP~78dG)uHJKjIHuU52OTwF)#tl_of<1`|jzJJ^vNR&@#L9I`Wb6x63fEp| z;@sSv@?i{rDTebP4{;^TC-oN4^oNJIvVoP7+-CNBmX%1ppHCt!s??!u?&_JU*7pj^}q%ncX^7>1y zAHBZxLw`PNS=7(p&3bSef=N+^BCG9YHX%Z2nav_4O$}8=7$;p%VpB;z|9nNdk!>1r z+$`zRtVWvy^R2pn#MU=ljUSD3fy;6A zcqTRSbUd?3j9w=cO}nTa>yB|v1IRH2FU*ln@tE-xtV7a_;N*j`&ofjPuwh z!Q@o01?f4&uP=2K6zlH#3WTk^K(pn7{{6*I^+~=~Xg-i{I&yTLgD6)f`_4umt$9hU zEiXADm~lSbd^jR1oN+@$!~c7{mlxx3wsm7X>E`ZdO04*YqNZTUt(;RSu@o6)(lEX} zHNZTL(h*woXMqmGCdnwG}6(Y$|6WZxV|I$E_TB`MD&7~oVUc_yarCzgC-2BEHY z?FpqFpj-!S=bUBcJ^6mM5U;bVenxfp3!WLg6k&z>)*!Qm7Z2B(REzbyKtBooo zc4Nlpyl9U@WN@kES3Rn&vEG$wm?uhN`lE_kC**0FVVQz@+ zQJGbzqy$zjCKuI#+vcWi`aZi6?hk96|ftXWtD|2{rRpbrP(RxP>q3y3<=r&vkVIVnz6bNs3JM7!}M2MwH=1 zeL6A@Lo*nKnEUXpJ}e!nF^)7ye46RQ0XPhkYW9gF=3@loLEC56mB##-Cq8u&^6WEr zBwQL!&R1*aAQstfQNXR5p|Q8byRBmRjTq#lTT&xvVO*&VV|L-I}<8yY45{D z=A#3x&sQYnGozZP*}+l@-Je6S#_V`3sME;)>$bxR5<57ic59?*7OlApI8nT)d68lC zI7h<8$Z{GJrsgoxv$d;N6DB<14U!M!8xIY!FgdKsk_6sAb=n23eo6a%z3PUYitlC*NZ(XU_LvH_ zypDC3kJl-gGTtT3_yVGMg?48mUi8;hYy1m6iOzQ)8&(|7m;!Sg*895Uc#d<7_VSG@ z4qa;T7+b;l@G`|EjB8J#bs78w&YmMoW8O0L$VvWl;EbB`{VNq(=<-TdDXU+%c$OEE zWD#uC#-Z0vrWnp7X0IMLTMJY}A=sc&>0pkA{Cp zG#pV4Y_o@j2_yeY~CUG2)i4-YWQ7=R>TeH=PlKWM#BMzXs(rW3kH#2{hFQ* z^c<;qYE^M14tLG@j)P$K*)*fJ8x*%5F~dqI|4@&9Q_l))n5ehDm+Za!hwridOgew+ z0&`^jHizuYf=m0BwL5(-YmWw_;w^>UIlO1jZ-{M5a~xjLe&*&A?*A4f@cWAv6+P+5 zQ!IaNW1K^RUw!;Jx^hfb&KW5stK0GnpXn_&*yRx?TGSp2a36iju2L4nHh>X-a$0<0(l z@V?CA?49s&Cb?<}H7)?QKn@58>Op*uaXwy5vSr>LPaT*Z6{H*~7;G+J< zG`vtdh4aMLQ@qo?n&bjPNq5rZ-sCI!co||cH%4FnQVsZ)bgK-5K>YLmYY93eKxWpg z0a<1Be(zS}4=m7k??pi0E^|{9ANbGJEFEUVUf*YdJQU*pnWUve8 zR1o)TWb-|ZozipPEic*$^pN?$CY;Xe=z~ljv^%;F*l1T9^8nv8203J5M&};HDW?lSVu(p#NOYItZ*D! z%U}5w65v#3a1pI3LH(dJqzW!^kcn`#P?e>P^T|FOPmlF)P%k5@+*tvZ^Bvqm7Qy zls`s&(@3TnL`Ddbvu!y5GlW4iP`*IU?Paa=JoSxa#S>q>WWRj>VL!QMRyG>n{MkT} zelgkz``Y@w@Ax+c?`{t#g?QK(6>xZ7CKg88XW>V>Bd53>} zbS9FGc(@R-I_sLh%hfzM-{c(~?Yq2~+;o6u$~+X%8T~GAu3q{~+aYf?iDGB7V62Lt zma~RxY`q+IjPI<8$mDonV)j~l-up#M(h1LFg6YG`b9pI$ugcr7>&|TyPE5VfJFNwD zrUq6DcCbr=fAZkAiNf2mUxRPac`H^wS&gF-Vz?g>uV<4JZjIy^3Mf=pEm>56OBmiU zv5t}zgA|sL-E08i)103(FXgSsXUU4`xwn0r)05yxFdG3$#_JY(L#g^N*PpjIto7DU zvOM5l&bc;wUEi3x>5k@pzg&2%9j(p9w79ukh0M{KH7M$iB`55Fx~GK}%2@;OF8i-dVopYo^TbiA;ITHIh-Xfu&} z7d1SoHr$S&IO(xzfkmX_5rX%IpRvcs_qVp3HA?)PCTb26ElFKyJ@$S*3122vvtcuP zFpjHmO6t&Ob&|n*pTgCiU7)b8f4E7NNDhnqxQy3$1uzLnB4c(#T!l~5 z`J%(n$I#G0K&#h}>hU1eFoHjjdFxakM*icqB#Gr^=5&Mky<(?u%gp2>qT$!x$xC-` z_%KdlQxBF)de|(>D~zaq_QmAMM>Vj0w&QIbTHCq4@#AKs0DE5O-+7rv*b@-N960}n z3aZk<0un_@Y-k{gY8P^R>qX}6zKIGQPxiXGPVm`*y5ZKtzkfCdh-*=ZbAPBHh4ZzD z!hye`sm~Rg1o9aTb5HCtA-Z>IZayz-{QgVo@b~%kiH=v0mcbm&t2AgKI^Ih16e%01a3z}O6F3$0};?%19#iLqNj+ZSR|eJy8x z?*1a-swjBr8k4jXrtg*ni`7Fe)CVZ9u-F9}8CxuaplO2Lc+2hah0xrua$z@OY%kNO zU~Pp^5O=KWkCb|<83}w{eg}2ZI!8--FNhO{`;`4SnHEaKqjeOf3{*!ZR@arbQu>%V z?U_*d*a3C~mqsyVr)Iq>^!jF`8WG}N(_kzE$KjM)`Pj+bXqc+OQ)75Y;am8R~UBfs6*GF_?yV zpn6;cr0Wfb6k!+{6(YN9xldOG5#Olh>!4Ql%z9NRK2;H-Tw(;o;c%5sA5^pTGn$g7 zh(7Ny6HN9+>aDLeeKY6T>0^QR{;U690%hoAFZ92V@LdbVWWe>ifnL%!RP0^li(1lV zwB7WLy^#A2`LLkj@`P6tcMKOzYg4@GXkYY!hGOYsu>2UoI+|ng)#!2EZ?U+R#w@aY z2Z%VXRRcCO?)NT#3bTyg>#MIY1fNg5sv2wus6G>x6>-qhqZ|M z^7cBXx1I+ll+1OaiFLPfbU*)sPdUKNpb7zGLGNL^7a1fgMR`Q0JT>SY$Hr@<_2%}lmZkY|U!$!WD?Q=_LGbYOjcg<7V z0l~$O|G}>OJl|{X#0kG-aMtuG(iiX<`7LFCP5SjMyflY zF)+C26?Q3)doO3StlnrviXn>zaWA8*`on!**sVT_tgZk#g?nt1Z z4w=5}aEKtXX(1%5Qt&B;Vi9h6pv*@90mk^q{;{jOz%SFQ28&`x+SYW&?ro~Fjep+b z+I#;L0(=?mSafsCNqy~&*}UNv#v1ZoE6eSv0W1i7E^!jrSSL6Vh5oW4wimA{yNFc; z8d^*_X2E;+-fJEV}B^md0>JOmz-16p9b06O1A#n@%yCRHB-W&jXLMc4nB`m z6{kMfL>fpiaP9I)db-SvOXT^Qwq^5jZ0AY|0l{jseoGNob>6*RFY()&r;hjMyjk;{ zPhT&`NZN6tzw0=uv3g-YJ~dmrjrPffL<_ibWhbarJlNq7nJ3P7M!D{^yM4jTHGR^_ z(;aX5Ax{#dA^N1=FnEP2Mj&0ZUxBmgMZ%%dfvd-fV(+FlwYR+N@3=4X#M1a2Rli)u zJdm5~H*qCZp*|34FAN_Q2G(0)Tj8>mkD2_8@1iUBn;$}?6GbEMUk1Ekyu!8r*Gbk< zzK-BU!H&>_IYK}kl290^ve3GPUX(cgud-v`MVj})N14pc$cBo>eLXt6+S+&AiXsRm zW8`aA^%*9c0>$Cl95Oqg{seYRBn9LnN|iPiytlK>;|Vg)j+K9pa!~r&rSQ0R#rQqR z2ln}zC@zsQ^e~vY$0Yr>Tgk)yIjIv;M$^^DH zHC znl)^0pylg>yBmuMQT3oOV*2uBw8Vt@14b3vy43leq$J;_?+mwNsh?Ti)i*u?-ka%5S+r17g|m0u8Nd2VsAvbIs~BZ+`HzmAa{Yn0d&&F*XmffI z?!lpk2S?4Tbj6zVCBLt3q@8`HEpjP1q%*VHyKIs-W{{fyyaINn+QU1v(Nv(aJK)yt zT{uFA*H$ zuQqr$%>PWcJqxSBMXg-mlso7Qkedu$MfS#kzcZ1 zkt5aQ10O}XP%n)7zs)t2z9;abDkWxj4Nq^81YGx{+W?H@y+4p0Ttk_@{YxRr)O2}g zJK$H4m^x74R$}I*i!KQxZ!78?bph(T!!jX}A;%fWngg@oQ`YR(PSQv0+CaIe<#05b z{{u0550baMz{yR3K3kLb%FfGI zzHbBZCL?RNh>cfo>g&H3)+ycdlw?-hI0K!9uXPK1aPqs|1yivAG`VM?_yL#)5c_r zKJtz9iqJxF zt$l^1y!Ar-i4O?Cq5y||%2h!nN_rLI6XJ&fCxt639EFu%#`-T|E=T8t^!BnBxS6Eo zE+U$H9tEQ{K(X57@v-j>dP=*oJJ9Q@L%myCY0!Wv={Yhl#ImGb5S@W}d2mKB`EJe^ ze9!0j<8UJk55_%QMe(p*3`O31n`9khX|oP^TbSE0OygMA_~L<2y^40cC8nx+v^v4n zR$VM6==&`A8zas%X8cb`FM-O1bro$;t;1vU)}=2VKI4p|LqC1-4N@ZbkND<2Fw6#L zthF!!?z|6Hx1gGQB}eo7^HzH=e5|@qUCE(^Y3kbbmUix#)#a2kM!T#m%i8trI!!1F zy5|;l51z0qIEA{AbFw~EAbW#HUCbWM_G99D^p010_ip7zpO82=is-zV*MYd(@$rR5 z%p`bELk|l^*293G%T!te^-5jS;V~3-;s`~oZsQMlbyoEUUTP*yUhYEo3DQ@&br`D8 zvcjC@4ka=4k`nb>jolg48m;99D6bgE-Kz~LqAK%-!Z}==w^a_k8~b( zJd{9j9Jk9qw5#N=ip}|dMg#Ucwzxc5A^HbU*@t@L#%zXxI?1&*lKeKk$0nI8I%nUK zU9;XAf**O8DcqInI9o@BD#ZuT-|IIba%gT9oY?NXrSvVPmA5g6aj)xlh|bZt7GKwo zDH;dMz;p0j;XlOu}?@^-%RM8-#u6X_xK1>(;nW0*<+?$}!H$7i^G}uLNh<*Ss`3SaO zoo=%@Qdss>H_xaRb?538YRiX_rLURf2^QQZytdWkHFxDWX32GYn^0a$E86AtL8S6N2=}_T zl^!Y5Z+ltIiB0i-dYwp*ttME~A0TbR;{meY;7xGJ6-#@)UHy`8=5@l}?RFp}b{QpR zY-)HzWwZ89v*@!+lTx-<{F2!ZeCq3J-z`)!F%_6$uA9WJ^SP^BCx|%p4KH)WTj_;^ z5tbP(sK)=pA<}(g%{A8J@im{vX3w~cbl_Th^W<#jh)w%-v`bCu(+O<(&t!H-(q_}u z5$_uYp7pHJ1zvIY(^cASKUH-o_L*_xM1Z#HGqoDuK-EWAC+W-M#(0W=S>Xb0s)*&x zD`Z~DK(lZ*^~z?XU$B*o+Y?eA8Bu|Ni5|%^vO4SoDCE6@PJt^NAxyFbze4)kn(s!O z?_RsQ!k3ZcpX<7{1PLDhr} zkylpR!Gqqfedz>#03fo#%4cI{c0<`kX?U?&`*iJ|d^Viva-MZ1?bT&mYi`cQlLKKC zMa85IoD8M5u_0ZV5LxdWXT3{U$6}3LYj(6Dvn1680C#U^pjYcA%-Lbm5`LhLQ`cwg zF17HT$Z~f23wkQ&=??lXy2mt*7lb&`;SV2g9XBYPM{=gybQz< zWsB&}Rb}>;l5U4V*)XP$wp^%6ay@~ezjXl|{!wrqXj7y{vSqu<^5Y8Uq6q~lEwW#I z0I@e6NyE7VuCg+nNv;n7Y~tyG4z9Xpj~I)1ZoGpu{*tGJ=RiQQV*p`9oek6hF)_EcS{^+xr-baG?Sfa zDyit+O0!(%=WyZ+E4)lP!MUsTlpWI=?TNRvRic~0S4=GHN&L};YJFp#0bq$Q>OxH* zPY!fYJELTGU9KY%IDX}ZW)>_&ejjTs$ECkp(FV2gDfTAo<_FCuAL-2{3lB7a4m+qh z@|JdN5YYSYGrM}_#ZP>{u%J_zUAj+uxRG5HVhz=n%q!P&yCq00Kx*QrK|1y)r*m1% z%{}2r9cQ)V!fx(tFMU@~7Y=5GdbI#B1x1XlDxPEr(3v8LLr(r{aT^49pirIvo4hcl zGC@d%Q@+W^da=NKcg2v&jML&HYO(0-pPJ5jcrhpLd-#nRJ=)dhmp|gg-{OL|^*%#8 zk*h)1vg7}0U9}TwDaybL%i~)22YpZ}M=oW}`+6(yv3(x5?To1F#rgOWKmA&&#YZhs^KUIAPJKt;@M~TV(Kbdk zy`Xaq0~%()TMplZNJm)mV%R!fc$WC3kLbUSU=f3QO2*;CDsq()YHUEGq8ItNnT}tx zb$>gDZJSj{4gA|J3z?`8Uo<3d)Gt>fx2cg_P297~Y* zHm0sCeaAmu2$mQLc6dWCYdljdt51sR>vM?Q5C?#R-gIQjry!~N@Kg91^*W6&uH{$! z67CZt>a8Yg6i|W(L2vUlsQAZ|9Lr+&)Tk7bu3g3|IrAJJUKg<5q6?a-BSvF#Y|T_o z-5M2ii4QSiRqx%3->MRO^bRXGW`?Bw?r$1B(`_tpSHr9D#qOJzQvFVg*tzPp-=9p_ zeEG+tcBnN15+Nb&*)HEe4wt{(*{OXiqZ#1U%RGtH(;GKzdy%@T;=DVDKQ;@|TYxS~ znNB)3Iz&h5W@uB*)PYj>)U)zvfwTC<%;=VU@I|KL@*0N3KWEKNqmgF3t5<&7?Ys|^ zczX9ET^3fD6-&p<)-a@q7lCr)t=-rAV}k=cPe?N2g7VQp+<)q6-@X7U^?gByawyi) z=XSE`+*wSNYo>;J)}FBBczRrQNbgu?IE{Z&`{oCbP|7>4`pTr2u`K9ypJEV7jstBw zmGXqx4ian##|2U939oxyU22=ErKXP3J#X`jfnxw@XEzkWr=Ns6SS?~FMlFim>$Pxc4eo9il!R4?GzL<|i`nMRV@4aKlZ(z3H1G)k{E z?@Tr+K*jeih4#KAPiDh)nz8km1FGWh9?G{ifH!7uT4Ht%^>4f_GV?)9#cA)eC>s6a z`x6q$*6%sx#%(1&7K5%l2~Zt(PHF+4HvfCR*v9)NN$%d$dC)U@3F`lG&;v!ypHB|_ z*o7+a^EK)xR}lnJU%8B~0C3_^(9k&U{#<4HpTz>2R zE6jrL7pVz)j}@-gjsxce-4|EmXvc8-{)TX>xhSZ{LecbsHnvUaDQIw1Zu>qKU`5N1)+z7H-9G-C^!EG8*zxmweo0MVg0RaWlA_|*3P1&RZ%%ReWruRMz2 zjwKtP;Kxt1)0>RoQkvvE;8SV)px(o0#IgXmJT4nOQW%8q8NGYSX24X_yb>#^8K&?GFck{B4QXW z?Uj(qDHBmHP>2}u5ojLUt?jX&`ImFm9ao>l%Mk~1=^%$I;q~1NmaOz&Hl9=-V_R;4dt5CvCKoe zUS_7!1C4|2COa;zpM?1%CQ4`rKCbvYs4~IuJA% zoN0_b4b`3!BF_C&=Z4WQ_%haWu*_cF&x|4*vt3@?cXmxUU*kPn&(q_O_%> zmwuRNlO3}7HZV6hH@`7fIzq9%gcv5NM+w$q%H$9=EZ)g?8g{X8SaJoyZfY{T*sM#t@l7@kz@oj%yLT9iW7(oIp)i9sm~+ zbK#4oG?xs|xSc?DmW+dMT>f&7{O$hWhf~G`k^tu?-C2ou@E}s|kn40Wyh<4hvk!Vz7`;1={^YBgvC%>@;U9|H< zch!=-W_V^(@avf^-Hr5JA0|KFs50sBhmu*NS;=EYa}s_nQN&m|E!Q?7XNJoKcWa#W zsi#g9jT%#d9ujFV3AVC?0z71+JxO^Fk{P)$#=Q=`ex8>5Gx=x7iJuIw$qCkzpu+(~ zQm;OX>D%bHb#RG54RngD4L=)0r16sKkIGQ@>ofDRtK=mIrM|hT4+2c(m-Fe$k{kf( zea}1w{=5@hF7ThWZ3VM$tsu#4odyt3cv*%%b3DsSiI^8Dpx?)G=J@{XjK7T@qn>Xs z>0s;1%*Wyv1;`eJ84G{a?{B$d`xdGpd!$X}H6VvW>p8Jwo$>(Sf@RPPzShy=0?0P1 z+B$d4GufB(7mHe&m|B9Hh~EG(6M$4j9B=c&8R_*$x{#DL&TKtqKxB3+FIDzUx>{us zevrrvIlj1&1(#<@sj_NNMJ~R7*1djfrBQZ(2Cr!n-pOc{T$s6rm!4){Ue-IU5$v4{N`NfuKTKnYsJGGF{dp^-RXHyztsf_BE`;@UUmz?+d8hB~9kvPj`&& zH-+b|2ed;Q0WvV)9PiueT$3w7do0!6osWa10(1$|SG#Z(?--H{7xgzWBvdH6UZ`hO zwi6Cv(n>b*Y4zee0z;)K1yj}pXq%f-7NBzQE--ICP@yj|t_yIfd9flteU?3&JTMKy z@e`*Cc7>f-3SJ3Eq8(<{qX(9!PVc|u-BtIJeHr4D($k03Ax;tdbldK23=_?H8ko(u zyRmrIu6WwbJ}huY*>2aFTpbE!f&Nvu?r=0UHTlzNY=IA?nZ z38wl5KzDo4$$pMsLAELhVItY3iEM`2^6kabzWe|ISehNN(5&#I?I?$Nff2zUC@50w zCHZT-gbD(dr}d>^UWg-$ZdSu251P_=Nm#|ni@LANi#E|Vq6`e!xE}k{A=OlAt5xPR zOwlz6=dz%7sX`w~aGGeE-(u;=6i?B)bmgK-a88Y%*L`nU?rfhldcl0#2*U{tX@qlv zjzj#*Dd0ahKlc`3hE7~B3(1)G`{eM4sDO#^G)ZA zbNrm>fx0mYT!S^(60Q@nExzG}RQ#r=?!#etWbKI$m7yIt^MQv*ly{iManiWspYFMc zy&Z|OHo!yP{-QQ8{4vu$&QhnXx)<=o@N)hp=!7Wc8G0=z1gC5_{V>M!H3)8Wk@GOCRgPz+=E}M<`&FNE4Zx}OVAWQM zy=7faGIl4jlkOS3NHsxLP><>@@T^Q%l8jwS;({GqYs{STM1OFcS$4X_bR0MqD6h1! z83VZOR@IJ?=c@_VM-7-NhrQ3dqS13Ax~;%fuZqj4EL$JYEtQ4u1ExWVS|sf@QKVb0 zgCUj?Ef~r~oMQy7R*$@t!-j2c^dMb~bNEjkDW-^*0LFp4mOI9xJcB5z9&mt9+LEvc z48*=*!=Z6zt)bb*W#&!#c2yhIk_MW+arXY1FObXom@Th@9SYtiHfY_IOBF*=MBW|q zS%bg{6TR>j-wf@HO^5#c!>-4Z(a&V>REv@%=o9g!`kYrP5(_RX*vB)pE2E2q&RVLm zQlgy;c0P6YG_{ob_lC!nyC+RWXYRt?cZJzZNVUVPHyuI6Yd+%#A>3!#4Rz4!*F-7F z#kzml{IfCz84h)n-S-wfm|gmxbera6Y8}rtvmhslEfhqS(i7oJqY$Pw@Fbk%JU0yZ za391?G4-I$xfuj7BUj^WIDi%Pf|Ux+n*<}JH%YEwq=ssW0@M-J3sNkREs-msl;Kw! z8&bT=!d<+##NTbHs^^23U3Ll5CypyU1=)KVItYVbZ(|S$6?;X)bv$0xczO){9$9X=VxB)T(OaX9f0b zI8>Q%v&xM#tE7M*r%d-CQ*Dip6uU4a*LRebgIiz5m6+P9vS{`yWV;#<=Kw{bXD89K zZAN?OUN&Mbu^}#cTHz52n-oNJcr4KtHy_F{-4S>Vu52F~dg);ofUg2QeNdNhHCbc& z8Rd~Pn5Px(`*(k?&bs_@bWMJOH%fmFoWI{l?3*XQFGV|(%8c1n4d#~PbS&qw4yV7deu=lSz(p~WCp@`GkYjs!9 z2g)VS6&Fmj2|NWGOMv#)+_RoaGAe(C@|s_JV(HZzMfViep?C9TPOjq5XGB9b?jJ6r zeee~?xE&hMK9{j~dMXTC9J2~q_S?)`jVC zcmbkkQ4 z>Q;d&007TD9sCq*Sl0{|-qrC!emA47)xbrl7?dQOGkI8$Cwm3qv)3RDVdYsK z!u08+J8ud!6v7aOncS=_&I~)`4&}!;0erM&5JH9i69=1izL%f{AM^H(9gVNjjdM;@ z$t5nO^K4HmP~D6X8pk}b>uuTJ((o4*Wx&qVt?iJ(4_||fMZ;fVTK?HKH8fr{l35rN z?x1SeYLNn(mOcB|+srWY<#sU(2QNVL1{FBv*k?kWOve{w*sl(7+#<80V<04d0IkFx z(rr=~AnlagiVOu`l!TNvt~y4WOFe zZxz_r?U&{20#c7-5)B`O)yTY{dDoeSFcJIQ7ZiDCB~`s@!8T23&mt(%-M`9$0J{+5 zVf9O1a^@K%v<;>LDY<1jJ>qvh6D|C4t1My}I5%2@)%;f4cGM5kN2myT3QUAv(ef2amydKWzmRSlt>O?Pi z34YWsPl*oD0)PEJs@M1{-eN4RZJ$ZQ2KdcmW*s%x&r+7|cIifa{lij0!>Z&Mn7<1p*gYJm_%-aKPW__Tl-sYm)IzQ+F-NRv*WQX1JlPq?H8$IeUAE$26 zXS}_d9Fz|i?eSp$uV+A@ZU<(N;p27kD-Y`7mTxdB^^0kc>BtH{$&zmW&(Y7Tx0|St z=s&+$ap1r8G-GnvprLAH)E=a_$hbeAQNYy3p6J5K8CEL1qJV2gr}6XzappQ9%yDM$kGRde28g z+ulEol0kSijeCUE{rhv3-88qrJ}{&V60TzJ?-1X+51a~N%H`1w%{v;VSY8HyRaUM4 z7Naz5LQh-$DKv<-{$0jkHNWA7RJE`#X=rL%lxB@GAcyyOY_v#BP_fS z=tBQmBuMo}#krD2_OL+zpAyKsJ8`OUkU8BIcA9rLinpxAbJ@5wU>qxsLZ{w63iVz8^wyIra>$?os;opUt z^{~Q*sces>80u2}J}qNGpnQE$1;=(M9mN}1Q2$zYOeK9CN+8cWb}XmmD(w-A1!NxA za@r&5Y5m7>=LEjXp8@BDfbK@lzco|jFXxa^lVMdqL-TF;MKn5*b-w`}*T=81y#gj) zR^172D{3JRfc%iiJW9i7gs&$I*q1)&v^(pymv^fw;#W3*QDkPL(v(Y=EYu4};H|28 zSq*lZo%ZTCuc6u}Fyfq*it^2e3_g67JUv^#VVap25*=K=rbC>*CS=^se@CK2_y^=n zns1^#1#y|x_R^+pMUd*728;BCd&|@=f#7e^Gv(eeMepW%#R>||j$HV=At@(V04YST%d5pj@zOuPe%~OD|J`6Y@PW;EFeFz*cgU~BA6{Y6 zE@Pb<5gUM>_gxi3k=WkTbcjopE#WLUe&JG1`+oZ3CEr-{)rk_{h0>R$9Rxw+DaEc9 z?>oe!Qhmozwq!P{|F2194Bj zURexzKs;KqG2+onn}eLT>l%VSWDFt^B;WMErN5MI2187=+9;z1ddendOsKhKW%0AOypZ-2hLjU@ocS5jxmHCM^V%pG3sqm z0k+u3^xF9X>d;C3i-XXyqIPLItQAb&snWQq-oqtPy5fV&yeP7JN=@vNXID$9cd=gM z!@DCNFakKX5AuRqU49(!#lIe#59gz9HUI7TAQFvjaLldtxozU@96T4>u?zlfEx^=V z!v1LkkAFbTu+-uyq@1zyi}poe#A2G%Le0K*L#$FOO}mm zRpz6y8}t>#g#C1~St&Kzt**10y$*4z;g9o9vuQZR)PZ9p#DSZIYEGCaIgogq<{n~J z(caY}c?jH}tYg6-O}2-a1HKeCOn#8B{>56`eH2M^!%%jqiFplzmvf;NOp-MWqOOrB z+dEIU((OCjFEaM$IQ*bLJjJSG>by<%<%XSO${pAJPNVs8w^y3&{8ir8)Ltrxr^bVa zG+*lo9#Ybs{q^thH7VCWYH2Cl7CmhTdm_+53vuXp9y|G*xgHo}9P5^)kb3_uJnN6s z@UZTzq*77-_`1DcQz+|VHvBNwsOetZl44|;i|a2^NVYJ)jcRA|AbNJbb>-Arx>%|i zk~+y9VLd>S`%}X0Kgge&>dyD*wQq>9Q_Hk_a>Hb-FZ2hM6@4C{@nZam%m$TMpEQnxXH$ z*IRVJWBOh&-i#uTn0P*h_?P(5}LlfrWh`%|}{s<;&Z}$dro|lv4i~&EpTT^3* z-2+0YD{|g0R4QgpuH9v*5Aor`Mf$|8!F3UeVywRs8C|&+N`n)lr3R1{ATD*hyd6Z) zC8k}ZNbhjVpXyC3L|?l4>*zGw)STPq74;r|TqbO?vNJNa}wTt^O9gf!$C_zT3)KzS5fMTcWT^F$%9U=j6k7`YFZJQJK%e*M*z1$^ITAE;O(gfVTT}}Zg!2E<(a^^KMDC!o|WXG zQc>ZA^{0dNYM>2$4eQ90{NHi?; zkrn#%noQg6l$wc@mK9xQ?5Vc|U!=zK4t*SSWP%168drTwoXo{n>A8pV8k#&6<#Jp} z?4$bbGB&vN1s`I~;cK8llLfhB%;Yruuz|{4Ly^D?d$vug#I#o4I-|m2;|_L| zL<$!dZvD`siSkD<-rkmLsWIJb$jV{Qj$L_jIrtwN+W2f6r|^>1d_r0ZzlKeTz=;Y6 zQG^i%$sFUJfG2#$4~yDZ-JSS=vHX!_QO69knoE3-Q0-}DmrKAdm;(o>OCJ_^1=ZkuR1e$)2Ty@CQwc2jxsfD)BaF)fFUy|IOeDuQl|e zkxVDpQM|(S)R<5q6`Aup3JaWWml{Vx+N%7b$OTfz$JUY7O>AE&D$!1Rz@im=z z@-DeHD?};3?M$>(9~VgUToq58h5wizA`|2@1rFD_^RE}kdnO`U@7he(Ug~r(BKAtU z-j%~>xs?zh=RrnH^0Vf^(YwHfd`~qj3w=hl&&j#{(N>+xmI@1Rt8+KRdWZU2aG_k2 zyU9%-^w4_E4=q9dwXEX8as8}jA8|gFc->;UGAG=BE?(V+KJhu98GHSM2^<(j)@!MO zWM0wUd0(1X#D|J0gi zcvdUk`Hnjsv)t&QrV;Uxy=S8^x|ZLJ`{gSfq%9Kr9rTwZ`%m`fQNvTozShA^@Gf!6Wn+E6Ysi+Q>uAHLKzLot^KGI^( zX_tLE9U(2zzx<)@!uWA_@qsD1;_1k}X7$7xXgv`Ox=Tv}^LN}~IJL%_(qg@Pm#1#0QdYgQSL!0yz}JewVWgx8azB+< zzMp+-O!ZsLe|45ZQv9 zvd7y2yJ|sLsvIN8BvF4s+xG<*E`1?Nri#HynO+@)U0h3$wKj!EV0~BXL7g_}$$txf zydPN|;IZGSd@ZRlg>!_~uw*agdFwr=nk>MJ$s0Rq?4u0iBJ@&U9I+qq(Jxi0?3J(XU6=S-Z27Td4S;7F3|m8IwSaH-l3UFA z`=1HeM-yfIVznMROnlbC1~v?D9xzLv)eQ=x?}`P%O9qa8Cw=Wo!^2QH4UfOodJA9E zDu%C@ALpq(?v8q}(Dms8zzfC<3^7H6M4FmIGlsVpu0(@cq(a47J_y)BS*I{6&9(yV zzlY0d(1em-Tlkz-tv5kwS*8Rd$dEGuI{u)DI&62gLo67%^Pr2y_l`B8xSKD}Txx%E zFZ}SwJY|%PSJeTRcgF|J>W>6k1WOP28psOZ6cC}v)ztBj$6;0o7V`a1zvy|YR)I6f z9^5m2AvKfRqR5PSPo=TCgW^_!ALCs#{;LG)-gBJKq*d0tAaxm19>1D!cqLK!J1 zKtue|sUVM&(EOqCMfL7RwELdGN#nTVNKavn&B&fA2 zF%rG|$YdMZfh3|JZXTm?_O9nz=u#JQ9v-^4r2nA!;2iY_EYkdMV{AA73NHb&^#6)I$wh|uU3 zihIBB>B9caD$d8*u^gBL_ZX1H19c(^l#N*0i!~jbADXj<>u>V!CCCn*YX7!wiVfAj z%4l^75|QDMy%y>@1_B~LC5$Y=>tPaN!Q$fiG7&mFtXkoFgj#0?eK9dUFg0F2* zD^4j6vrtv-8}F=_Au`pMQyK7t`Z9OT^J-P$c*K$5M;sxy$KEQi*1Lma>+;;4`+LYQ z`wFcmn%y%k!uW`Y2fr%9nXc5ncIque z0zDOJx>P9Hw`plzvo2zR4cQqeVNByzI#wWLp?Q)vF4ThvP$YwQd^@@ZCZ07qczyI1i6j$iPEjO&c3Z8hf z+QMzq{k?CaA$*Xe`Tbnt{76YYf`u_=@WZUS7~$7HbOJVOcSfIDz2{Z4b;V(-Gg_j> zsg9oc?HY&GQZs_}MalArNcY9mJ-UcfLjS+QtgngUJ3S@myg)$ZkSF7%S|NFsxN;iL zj%HV-iYK}FnkKM{GHqdZ)9~nR@+SW{GGysW5MAufeWc^$1+&53iakMwjO;B>{oL2F zOTFOU)6W(?_JCB{A}rYL>p(sMOIfh2~+w?xf48R2Ei!?}41@(%_%4ga7{~l7k znmG-9dbqckbgT>2UZy9&;+_?mnFm1)+Sbt&H9)xGV*zUigCt7F%eT`9@}X@p+lcvtKHc&kur%+8yW8k2nf%eP~{N;9N#S7j!E2ODA&iT=c^)jW!^E z>xJ`h;H2VKRk#~4+w7S7#?JHHLfx|nj`-y3r{8+IH|>c~jQr7JIeN;n9Z?Y7;xW~K zYviljUWbBv_GuP9j={bLOjZ{^DG)LC2rTY?h24uOX}52kSu=m{H!|P`Z!GX|{gsLT z@pSsoUNaxr^Dn{T9=NQ_V0Dw6o9}Bkju!MSbx4@xe07|lsXWp8!}3$*Z9-c-^n5O- zQ`?EceDJEkHZx?(Npi&$PQ5x(FbekO#;Wk`&hk*@5B+a3^SrdhOE&qbxE>_n-TXF2 z%B^4vx;|hs9QK0<9&G2&w>LJgQ}vCC{>)y@8!f`7c2XV3Uzt6#Ddc58i`yYz~bfEzKoWOYQhmqs%AISmRWPRbjh&)&yNp_0ZwAX8c<^Gqg#*rdftx|!+u zg!CD(A6s=2<-lQ8BPB}53r|;6%XN*Cbn{cach16h@KZi9;3y+B4DXv2ltT||{|AH6 zzSpxQ8DMV0IsR?PW0SBuGiQ6Fzf@UN+Lfmyy;Tp!L9()7N?ASGNw)g{Lsec38)R3!pJO3kRDF%rlRu%*v@sYi{~{B z5lg;kJF!MGs#;x(fYYv%t$S7$l{Ek_)N{BGEDUnE|8w(m;+H3CpM*ZuTcL5n6txQi z^1=$Qp1p{pMF`l8k354rvmHQ@hp=tQZOgjEoAscMvyF)kXd>6w=I=F>YW2L5snS2m znUuLed@~?l0Y`=1+Kp6IaV^WQW}#wOxKWq{i@Y#C-(f$=v?M<5jj>abdbz7#`nX8F zbbdm^t+*=W%{yo9%?l*y@3|gZgPAd5djksNgMbE&CEo(*a zv?g-n3-(b%)jipR1?6QL`~KLttv6yskLMtTC>JQ_{qz%)fKcNt5aE_el5;Ls*ckBs zoWpn27<<@W&J%Gyb_nk@@(h#$;FVfT=4@TUKw1a8yD4nK36Awry&wJhfgcB1GD7(T zs+*=Lv9D<7H=iEBqk_<3$SBc#Pq84yI!)yPy%Lkp<_S~=pzk2Zz)oX~;kJ3&P5$Ox z)o>_Rd)F@^CfD|VfEeAgu4RB8cN?Pmw_&nma*US-0i_d~4$#4E5 zH1f}Ajfg22IqPe*jlY5sc~pW44seBhVjIXg%*Ei|N;_cfE}5d_u6I z_>RAqMPvS=y$pEKff$^}iK`(%BHdN5J)V$ZU` zRQQI|UM3x0r_4##6K*9WC7MYPSnK|&b>#XTM{Z~1Yq~pFGb5hm=#~~PK6w3HKjKW} zy%8p?=&xyP8(J>Wy$3=cQ&8ZV-h1|=wPdR5LV>z-w~A)`gFQ!B*k8%xRFH2$H7(KI za^EIll7@pg3meOUnC=NuB4tDtq(r`}CWAox8??0P_?2O_lRl+PZiYK?nw(@N#VY&5 zHwwBge6;A1e7CJ8JSN{1Q9iPdHhzF}r$tH0yl3-&AvPr9dIl%xEywn=-e5Ud^7tqSm~lZ;UgNXNi?SCeNzoYi)0c{Xlm-yyp11eTW|G2atxcl0=R1|@ ziQ2XTE^1wxUSl&Ow$>*PVee7_f9Z;j7x@xpbZ_1|$!BSCSBy;FsuQ5TkOhTsoXN;7 z(xo{Yivq@GViwc&HS~~tYBV=~Pm$=WnW5AUq|BoE*Q@E?AACC081+mJm-mO=@FPKu z^DWE!nG1@!2ql*8w$00dF=}CAXnxn0OuRC!lU`9!G63-0oLn)KSCiDOL#`_p*v`Lo zNor%8m*Kq0H zK@Bwi2Sqy-jDm)j^uP5&(?B;E?Ht-Km5re}5d)hJic?YmV8{TJ#um+YlI0Zj##r*P zvlg6Md#)vF>V`|IF?U#*WYN*c8wKeXKMg~ETXWn2oZBo6AHH+R^pEcTBZY6%cj<%n zn0LLAQWfoy7ac*ZN}i6qID4xL^jLteQ(Mg-5#?{6jfQ$Z+~jVjiABbjk``ya^>d$2 z;apTU-V)(xOc^}6z%35)(^3+3C#)~c41^cjQ*k=LE4;T_gM*&|s>b!q5L zS6qaS+v)!4Kc!<^x@RBz5n{15VBjozn!wfsLKg{!pXV(r2dJX27(HL{x&DbQ{$aA7 z>cxT*16{^SENTclg)`EYAf(lAD(7d#mJs64OT@#Al{( z@H!^MB%A?T7y_4-?`d<67i*HrRK<>gr_*s$4s2G{pSbt;nEE-R8GZ#MM&lU3nnvdE z0birM&?JTqUaGdZD*+$$T`@c>IoesG4C)&C#A{BzIla%EDdN&Bg!bbQ2^K#R`FuUv z=KX-K!C9|%)#?s{>jzD7xG=4OyV2mCenX9~9;ALp^aoa_#0`c(#0|V2t{gug7Y*W* znRN)6?OI5dV;gN`Iz!0$8_6#k+tE2ASGDOaMuZRtfNLxI3P* z^W+dBh$`@W#W-ax{%hj3-I_B;3fPyTlMUq{p&DhTHCH7}J@tps*83ZxRm`X@ACD@~ zXDxStQPEb%BnsEd(2-uM)x4E)glpNio);~!(RTg(;vpeFLv5{jK299_CHcBYtfY-3 zLE_*Uto^dAX67rDMV#mfOmjc<=qy>sf6Ofj2;Vi9m!mycl%SQ`emVLfs2X-^96#A5FH1T%?iC8DE zpXHd@R8%GmP4&>zLl>a{P=)0l*4 z-Nm6FV0J4$46lEAex1j$Su-OsyuN1Uwr-_i>-#`-2L&xE8u!Z76D=p0{c~d98$;$2 z13{q9jux3{4$WlnM$83fUI%`C?+d=B&5kw{eN-;{M-JuFErKK3{6uUSjA6M5^eq>t zaJ?A>^WHBE#3@iCgR(GhO6S6r(R?iz_6Du0Ic*Z`C-2P?XWAL(SaVKN1|!;d3#jkI zO~5LC1B*+Z3aSlgXV1d+|Cy6>KENDO&_et^XeGb9pyVra$1Ff}kD$EY54Up6FbQRs zD!LqYvpMINFSg{A%t#UMdtc#r^y}5?*+E?^%JGM>v24gIczvN^&KEUxPG^?qbggC> zwP!oU*yD(L1?`eNk=53+Y0~KoeQIlfipQCy;f1?Z0z3SJhpw%)CI0}NXpsbCG3)Z$ z1;&gsnaXCwB3G~XWVr)J9#Is`8&Ip;Y=HnSWNenU#ZxZTCa*B*aeJCdf!8TxN;H?BdqS*xTi2v17iTtYTJ(9{yQ3C}dN;2dfmZ46q^ z2;8KQ@h(EPoV2tpP}H{|3e|tiTCLc!A$CcU)u`HsBkyaN{M5X@4 z9Mf%*$Jqb#zNak*$vz?ev7M5vU62reUIFVJk;RdqG{S&iA#q;1g5hQXtjZ)|Vu}oo zQ4r7D!-G2pr&N>$^YmPxsstA7v3gZ(uG!oiV0uqNdcE;x0)$l-d8O0z{iicPt!E}1 zu2AJ>KzFXWFI&AqYSt00HNwmz?$}%cr-+U!biZQCrH#PES7l@6ybe?Rx-c8~l-hx)&! zVuEi<<$Iq!W8(%kXK`~5c!dw(ESG0fKqFxmEaW#cS3wSVCbQS|C*fE9W!jxt*1G&< z#cQ(DiYUMv)>!0Ejg;HMiZ|elB+u|`1VpQsjts^nyVq4`s7^yxht?_wT@@ev^xJGL z)(g2VI|W3N1nqZp?%G|7rHu);lsskdYNg1MBOb#?DBdznFQ6{r? zohB?zl!TV4)}{E0yADcW4rE=jPK{w+jgKXYSVtGJK%9yulc@0hIS4L`-WI(*YCV(v z$4~>AfGYAOjbu8&4tsn4M-@prH?4+V97pe-s6ZEb%cM9+bLCi8z(MOe3&(MXPe1ui{y`Y^ zLnx5(8kzt`XnZ`#<)*%Iu3A8OLmP_TWgBN_o}ZHJ5@){iDBzJZCr!==P9Idk;S{vV zkGmJZHfMSO%|!-#%JDw(G#Gi=R7r4GH#xZfDV>rBa<{yi_-xXk|{+93)M`Ok5Nx-X`G8AmCwJ zLHN_m!?mwVj%wFWzV-Dw(U2|~qotV8XoK!UTy4Da+*$wXNtnqaMir|g3NrTRZ|W@u zF3GX{FFFgHM_=1F$8zP0?a)$Zm4Ku%u5(W!_tDK*s(Hq@3hx8m>}OL~AckN%r(k$P z)HydGnt88a?Ez~4^5Ed>yGOeP%KwOT<@OJI*A?nt6+kIh#?}SwmaGwfbISs0$ z(Hf=@N68#AO{J~Vac$L^CUwe6DmGNw5GW34mNhnZ3Hxxa2^O|xLB0d?qYMva>%Kwv z@Vc&viZ5P$(!NHPl$P0)fsPA2ls!Xk@8@oNUa;qMy2~IOo^>n-n3_r8BdxYGYtqqM zdsLPZQG?R-@`g+=lc+DbzCNM_)z7n}vhN&Xd_jO)RZ;HmE$&4s{fG8VO2j2khuz4w)ns61RM)Nt{Q`s;*s~uxR=8-{qz{k&^j+#6G z_3C5&JH!OxUC6==nE#DJYW5bl@(l7KFdhV{1YuhW#^>x218i{v+#3Hoi{tPie@3?Q zSq)cpE_8xL#*Y1$tfqr(szuyo5FGGk)G$WTJb3*vYF z?SdNkQk^^XWaUntY5D1UchMBR`vjL(MkY_tgm%afIJKYQOdTUCL%%=@*>OZz!l!eT z1b6Z0&WQwHInkK!Xuxu4ayI=sfG)dzLU5pgLtS|Fuxj(;$kVRC-aZkjEYO~SVNJ-?^X*stnqhimFVP+lImo-qQUl4tG@i)S)w=Bo(PZ+^Qocf zFKK&PL26O|fS&KYJ~i{qa@4|vLb#cr{XkF-IWJd??+`MUa=If?>H>)EEwaTWrHJa+ z@;*BD6I59u{P4NziMzJHpLM9^xjGVF#V{?{Nwr6nl{HQ~AFkuToE+s_OV3k94Xsow z>765lPRadQdP%*71JoTfj24w`*ET#$3$K=0+D3Rms-Fm}pgMrq0Lr&!u%M|rwY zdA%|=?QgrPBkhm9*8Xq}E2%N)jz#xeG_^W>_JAUaAt?G@IO7_8wm1`i-}4=)>zS@| zn)QyiFK*>5nxAu`U5+P@1A;(>pJf@ZI{GA>NhAQ#T%OGG*dMqpKQquZGv%~#*PJ;` zO_b-GD!KIWrS+$;oK&pyS4|t&@L;Ay*3Z_sOHQIQSOoV#~Gy|9`@rZbhK~(_J$aP6g^fK7xv+*sdnKCd)IvH6rE+v zeWeW2I2T|Ftd~a4l(uh;ZJo>ezj+dU4a)g(lQ4X!za%g* zDB`+e(Jok z?VUi)gUQ>HwC%cCY?uy3>yK0b<@jlrjhBQ|FQ^(0yj_LI%7`Ur>Kk=39ji{X9VQHf zue=65#-UqSdKhyDHbfV00~emr~e)ic!~jKQyyO>Qq+ zjmVVlcN;XjmC1@5TX>PmUuTg$Gh$J|Wj6_2D@?Z5boSN>nO5k-!h6q=Dx!^5`1&qL zRs2vnT>Nljx;7NB>OfG%J(7015!N2Ix&AWJSR|^|h4HhFVHX_#5}^XPQ`(1>F?u@?>`4%JyspkyvlnE@Uz0 z-9#w}j9rjvKqwhr;kGuu67f*Y^jKf_o{8#Kj`b?LhMe7uhK+2C+$X1P=nhvOxoJvc z(o`;*T!g%jF8K4&d&9>I~pxiRtmf}8@c-z`i^VrvOU;@`16K`&ebK)LoLoY4EssD6f z;N)R9e4tO)D;AhZj29Jw=HlH(a*aR3`QhHKE{s}StOcFf$9b3^fJPoT5ABr$1l0-r zFA^w?K?DoUzZyMnQa9P3N0IEIEKZDFu%DbLXQXcF9g&IX**c365a|KV(WG^gv62Gs zqt-JI_ABWt2dj2Q7xs2_lPL7D{b!f@W(mp>p)RidQ_4Xo_tZf)p!9vo^jh9$0s#=U zWwj>eu_oA7RJ*lFf{eRJDO6Ci{(f~kOF#Xu60>Z<`~|%Hq_)(G>jpQY0AaMY)cUHC zI`8UUTIU`PzT!^0x7#%{E9}-Q?&#zrJGNKhF#C0Xr4O^Gd^Qhm2f@1cH&+h<4wLIC ziJ~j|0`ds|7wi|! z;Dy=$OT{U8Gw%FF(8twQam9Hdoh8yVbN13Z%OGEaI6ZKGi;z3OamNA%4f4};hW*T^ zZ6A4*|B}Nh-syHpN0U6S7MxG$h&uWbq}G_hD&T zR|=mWrc*oaw>WkDZHZ{U?w{*uB+IIcUUwh46?A@1C%WV3kf_b%h!Y&&&gKeKGSIzq zu|Hqsc2e+KTh$TQ)*&m_QYWF^1!bUQPdxxZ8B1a06J56}zyO+BMO@OscwAeM3VAu| zlBtp@xR1BHuZe4+Q*!|cq_ZMenD($k$(Ny;cJZzq+v;I(bgG0Ou?ANgo)uoLn@{qb zx3#nxZhAy@iw$+%>iQus*S2*p+fGfeZzry^5(%w0?pn7Vx6MGDk|@05*5M-&csTLK zJAqsX@-3iF3|9mt5=L4Itw-8zVAG$6!8h9?yz=@1qdG$DU0A#&{XQ)h-|re)S3#d| z0S;-9`{OMe8e`p^=O#}AU2?0njf=L2T6H70<^nKQ$|%1&cHAjGX8G3~(%y@k*`bhY zXm3a?z7~1$xKnm~Hxv}nTi!p~o~Vm`AW&_O>V{55@$s|flt}40B@B{X?-i+_jO8%z zq!s_GU(lnACr<=X>FX&AaK)V!_zsec7)f(o|GQNi5HAa5K6wG)Cs$zs0fc!3P-f|| zCr{jOaK|?2e;;!akP+*GK-g_npWVk*FGmlqQP8Jk#10nV$l387FF_$;ox;e)Wmc?J z9C^o$Ss&0^)P{w1PG(|5XH@epl79;9V=)KV{>P1T6#2*ic*Srq!^qgp=zuV`uZ!KO zyR2mY5QX8GF#nydUCJpbA|-?Wr1~c##q8@W(u(s+D6UIKqJz;1x0M$cEiNllqJWLw z0{$T!_4}etumWbf3epyj#kp+;;wYi3#0sO2|Y$QLhCnZ=B&_8cG48qBPb&njS|kS5374FeTRx!}X=k#>66+ zC1&WMiamycqe!C&+;+pt>ER-+*?~x#{2ML{Y-)pb4#E!4uAIMjIYQO$t9eA+Hps4C zA3cnUYL14{m-AuRSp|uHa6uyIJe&4{p_ zj-6ygn1AEscc*Y*9L3VyI8Yb?&>tHV?w>FxVg2ydRl7cp=OO<9*eMq249of&?RWx) zp^p#5gh_scSu$j)1;%@KLdyyv^)H&wUIk*uy!FG(^%ic_<_B#k0(?MiCZI*}>l>yW zy98JWRbjO1R)QE+oR@`$C;*ev18%!hqGK1P-5T7k~un)_$DD*Kpw2rdbHvNHQU*$&AO3PMU0XZ-^8MqyNeHT`o%sJ~H%r!W;EO_M zuDkABepT7hn&`4xe6abf=Cz5>zK!I9AcXg8Aq^i;ZcKU(y5kc&@~-W{awxQ&EJL^8|AZf+q`t>35|kRxAi5g0>ihd z2q{*Qg_3K@If{>zCl-;JMLh%277W>`%6`KH<{S+KfkVXA#|-r$kP-G*m?}6RkxPL@ z>=wRLwX@Syk`@s>RgXCFu0$DNOA|j)Iq+F*$g`cnW>xT ze#6qj(zwv9BgP36$zjZ5IdtVol4-*fwwLD-}IG9=nd-_42+}ru!n3nd9#YL%@ZNV!g zPuW%YMk;L28U8w2`j+GsEEyRfF$D|@2eI=Dzpq4*)abSa?>=CvIBZrGnJCfY-Jg+L zJgjpVZuF7=PykPqz61G2F`3pnO0^-fkBYq`@eHZXS2nz81PzhCoQ4Z!_Q#wGi0t}c z!2x<>3vSrm92h{P!u{O-`ts1O&B@u%b6`_*IV#dwm`Xkk!q(f~Ka=w7muNsD1o)SK zo68NWhJ~F^Pw;p2@RPYPH3z?k>&Um%sEb)bT=7}F{1BBV!n}&?g{f!Qkf4gW9&>@T zYp=iNC8u3;#|j+Z*i$5l;5iN&U3eAv)4sj(Rqp$H9iKMlv@UhQsiBhK6t4g1+6V8j zAbj-;tkebPu_3<;PhMG~VDHLheIhX zAjD&jVSmxL&wa*kNll@~+1gZPN=oZN(Ct!tqgU|2879vFI`%D3DWc*DHgO#wxNN0m z4fOA63NIM<#~}Tem6jCpH^kN*Bf5OTMg#a`ft!x;+CitB%=msXKzYnfrOM0F=K>mJ zO^+37#^rSuDP&QAD-4ufUn~Szs!gAV_&dR50zCLwBH9?HPV8mYF6h`s3wOj9{S{s% zodrdMHv~SEgC(5fuhTC{)_N&yaVJQSjcZIvzxR&T+6vvBWizl{~(-X zVY6>ybV34pzC8ZOE&u{=F`T#c0ZL|MwB*lv3a=Njcg>dP^&!45l-aTiae+5&GMEb6 z7%FB2C#x;t@dw17ErfQNm9%q;*|^z#)ObnJ__|f8Xljq-WsqUk%zqLXhQmsxy!v<& zcrt(qAoiF8U?i)Z3H-v`)2FM@m6xAsp&aK z$fWg0*h@(U0n<%4-|?2f4V2V5?!Esp@ErHwFNcphpHfjsu(0dPvaUHAlAYJVOb1n< zVi;4rUKr-jkjNzwJuBVZ?@MRmYQjgy8vJY*iLQ+UR|=gHxUqP(LS#;)FXlo*JJ|*^GN%24}!;+$l zm^kMue4A@UDu9u)n9?0rZm{~IoKZo^PGr%wC#nQ-rJ%cU5G7AuJL=IJF)NG<9@nwL zOEP+|rAj2W6`F4K?E0rbp*em{bzhf_rvAKrRRQAVJ1LW0p=OSq(2)}3y(}PBo1!YY z{}WkbAHPbsYEUmGpBTbIKy|s3|qtrnwYywCO z|C*=@qLjgdNQopqpd=<)RSvvO7V+NU_2=AUn6#0&pUg&=QE%J?=oyDgo};o2M9nWx8ih`@l_ z{gYIY0nQmvdh^|q3Js51O}?MsX4!A(fo&CFD1}iRGA*7Qa&ot2{Z@DrHm;{K4g~-b z)clpQ;12b%KiYWF9pcJMHu3AfS8E^&YRp8`ot)|Mdi8}H;Xw}9Oy5(3t;TXp=LDEV zyEVv8=g|aCtUIJg@8BH~QFhQQX{DqSh1~2&&J?Mg%ZXnC=yS`y&Y7~uB&k!M7A(mf;L2@t&n3Jmei;yN1&9I0Nz(4PGm$(Q+owjRY9d&tn6x1ZrHD(O?Z*uC#yGx#t6zsE47uDQ z`lqj7M@7<5tMST%laY_dmnRIi%vp{1FQDFVWF!Lhurw1CgapQ^6y%ab#vueGC3LoE zX60sOD+H38BN}gDQoy7Lz3k2%z$t1&Z9F=`9^bMnmn01JW|?1<9A6T45vjhf6vxJs ze%7QrJxA|WYmKaO;|s=lHQhA4YS3GWLu>_nm$NK1o@{9^47&Bn+x<6|O^YRZn!(}p zoHx5d*L)?%hySSUY`$Oa>BeB+JGtJmP(Q@4s>oa{r)vG%5!78xQe_5qvI4dJmOmT% z_SxH8z2QG?D^fBj&1k33>D9H;GC7(!2ql?idWz2tF&kNdsSd-{$kMvb+P?7;vZi}K z@$G7I#hY$r=ZKOxrkUnX83l)M6aJF|lk8RA-s`p85h2B1od7N+%DUMlUUDsYbW5Y> z+g(mKyKDx49xU;kYvMpw>tC^cGnftYpC~=^J-_k&FAqQ`#apXM)qlVTsql8U%bHHy z1bk5RYo*)y%^C_7X%66+p0t^fVdz>87kM4vzdOP6{J#H_cd2ZZ7P3_;Nl41RrA4w8BH1b-+gQgwBuQD5Y}qOa*+RA% zjL5!B*%@PJFlHv(45s0GpOHSl-#`9%X6`(md+#~-bzbLn&Lc?&9R@&^lvQg>PQNe{ zi%iAvHRxy=48od{bL7U(gS0jnf+9jg?h&tAxAH>eUR!zX8s)vR3d1_Yd!dn3vqodZ`wpgS0!bO?kd2NDXQg7d`VXD|t+ip|A$~6ON`WCSE8@#m z@(am%Q(lLPI)Qp`)`%5Q;g4hsT22~Z2~$tZ&NUS-GX-3cZJ#8_+UwA7z$<=cZnY;6 zd@NICH)ThT8Y>dE5_o+3amKN*E5Y`?MXKYNopPFIT8{#9EfvH^z9+fC`CkS4itIH; zIOh-$hqKQecn(DS12GplFV?u}5bLCFA6fY0&oOXWmvp&Zx!zXyKW3v)?%NU_Z}_)? z?#Y}nx=_dBBE_=5m1B8Yg>b$=(yXX)hX!19)0Uwga|sX@H=jH1+Ip$#*(uZriNRfg zw0-UiYE(ZUS}$*cQP}jy{z7#>98m>p`e)n{YoG&&qQ??#(t8noRP-qkoYo8lI_OY! zSV8K`vjb$;$6Wz2#-aVo-ccsUUsW%TT(qKMzkdfW_>iwh{da$~)?%iId~#W1>)9^v zCN)n1To5mmw2?Hq`gw$p))mlt4mI5j%44|52cJZTVbq+|u37%0){MiQU5ieGu1B6} z|6P*sPhC=#&d_+?rdbN|fxvsg+B*L;_1k%%VqoRtmzwQbLMJKX4;S|W?NbbpF=3pz ziU#@;Q(9OPavZ{AT1%}n51Jytkd=#7O})Ys1`dGp8u*@HrJ6`1z4jyqid%f2|k`ijv2 zCZJKJ{AP#jq3`7FLMF~()^`TuBJB33f8hGO=k{Tye}tN<9yFG#l~VdpI{+Q1v0aZp zt;*|89_edcx>Tqe{p&N7Yq;?xpXATwX@SqSS4o-*KSZ2Z%a*4|@fY1;Xq%l^;Hgcq zrvkdeZav)&wN%PEP17%OHb#xjp{H6!qrVMAgTi>+1Z8pG8K{dze%!)U7s`n1pz6vUv#mb}n0I+S* zP}SKlUnXgaKU)+5L*41%@=$=)z4B<515ie}SQ{3y#PNYrv&&&VGcm^95NCaKm=$zp zG2if$crB(=%xU9OH)L)_E#qZWQ}(5icfINVwcP7pef0KcirGTdD3JQy>fLEP8qMXP z^1`G^aa2=TuMK{r`MeK2-*(=Lw*^vQ+Dsj?!6@#BsfELjv0D|r=Yo_`KT^GqyPoEY_c6XBL=m}I;$$OMzFy$JnO8hT6@Hf@CRn_7 zy&qpm=4q}k{;AqH;My|z#|u#LHvBFNpiq5a#g#7k7hqY)nvj4*1igorOnt3(!%i@5 zf#xS`LM`)pP=>82Hk#| z#JZM0B0IOTCZNf~m*eenMR%{fb9*tD#UUIiEwuX37H*)jC=qZaBOMfk{G7{rW|9?& z1d3e4yhtPv7xE1`k}a~H0jLuKhLCBI12QG@Uj)}OwQeN>En@D>e?wQ{-R<=*zB9!8 z{BY`kaUun(;H*5_6!y~L(FQlA9eZN$j`;iw-?+aUFuD-yWtt8o8ico}OTy z+78;@ZH4$Jt5rD`H04GF$4~I`mJSn62zgZj;`MlP2zBo8+bI^A98hZe`y0)B)WbVc z)d??k2IO)VMmhRu;??gni8AIe2~^q$#q01sk;l3IZk!Li`a@biA$2ED9!><6U(}_V zSpF+ZzT*hX=a235!w~!suA=YM07W6Y&Ru>%kTp?g*m2Hujyu6yz;cIMT$6Sknspq( zFB9MAeKJC@v4*4%smmq`!UIKYMcT=Rkpx+SV#5ylGmZa@RCWLk z^8q#cFS0Ra=l#NQ#@g!#e291TayZj}#?~hv3$j`6Fs4E>l#`&V@PzWE*R|ZYt5lo# zcp7$Glvm!;(cXg!$DKywFYGQ_OGya47$SLmOY)*%$u@tL**l6!_Sc~jQ%ZR zpx%D=aq_Dl)3^CA3sr13ThYd;(kz%C+$Rjw`2on@aM;Þ$gw|jr(13weG^ z!6x3EXFaSF6L(#<+`+~_F2+*Gfkf|n?C)0K0G`5>QTU4b@b-5?#e2Q;E=&6=-qISuo1u~6D3VgO?(B_We`b8j{w7unn`Y}g|D)5fzsGyd#r)aBa7KJ@_Jj5w+7cJd1QF)%SeBu7 zBR}{x9UR18)(PjQURBi#8%z|a$XhXdbxOJ1Cwb4`3<+zpVuC_YnyIdlt2P?!NFmkBRhNl4wBg%s#zLqFT zLil+SFHa^OGM?RHU_^O9{f4&0m*f8+*rSxMO--7Kczelx^eKmFM76&8FK3-NH+?zL zd9)RS2AD?fa~_m<9tcX)4nJQ}C&v`ILUoOV=eCaMS76X*M70#wcr4j_gF1*;yDr@; z%ScMof-ksEl|=hgyZ~DHznwd|XWRmy;tGmnq~uTE70I7iczB>WX!R$}1+f`_?G@5i z*C1>6D)dN_?$C$}jl z6JRCJX%$VbDo`tv(MP=3K@IK6Z1YLD+;+rqJ%7-|G5UwB$_r1mrfQH5Gk~pmLO>Cm0UYDBH1EnYR8Tb8eQ7V z#YexN{{j{W$ed#KU(f$^?xT6~QI&0Ty&k@I+?d5u>nT1`vRS$vV{cp;a{R}&euI>Y zKQ#Xn#|9N1sc~#f_z#E%!U++es#^zYYP?D^p(^iP+C?+4o|OSWL2#xuRnYD@VmHxp`2DwH0EPbz*#<0 zLo2Hs=}F?VFraw}_Jf{}S9kb8MU(cU>uCgL?Zv@t^I;aiV3v5hLIp!L7m`5HF+o+?V?LW>b{DI->!3g5D>QU2kL zE5*`Ht%~`eo zx7hY_;3MdELkb{i!I-*{sm~~UHc6&XyX|y*su)mBa;77OM0u;H3ognn9cJ_evRG0n z*Nc1ZBjq*>)KXij+=cV6@%B2hmfFd5hqZpp^!Y}c47PKxhfox$lp>Jk=%J+z+b_Y1 zvP+DW z9tsdD>d!KGM^|yg#J8g_c7m097bOH@9?+@6&7)4tvfvSSp8lAg zvfp@(s|^bCDEo8qnG)W4k^=Mbwc%)jG&g|sc5*WqhRQLkheZoc_$W2RwmYRBj`M5r z;Xe`MXA+$Qk*abbLS>lNKdJAQx@aIV3dxu1xw)rC+DzGiYQ)dy-1>R%GqCG1d%Q2x z*>*7Y;L!@DU1XapP_$`t1(en?urbg%ZkPg{;|L}*^CRYsjT&*H_yN>Tx_Q`Qi;1Hv zx<*eN^0~Ze`%kFtazmEs>zQ%K|3=C_?w@?vmp)qVD{<{5%OXN>tMte62~4pn0hIgt zonE;}xd@uyZk7JnoT_-%<}}HUto+(PR~=C2HJE>M-oBcAHF2^d0xFmKVcvG$SxQZO zR0g9B#NAsdgM;?H<;)aIFXHhiBq8CrDO<%wiNRzKey%3a4cV@=?{hi1=FK-k!lfS8 z=Y=JN1XZwWrhP0L-emycBgf)db?pNsPA=io=|`H0t&DzKqlL_xJ3UWzDR74!UVonZ zIR^BRE41nU6sa~oy!SVU_&Hy;KC;x7VjYqw3+% z6~=8wh&(-@uz%S1hQHE1&0zoHj#~?aEU1- zrhF+|e*ZMgyzUuP_TCd#5j|RjhX*E^6?9y_nr6J@^B>Uo5dBo`)P6eEJAxrfuZH6W z`ecQN;AhYBPBC_0h&OKf;@i@L3|fW3&+57wa;}vsxEZpFqh`J}29B7I=X#%cq->3j z6kE`OgTv5t*ALsHNDk2KVUC9YCLOdB%coj(%mD#0WyO55>1AIKW#PUyXfwofp4~KZ zxC66~vN9Z$^lnzWEtr2BveXy9Z@twtsdVReC8hX1&v~g?1kWC-1JsyUsa#`a4(ZKf zIf9Y#bngLQsDkp~7kUDksyt zVd$SU3Mjg?Pu*1)V|lQ5Beh7lH{bTQUdGK2g<7XBA3YW9d`#PlbKp9k!uZ|88_P|@IJwsCx>zvW1&8F3v=lMAql z>Dg+TEg^J`bg%hOgQ+ZKn|5A(MpMY!g||OU8yNNAtU(xaj$Jmy1&hI-tuN-(NTm6uB4CsS95aPLHh-xPo^e!=~NuUv;vmx1KQb$f~6L0B~ z&gdvBUS_I-JrL@=eY4wfw>*&BfW3VekYZxw@9C5s4kIAu|QQ+G2CZaLqC%m z5E+O~f8;-bIBTjNi|bn(ElP1@d#i{|vmD*9zlgSxZ+zOa)u|oGW|oR1oh`op!E={O zm}Io8HgeyF!oIm4$)8_<8XfEj^A$ekbBy}Hg2tzSUFP*1^SQLw_73fmM^iz1i;prR z8^4B;boRZZ?eq@MeVd2a>caL1sPSJ)A<<--K+JxptEP&EPgXn02#abbd)M1LX3zn1 zzo$&L`NQ8+g=&GKLPYh|3Lqe=F zkW8}R20Hjc^h5|j@|0B8V8}|KGyh%bP6!U4(E(ea(7_=h!%`G%CZZ-|IV^aXH96`h z{PM;tIahThtR#B4U?yjNITj~-e|2X1TeScpS*E?INigld^>U?9*#dZjf?O|!7Zho1 z)M*ZA-ql!1T9Ka$4+~~h^@t(|F`y9f{j(YXh3aYl>%Z0;no;A|I;1WrKyT& zKIjC5x08&TMaN7R&di`D0Z=b1;PaOO~}+=)uWds`kaDbLxn@P(L>LhF72ubVWwe z@H4rGTlqgoz4i3ZexRVi5MaK4e2y!=pe&S`(X$F#rnkUe3|eb9iTkssGvX3}^CeJ& z{-f~r$uQDA86yC_-N<7l;-X{bu~5aFrMIli~9s zpGl~SNu#Wz(aD@km+ow(CxHS4{Tn2>%t;!+_iybCN@RxnSKxz=K76bz*^|MRF&N^b zC*=F?Y^;W&;}-hbaGGq9yEHe&Qvz{JAz4VP3ulZRBO>as>c18?b)-`V{UrK^D-R-+V0RDPwx)}cYRbAam`Q{*amqAc1obmgEbJWdr zqV}89A@I|`)=cWLR|=gx?wFiKb;TE>1867ZJ#er+%$IgqOze+!~6N~M=+CL3FYYB(;;G5BsdwVUU*PILU7DIfG%Z*~qW7yS!)dxw4I7%M_M{1r{u48sC)_-D)i5 z+0yJ=&yE61GZ9|chfI|}@uYc}XNy3UY3A=A!kzGdfSAM@wvY1z9l*2o%zMKEkE)I8yhF%&xSsI)v7(h7#eU3zWxc1SdB zNoM$b8R>kDn0pi=)0nEYmWBK57&gb4auPYJ;koXGH-1{>9pnAzhUc235Uo9fRkh_E zUQ4|_MgBGie25Fwgx|?;96jPo#lGl!jdRVuKyN2H>?$ zc9ysGI@zhDjZ)$0^(ZKbEcglRW_Kz$|)s^oTsiey=~T(rqc; zGC2Y3!>OXssKg7_jJ2Bh`AoCSs0@2q;G;aJF5($%l@@mS%u;pqt zQf38~b+{w5NZgKN1(oh$1F@ISgft)?gEcE*cTTBIlECqJpiL}0Wt>?fXbF$jMwje8 zH@~rey0`O5rMwg4MlOQJ(0v?<`?jZ0V*Ht#YLXdihKpb;w-K+brM?q0o?95kjJdbj zD<+yB+*ux#Yf_hA%9O9~wUnXIr((-IC3&DcxB+ni*b|w?egrFxwkjG2O%qSl#sCLg8C;=bZ1(XH8%fz-94?EU)5i(G2 zL65+o0qh@MX^$|(nb^MGyc{bNPrsR7$^0N#E39l5X}M(uNVG~Q>-}iAfC%$ha=B_R zV(%Fft{axV6S46yNz{>}-#Q5+E>{%l&J<%?_>XcsRlD2t)8)m%gkjb_O@DUm@BWiS zh-3|?hSM1{meDoh5?HO?onLKP-T`x|$pC)fBYU#bkglM3pV|}oYbPme{MRERZ<=Lq z{xQN9_GSI)1dE(^enK(BjnDKu(z^ne>o#wYxj2`>8i00W7S8R}YT=E~kBv8%`B3=tt-HM^s)SG+B#)7p<~nlr1$v}35U<7p z%Q#9(r?zr_oZDz#e-=X781iz%A@3UwsabvyA#}`pWR@K5mYW(B?hQtxpD=6djJQ#PC}WyP zY5&jIs0HrL>oo&*eS%2?Y9K4;f7{Q7UNgYcPYZmeeS9WSQDMps)uZILW~_{!DdsQ} z#T=unesAE)#n$E%-LAo<14zcu!_b%-^OiS$a=z^uLid={pDuP3cOdWQ|KdF4p!gk` zUXHs1UEDf;zD~Q78!2y9+K~i}Xop&WGjkDZ+#EE$P0i}uRDqR?QT8h|3^c9GPR^v2=Q%b%8o~wYw z@|zJqZr?A(wgkTemO($GXw^daLP`tYOSMHutGj#QP0xXY1#G_tcs!{MX4LT92sqw} zUU3jpjlLr>m6h|RS$B?qTU08Wxh2fWDI-Vvn8__w%3WjaIogb;nj) z!?vTxoT;PDiRe(eGOH5&l3ikj4LjhF{>Un_jIsx7K{<@)3ohTG_j1R=AMnD@B?5;q zwv_cj!48|$yq6M=Yu_cF9=Vw6krmHV8U~Ncx|cY2#+EUm_|`j0LSrg-Ug|!@aDrC} zuH7}2vru`i7)cZU@F1?tLlS#ZEO>Rbp^Nf~v7JvIHXv3o zw^;7&70#^Y`h&fF0ds;qzH|eu-@XO*MLn{JgD25A>ycHcO)k-Y^V8jAdP#?Tn97}d zT+|+p^WOeA6VhWnJ-)ISoo9)|gUt?M-wxfVMFjfCg1(!Woiiw>mqv_kezV9dCagxY?J2*KAK! z?)1~h)gNWV(!{*p)$d*Ss!&{6(z@KfQF)=TtwMO#WEFUD1uE zg+}M7oKrJL1WR?9C?q)~JnZCv@~xnBPB? z!RJ=|IuIjm)phqTsT*7oj9-@e*bmJ_FQ zL#A}35yI{Y!b%AlmESZ!fTxkYPuG(;?GXRSvI}g6E$f;S{Vbq98!W3CBQW6IG1xt= zh}ty@rcA1;BxZn8{b*dVU9+mzLkvvI)?H}TJHbM`WSvneTH-^_>O{h+hdUJUGvB<`{OzIu3zp>1lUgIh-b5qY|c-cBWkDqM21JTBz%;NAd1+lZO-KrO+kC z1C%EB6sxZR*FWc6>ZdgBKP>82S)$&*p?tA^*X!E(0QJwDUk~ZzyQ_ch$X&@0Q(4{h zQ*BM*BI0S@S0caUIm6FL{N|GrR9c>7SK`;EE zGFq%n&SG%=YG-`bp}|_&9dnK^h|)dMU{iPlCo@7}Bly|BWPQIYoH_0n%e^qx*Cn)f z*cVI<$*7@0N|4!8{U^K=JF?2m#&`pJ=JzMi@~Y}6o_T6I>My}2h*%4@ z#m=SxgR+hJ-9`DqI(>7?RUA4qee@=x_p1{9L}+O2-_34#f_Yly&Wzyw;Ca#ak=W17 z?j|V%!-I|wyz+zBKio~edC~!Il;b?@Z%+mrJ*Q^ZYqiav=YYw`4p`*XCfe91YMS^z zd2*QZIcJ_4cyWvwbamXg%A`J%^|#aTahofiv+}bA!LP_isv|adlq#Im&b3ViU4^_r z8uxkI4`ZlZzzb2ro`vR6CMAf!X#_(TLuJUV*Lbpy=vsuhkUps#p?trXFfL(_(E?}4 zD(uSK;((bWR>m8+bcV}Q;WjBPR&#C^QNPi+R~yyCjnCT%Pw$}men>66-72YUVD2+} zrr7s!a3t3|aoiz~n86JB;64ka(!BrWQU{yarxQoyb)F2`c;4m3=fw@F$l+!elo~=> zT8R)&HyjLlt|=o?CW=xvgYVl8c`wig%x<4_Nw*Y#h$$DGNWOx`%LU!hO&^gWr7v`9 z%0L*vy-mpUZ*;h?0PXJEPyfQ+CjZoACPB@sC3@@|CXjV5txZL-THX5XVk*sV_SS&2 zD6xwr9>xnZnij~H56;}U0%C&tR|3Rbp&>J!(kHI|DI?EI?Q)+hK73?SpS9Vv_d>Og zju-xL2U4&18%uiph*z&tj%QDP{`{1DY@az&Y~J7VqqhSGm0BOZrzk~)N~q&+ALV!tsaY`{g7_+d<+ z(zt%5dq`zqFZc=55ihGD1`cgJ!WK^&c&|-t39ZY~8GEJs31IE_?32YoKeb$sAv;f( z>UI>4^}e$?sgy>n&t#jp5vg4qZe)S%nHLzinnrwusNi>RbVXTan{{Vbu5_9`q~=J! z#=LHKVNJ25P4e-=l+dt7u`BIOg|=}EgVpd1z>`FZC23IS7j4R?L`C|t6<0WsBr@lq zYL^+PnQEFDpFsXP=;4%~+3u9CgG%YIGWMDPI7v(8EW+a?#fArIUx7P~IJw2<)Qw1B zRW)~&2X`o?PDS97(x}N}ah{`DtSA{)a_)I6C;-dQRBR3Na59hdwcjkWrCJa4qf7zC zA~9Ig^&wVlgc=dawoC2-X1HCW1uhCF-F?-w+|nw6bMT1D{TZF2iky19^b+^cTgf1E zp+VF0IRkT-vJI)JKdODw?qn+$rqHH>FgIDjKB|-=HY4?H<5l$ANaB8q-0fOYqhyh~%p6Z+YRr>)<#UWET&YM6S`ipK>Mj(JZTZbm)&{A3 zfbknW_R#o_KcZSHPv|Y_HssQh6(3XYc=YogcXTv9)Bse4G-oc&1UUswigt#M{wy zuH=}ZK9jO{W{wibpy(0xPREBv_y^@WV|nqUeW;NVCfvYP;OSdaDaMmlABLA+q5`@| zchBbbo^(%vo%#l_NB8j&e z4fAqMUSP$pLSVl=p2UV4iP)>Y3zi|&;MDJs{du}vf2lC3oqA4JmpNEGh2x96Lr)9x zI;Vf6zG8*W)RwV5ihOcf$!9F8>%jY{VxCa^$K)Uu9cJP?){RTxJtunrBVfPC)fOJ9 z5vW=A0`~=auC0k|KM=tL;`9S1@Z%DXt*N@YHhJu8r)uqqTS3K{UE;V>9ISYi2e?bW zlV()vQ3bEG2_cVov(UGZSB%qKoGnzso}!W+&{I|YHhk4Km6T3Lh(zIM{toCuIEL}%`y2Dz=Q}P*0BIe_7aw(sxhdIYuxkrA1d1p;c$kNSbO z@CP}h;%)Q`acNkC?*YSxyG9&GwPKMtX_E8<#T5w`-qq(ci%QngWWAKf`PXLomJ}5{ zw7QsYdCc8XLiB~E^u8^F_=>fTkIO=QF+o4uTZsa5(HZiwMoxeqZ1wyer{4_rn%uOv z$9%$Pmd>*kh+(nnY5r?g-taQq(V))R7aevu}#j8Q{6>yc}j zsG@H(mXN+3WgzyTJDsv)3A%6ygEls>cZZc zHMlJ4Q-#0+kGl7SgV_zFo(J%Q96Jc9>@V5NI;y~b%C!k`YxT`&e8QK^s^(_2nglw^ z%|m|lw9*t8;rxJ=dq-%H;qz@%4jEDJRkFQe=jl-rCLb$fjue{rhcA*9T@ojb_^cuP zTHJ58I&V(;wofPscDjD;Hi0<9nK9}@r&3bF7qe!~@@-y{b3JhBgWko74OxD5XL7JM zt#?Lu%^|1SUBLa}lHycJJj^6IDAS#fhM1lHn$#oSBT`B4#DSyCf7CC5W9XJ|_~t4X zFF7w2r}C^sF>pUAl)B-}6sHOy^#{BpM}ugZxk%CU48b`K*2rtT4m5cLhJNJJ zn<`u%aK7V`DZX(hTtYFC`8ZA=%&J7dsxdV=@=5t=&ld!P)Kzup{2bmTtdemzQR*(T z-jBQ#x_G;w#4plY*%Ecet$u0mFv2wRFE-!Dzf_fL5`xY9pWCviV%5pw2M2RH#g%%S ze5ISOtnIK$wQsp~<)D+9m?-RnWpz9pqulnwq`@2ft6L1rZ}BEwYNyVdCBaOgLQnib zk&nW`V|y)-db%U<7gvUNb4U<@b0{Jt|BBY~oBjMXsT-PD;AFtWdR`G{E)S0U0baxd zhGk&?#N_!?cql%+`-i*si<^mv0wbT*vi@>R`^6&V&gH7u633`G>o)1%3b&7dykcG+<=}Q=K|Rq!AjOnu2IGK z)wU#FrRZzrLKuZ+#rlhLZMNY}oJQsWvmOwjh22zzGDSH6(56|mW&R9Ck8~VRqBc4! zM4YNU>^(fo*O9ZKozj{ZEtp^FHn@+mb8yj5Zz7Ad)aGxYeg4HdxerH#QF+at?imxo zmG3W z@bQ5$T=BxXW?2$$^H2P*{^~UMMh}!_j#;8Y_DvJxIB^*g&#iFOVxTbKrC1pP>sJKN5C z)JfehX6<>vjx!ma*j3TFG?f#aunPQ{E}GT3Yr+-Zm@~b2$qpP^NJGHkHXa}COlc;z z@U7kbo1OtVF`aQe<2NR@mr6S&qB(w#DzVtFWOq-vz0zIZ${v8_1G5P?>33u-A0u~g zmsj?cU7*PmhYX98{G)5|@_;uAOF#Eg7k&?xLr)dlK%wXQO0OBAIt$FVPjeQ_+Hd#( zsyO+2`b0{#escbTJ2lf!Cyn@ymN;&}F|qAryfTYnSXh~D{<)s)zg|u9;r+v4vv{sg zfS0VU;8UE+trWlNC$~JTbn}rQfbfTvpS-L|^~NXRKDnI*d8;jZ|Fv7ENT=`5{fubd z1q~>p!9nwbKhBM$mq;vJNF{3khqII;&bP#2Zl3HbtEIp+LPWoFK9n6k9lSE zmyy=s^04Nl_XvD=UtgYY3&`BotIMA#C|7_r{{n%T@CKAqim4bEfzO&Q7$_|!aZ+Uwm*0k(Y&tg z%?^%+-sF&jykR^bJVk+wu$pE2aYFCc90GeqN1cA!d)^KIf!&W!4myiQTn;)-5hB=p z!Tjmsi$6$v#pBe=IQC{iV$HYr&=)f^r>5=jIK9NW2sJL4={6bk5ND)<{n$E~l`jnM z@{yeAiHD^WMy3BmTvz6vZJ`;?57Od5LYT1|SMC?r%Tp~ z$_ziPpCdod2ZIwWW!^>ZK7~8tVHJ`Oe0mS$n56@b>y8@AGe$X#EOxyl{}I(g#Flj| zX)uhe>(|pyz8p*jsH=_rjGYKFsOVLg*TGN4;Sq1eCG!cVSN2fD5JFtH(7SFliC4N1 zZppQBH_UK@_aSPq+(sEUJO{}V5D$T*jK7T5;qsVd6u-;~^5L-!9;7IYhl^6_^L)Nm zVeju;#twnT4d~Q6OVByOfGgO5K-qWH`|tyDcW(&^=DN4cdXL9;x=y}{RjW`90a(TR znoewI6N@E|&*O4@IDpKIv^vh~{n1g9r}919rdheQM{{Y@Z(>7DkB{}ZGrWI<--TYK zwMXEHY6kFkMS)Z74;{E;OychBl(mcLg=@=$g{GsEtGDnnmo}-VeAbF{w;f{ydx0^4 z=k)0g_z{gRBpigmw7{6Ssq)x{=`P(&BIfa29X+OEK2ss`ZNO9tXH%}IRh`%+=}K!{ zj6j};)p@^v$IT=so3U}lsQ}d(BJEcD^ST;**I}gq&FoFZBH6bl|3m}jI|-2_4|O9{ zmcI8yhC(gLXoS5>t{UsYTIFYvoyo`I6D531YV(wM(%ukPQF3lJBN3fpHr9zSkFqwR z#6m!9odpU(=rwX05}IjHs{ifD`%~Stt|P&3JO~RZ3k`WWQ`3lstLg#%0ECH>pXL19 z`<%(oe?nVw=ZWjfSKi`O%+y0K=}zSN%-)A6L;6|6l8kn2&|7r7G-OPQc`HxTX>fIhw*O@afUR!${=+3(+=bG)Ouh}9@|EMNQ484c zjLDT;Ch5(|^)jB;?-5kRw0MU2LSO={dWG4V2Q-rm_CjJk(7PzUG#7nX9-r`aM5s~9 zI8?`c4}8$kap64cc4%L)dQ%m-IB;#=F8@ehcI8@U%0nv3|Gm?w^0HiY3oUSXJW;FF zrFHlzXUc@}5%ZKXl86E#D8=?%xa@K)%eeOQL-W- zH)o2EUUQCkRpo0aRYH@x0lqJ+9BUsqEk#?p$)K7y)Nmoa;F!JA3 z>}}jw3#5zKXERn1>oJnkRL2^y5|+Nzf>MJU-%6vvG47TI(t`kxL*MNg2}BByfFpV9 zAbEZD&EoIE=wFigydo5~9+#0}xrXNolw+5N%fV}wErl{{P1TWd^DPO#zeuGC9a(~s zxNRpivUikqa&tALevl{WZ@O!hgB0#W-j+FOPsn%1x}^1&NS^3f`}0USSR90EDi`D% zo?H4K<4Vn_8-%U29rlllWiVGhDi;PbCC6UkA9hm{ZhLP%0UeMZK7PlzB4;)m{G#^8 zdZxlb@Y9&!ysT?k`^!&DcFggq^A1C*idHyr-vM>x8%b8oiTM+D+$8CjnsG^ z8&9RV9qN6(r1(6tsu3INOnSMe>Kz@{x2GMGf@0}0#!j`XeA{Ps2md#mowVf0(^q@31R zf#OG(xQJWBVYiJ0q8V`3tX1fkT*t`@2tUW^2FZs_u@{0MopbReU)e90X%SZ+fott_ z-Z+)1rK*Oc6^KenMRpC1cwfU5)D!2-asT8`J)Do5GcL$4s^5$1UOIwh=*N-9N4pD)z0>Z zMs9a2z#$S3<3x?*$sx4<1DIt0E4N{n$=AtMhr3m9o*&|5&2MnUgu4KHSvN?6Be)VVULVo{B;f1;GLT3h0 zSYa-SoMExU0?#F1RDzXX%n`iJ$O{nYs$x@DRc^cWx3BeZp4}qqtHG?Pl`Vb{%yL8q zI99JSO{LhRFc7gg4SZMA6NR+dyA2wFSHwT{AhXQ%gC9qNA4f8N9E#Bh^qLBt04lSM z1ZT1Rh;dejoynsjh?`kws>@qG3;i>nX)@E`e;M}f-Ev!{D$C3^^hzZlJKdQ;H!@R`wNV``A-9YVTOqib~)fc}xw+x!3j!AmJz+5+GB9VZYgq zuQW3iXG#pP^Tz&aitOjy=3^}1%^WMArGu1zABaHe%~p<=dc|qT94$*aE&fmKl;i)t z+~?s-cDV8OY$FOCIKr+Zr|1T8u2G0GFaK2 zgYLC^*2fTa+cn?Uf%QY>a;NrIB69p>FR_(K$$;D=h-gD_WQv#y??*X6YJA{Kxw`pB zo*z`$^tgC{qMCte2rMCH1j54Zm61v1@BsTS`rhy%$Q@Hoh!hp(Ca-Q^fwAm zXo{7%TFR1lA)l!?BoR#QNx!uFS*}Sf>=#`&Ya6|wIGbm6`O1EBzkN9H+Cj#CW0vRo zy>-jV;@im#(P(7gaYE|Y$H6>Jm-LQ0sZBM2H?OETOZy+YN^!XB)8JpM8{wjvHcXl? zL&v_UTAL_^i~}_gTg<`1PirqCal<^>073Q_s+J1&i#YT0Thflut}4BJHxXBf4~fKn ztaMvFMz9;`kbm7C|HwfXIpNLD4O1ZO0P0Z&H>_@BuHrO23I39Yn@-oQb>Z7T*<6yq zX-0J9Nkf9f^KSMxIFq$^<7`xIJ=FW$0`B~kGj5Ky5o+=-b({&S%!gANr8Y}bK_Kv; z!DN=fL;H+7T5;>Im!ht51p`+y-tl{Y{i0;_H-N3IphdkUkCfrD5(+u|UYq*W` zn$1W8ET@wD$U@<3cbYpYM7{{%PI?9w#CMLPY;(+jwN)N^n6UY0kiTqN;ZYW>@(m1d z#DHa1I>7cEHqzrlFn$TdUy-^{o?_1If@i4b_vTk?G_&Ibl?-xG$%pPVQN|pucz#cw zs6##AC{uuoiJ}8IKwc4Y3&Xp>K3o_x`wBQr5%ln`76rNz!A`CoH9DyZB=reV8g*ih zn?9S+z6GdO-TRu`nfJ9V!bU9WNvbs6NZ{9es{ZNjSoOzS zQ8+>IBbYY+vy+oSS+cO!4`JLRUYMHSojpbEnS$~UBX-Pwp7e-8gsG)8SL;J~AZj`^ zEvE{RznXn?B)~FZvm562SYTLnUb7p_{8tK!p8=r9VG8 zfB3xtCjVf`UVXLtV50}4(>=Ob+jun)H6g{E0={}fUh(L|s^wZUYKq2GK*6(A4!x8)N} zbhg#MMj*;a7@`ICDo@5?+^&@=70_c%V2q~#jwen9T;*C!z!?nFRf~oeA=-88LFlhd zHbCY9z1|`wvvm-hc5M}0zY1GZJdr=pUNGc3ksV+JTLbX;li=%(v$LkJDB71W#S2>E zN@aRy6S3#L;yC|;{SX+d+qIvLT|{Ywgx!xgo%R3HcAXDRWz1j34M zAm4D9`T^UZ$myQ;yV1odMMy2BMM1fc;XvO@|JYR$5X#zdDXJ7JKkO2?2#MRw$Sihi zhmLl83E25J?)sYqAhYn5b*7C!J4Ux40wJb1-q^-WOh`OyMc?#xPUEf+B#jAVomL`Q zl}9&(fx^5d;f!xNFFy@KHotI2al{3sf3VR~D`E0HAQf6E{5NO%15)AOor2nE4M5-L zlmXkYQu(RL1cDNp6K2WhObTr#Lf}y zT{~bO3aMTZ>Go6X4&`vCj)PzfxdI8;1Q60v1Z;4{prvaMqIH`n0p@=De(vR@jDUOg z(iqCxpl9sDeS7PzwIA7);Rh~ja-h~qdfU8c5M@Lq?*vl7kP>UokJB3~efOm%QZ`cI zC4Bbn*4cu+@7moy1r6jClvp=#rOlP}&T~P>&fB%{%X8f;(rOa9hmY}NCOefDKTpwP zk-yIqQiIBXTY=o9*)zB)6*+`zw$F47<`Euc^nGd2r{@@;3s- zzg($Car8v?cG=2bI<`ACVqSF2hH!)@E_I~!FhdMK*V1_69b?~YBUAm@n^ZKtbiyDh zy~#c1((Cu{K}A+XGz|%LdFjddb(k;4J)xc0WQgo!0cQ;tQAzeJQim0{IO>5{2|Z~h0u#-ruJzVunH6$nEgwC2w`S{&%UTK*CKdG$ zd-X%&tw|X_-p7CAI}t03p;S;mZ3G8u;Ok9M&J~WiN4xHT#z5t+>QwnYR`CBlyqelW zsgtRBUGb~_>Zy>v%RzI_H>h)dXWS*^i$@1{>coDe66A9czQK*R4{A@~x`NJpb5Poq zSHQoE`kChSZlRwGZU-f*GdxV)#+DW=R*3%k7Du+cgPzjv*T0T;UH6if>O2NM=sxc$ zE#Pr|-a@8Y_Kt;Hem*}x&NHe>E*=Nm!qkl$xiv5J&5*TjHF;DG3T28NJ2w(jBly~? z4@m!!{D%+gHp!7zJ_LXo9ehZ8jk1?M^HUbfl)Lx(O>+)I*Chc}gEtY>ffKeYz@2zK^#Kf1GvoSo@uC}#hGlA1=Ma?={ z#gf^7d`ZF;dQ191@L_GxSL>63gH2zSjvK1B9p-x-PCbllK7ZQ<*Yw==vXJxV7F*vt zKybRWFAD~D=X_os^zDC~ z8a95JGTU&49EGIHv`8K9g=^0boiD}qb<}#1qEnM($|ATln!J=`Lu;1RmX>R>)p6H0 zkd6~J_vqiW@i1tL@wA6eeXwC$N(&!UmK|dUwJ2K7crz!<&BDXnXwO$2}fG^?K z4YP@N;nR{D2^)%fZCWq!Rwj^%^nf^+%)=7RLO5IEd5pYWmIF6GK~U@&zG=Chbcn#z z!+$f8hontt-Ik-4aPL({n>Ms2r7$ytm{>45#!tvG6#T3Z_oH3+A$9XM^9`OI&bU?E zRxf7XPtN2JH5O6d-yP__XJbaVFUnttcdlx>=+h(A#_q0~Q2TBtK{QyDfBMiO5koaZ zbj3y5HpdATbSYlGfS*px)_YX(h5nF@7fXK!2;1uzFaf zY~+UgkRT5!smUM)>~?g`F|rx7o`q19(8IDUj1$B9PO^WLURSdPxu|Es)jSehn5jFK z*%K)fWnLNJ?-tnXjQv$2t;33y?uq?g^uy$2^{WiUAkj!WDZ=^cBXj0FApWa9ZtiIz z^DHTm9{40a4vn*;3pErhjF&0nBl){k*pek|&_=b1HHVv?bW^v#fo#9GYwZTKlv?Z% z@LCXhdeV+?rcIZB+N9TLo2+n6&Q$Ulx|-cXedqN}Y&HcYQSHb~zTxr4X@>womyQ%p zq*(neDIzs_ORErCLQUanF2P0TE%ms3fnix}m^uJT?bJSQ5WaST>kA)CvG?h&ttK{> zaAW@O$3bplhe);`(^+b^Ved@t`Ix@0MieVZ_~=ckUx(X{4W4xV2x0~=WV%~6DvjM% z@#6iomzu-Jy+&`ix0Ago=>n5`-lxy1kEO|#oQ1D^JNc@eZO&Y0EL9Aki)I=C@CMRn zJ{)dGZ(Kl#$`b#T61}`c0khqS$1PrJ2D;s8JvAhmw}mfSSW(3a*=9juf+P>Dmy%@_UR~@=~p2f>V@v zgIL{xOZX=qZ&-k9(UXX?@L~(xHj$g8j?@BD0s=kO_WdW@{ zf~E|hVj3Apv5(TlZNK^}x~g^LeoTz*9{s3X7B;E*QJSUZFYM~Y=gu~4yx|2($I=JtGIlaSX>HHuzdlMoWYXwCNq4GAXCZwZqm zuF=&b*rZKq3TR3BTjJ*Z`Op9+YurS3Pm^-9iB_%TH;s}*03U!}_xt()wcs$|FVOeBk0-AKtkMKOHtp*=4%^~#oK z?kNXEn;15h3OiW5mExpPvC!tJm|~V!)6U;aMu+?3IpdF8M6*Nu*K)7CgCI*f9)G;V zlWb@?f%q`YNKow5&)eTT!nYj;BC*e?MQQxF!_7oA?zQvY-IIVO2hRua+ zrd`f<^KVqDjWKJwrdt0@7W>?;&9H~nXpTdY?x!0z9bHqTG-_MHogtwy4k!x8Gf^&i z6~|P}DKpsYf~j>@qNW&DbEF$qP8z@g%hOeY5g@EoYNU_lnK4y-h|wULqozdK8WLT^ z=ok;OzwlK17{OA@Q_#Z-sqMo0saG+=bLMBpBm{e`|MXXh6b?hW)@^6Q}!J9#3ujMZI3rQUG*3V zx@gilDkHH@?m@DvS#;3map*yf_c!-e-h;(i|1tNn)<;!j{{ur8*r~ik%&yLd&@W7v zo*bjY3U-w&?1R?WhM`HZK9)MrRWC8n1>PswO&n1H;Bi2=2X?+BAtxlUhXi=acR(lrvV)UA45$b+J~saB$NKVMM31cLhx#{} z(+VFcP);)qbFOCgG?H1fYfd*3w`mLrUFOcX5-b^B|5v=qx&8t6iZ&khO=-SD>o-WT z5O!1d-%5`=3hk+}g7%!Yyg>sG@Yny#*Ii7AJsNh-d+$=i6EP~Z2_Rn%`#`M88F#YV zdIinTaU9tSh2Lg37GjRX<6cLU-r6wd2EfJ68YwL!ENuL1{n?+;J|bw~?E z6v}D`ftrPip`lo3r4?cu9D>Bw!@sLHTk`Jq=~`}&pnFIKWR!IqDQxHngQl(8*m9E@ zAqI}A8r6_WHM3+Dgn0Nc{R2ThF`be-T|v$ycN?+w%gBQo1?E#!k@#<0+ay7^Tvzi^ zFM2?T5&@;ksWwa);Ml>`?CQ-f+$8<=%bNYAL4gitT)~o`i`uh6^?t~8+Lq|13Crsr z02M{>4EPNy0a^pu0Q3vh6s2L;RrpB4pcJM{^=A74Yyx2+1%(B`@_TV!C-=+S+sQz& ztaNk0RFuOAl-G0)Y760l^eV5rqvN*aCn%agm25_gkX_wbw&WI+EH~R@((cwTVqg7X z8&u#eZrDum-nd|)$Tabi5kUwTXo!KVlRG7$*#|_XB(pqSWxedZQDbTxk-A!|2&jpr z8sjQvbfqx5b#}ZThMdYcnhL$yk<^q{-c)dcf{5J-Z*f?ALi3ieXq5tIEVB$XE4(oH zn$!kIRRpX0U9soTn1prp)r1o{E*~t6p1=GXbcYxg1O&NgE+=z~l1wmq1SP?0X318N zw#yNNl5bVKfJ|@0F5Vs_HAznPpCAA+bTg3~<7uX2G=0uiz(1(j zpAp>Gka2Q5OfTDtNxHzK+W?osGofv$ip}`uJ{Txk^rEBkX9MMPRQ15 z!=}oQAlDne!s{a4$5^>Lx%A#Wt%8q@BhSrT8iE~c)3?c4>h{m5^lVr$Zq>^1@5J=W z-PVi*f&Abq{{uP*bh^2t{U2|2jR43r*k+W>>`Wz^YDA}Dl9^*T6r{L1OxSD^Xqigi zn&_6HO-l%3B*+X8$wRq&Opi-m$;sS2{#@o~NvJDe`8^Z+NJMgFd*>A+Lav3r+0`wG z%%ru&)&3~cJ&Yi%`r@&Nt&WKq9c+-3S`be1{Z6d^)_;~6iV(BiP+VOgoOcCTRar?U z!dkwd;lZrNqY$E@uOUb60tf~IEr<^53!8Gx_Ivvp=@qFgGl;MZS0jD3k5Ht-q@67% zH3DM&1C@Z66n47u#s2Q!2y#GK1kxx)(3+CTEpnFH!1Uv3g~?=TwiVV>VkR zg}&`E*`WW(6?5j&)x28mp_>S8~Px!Wng=&?lL{;FNh?>RJ4ho5bFk?aGY4{viNp5#Q~Sk1DDI8%k%^g zR&#bPC%mq@_C)zD;muVF`v89$QTv?Q4xsqk!TlFknmMgwZU*$33T*#!JV%dfh^nm( z`GL1`Sio230XWn&#-^xzl~God>$ItV=GBd6lW=w{qs@5{0RpR&ux5#8DOm?8G*CP* zfyUUB%uLyac`XvpPXyFzd=Q z8=n{^fPGIZz~hiDUaXmZX=5-Fo>z*;c}LN3>;WS08O(A9BMVKaMvNL#XB?V-p=w~Htx&gbT)REQsDGlFa`#B-J$>P> z5?u;)`w_6cutFYgU47tcV{atCc(u4fi-y7qQn=FlCR6}H$u<%zu>~>4J$}Vi&>csp zBkWCP1u2_uFtgBy!a3UxoN;iO1{}qQyxdPcS(-cAv=O)q0pxE9Xk7r-MGH z8Mo6lf_9{{+U@fDvi*P|TY({xx|brhyG0?D(g;fT0!!=Mt&mZix- zT1Q`Pnur{HC%C5d+5x`3G&OdA8>tPFh;SN3jS_*B2$-T{H+1ma7M@-85nlX0+o7r$ zq-lP@;FPwyHiK~MZ2g^|@tZVSZUjEGt3p9lH_JX+%3;L(U+mI=FFD&DYz% zOaQ&@1{15yt21qVFjFcdK#tZLU`zMdqTbwhkt<8%KLQ67lzkMwJ^pV{ zg@^1s&cZ76Fjn3I&YA@O9Qh)kJ2;Ef zBaqukk!$EiY(-q-pja>s1*Aqxt};lj7(!+LILpdzq8|_UvAj$>ZAwplP!7)rYs$&# zNS!MKZ&xMo_=-eo2NH=ZxY^7EQQw5S(dj@T%{^&0>Ct?Vw>q;Tr+1*2&wX?!=iS|& zF4st}B2#i!5I;z*-g7 zpne5M(Q;J^<3>ObPbOsKA%ZwBjV5B+1r?+``r4X15$=SAV+(NVfT+ERLu)@(0geyc zcS0%psm^Siyf(IxPG_T@Z8YB*=gPOH*I3tW(|&wU&y-$IkdJXQ-c91Wb;2f|9u}hB zRsw7E%w*o!#@(O&&fVBIu(Z{ZqRE_4f)b>+WlxnPuU>c*cTX2u5Tj88M^N}}LfQYh2Kidp8UR#L4ubqV&Z^b4VT{8`Hgq2@vOmnOkXLp?g7SB0b@iN zwk1j&vz^=|FhdwT#C09xnJ4LWC4s&>uXHj=l81a|o$)S))Qxm{tmr*{s0W8pCq;>j z_sXV4bfOZ;Oj+M~ukSly>Lw9)B|+G@%z>!q3SM#t&l}YzJ@eSm$>FF*kH_F8 zro=nweN0(=-76PcoivFIi_=TOTAe$wbQ)?41%J{KRROl`U{L&#L;x^Cl{r<9i}_)+*{A8PL~4n2DW z<*|*Z%uOb(GsZE1?_z0{eaoK(UAL>Y?djh9!|e-#2YKzLzIV>3*xd47heH)zp+EyE znFWcp)_ToK9DGVD+oOC?%|i*_TeDPA9zEh`*BS3;NafA%3`fnDqb5Fw)^O2603k(- zMLOQ6Q`9Tf=TNAd$V~U#hjC5!IF}>GK$JO?1F($l-khGoSVn=(At&fde7J%w$jTyq z_O0E`*5-8b8_+_5sE{Kljcvs3Jmh;U-C0cp@1^S&@fNIPi-fBal``8%ksq@ohd5Mtx@1CP zOI8hq7xr}W_2S*J!Mz_^hQ3QF6^o_Ur#iX{NK|XrRol+oh_63QqN8ZbT=HP`^xLi6 znf}T5p!lVU6Z^;XcXh{p-Nbd_)nl|FyQ4I@3MEJ1&h98lE=DQ1f-e}cm9Nxm_rOaDTs-pNLn_u@34!lar5)`?gWu^$CB6&K9CL z4>_EryPV-}LRi&Xdc!Z9CimIxEkl*3pKfXSP^~R~c3*15bzT|z94nc}C`;?JZ&)vV zK66lUaoKh1-5I84K4UXtFj{u?f{swV%TKSx{1Lm9k>a@#zjD*w=Ys3k*|$!$qt1&G zJxuMEXZFU0OBLH8of)Ga@3v;(>O z%W)>o1Tz6Y!{d9zq{t}+lX&hwHfN^qKk3TJrE27h{>HIRa>^6#=dnGFRHhnVa$4X( z^v<)Jc{G%iT}@i23{f=~KBDw~{2d#n5&ue{>AjOramb)axABYizL<1vw4=1D{V%_` zJMa-=`|F$56g<6cFzVY5rKBS$KIC?WM}q5#!I)C~{H~(+2J1oe#SikHe!fRE#HnCI zf^ZFoqoiuS`QUqW!#n;hUBvY~HN5owm_+RnTU-<`yQV$(p5$82JHYMQ?qeU{_GP0; zdq2||_nmCqo%hzjaJrmvvl1!5$2aL}C_Y5PPfwH>Y~bBDxQ#WuxajowdH4icC2v14 z$)stC2#XPMjH#Sq^Kc7I6f*a|K2TwLiqsJmquKDP#u2?R5 zW}QJyqTPsXG*>A@_drQncFU3TA5G~T>&NA7XbLo%m^ZUB3!Y&xiM``j zL$(#M1~>9%E)~}t-MITsU_1?jF&p>o%{LdrvASppaPJ5C6D8pUV!;EA2uF=x`bS`6 zcNw+*_zAkt0{buxjo`39;XGP`wp67>jla z#W!9%oDA+he?=(wOnTtjbVq-Dru8s6;pSBbEtc)3yHg_w`PR-E*_0N@(;yAg)H0=} zL4quXQqMZeWAw&-!*f*XCc0yCDAQ|y`!I(^s6S@kARf+sYV>s=i4F0&;WZhe9HHQq zhKG=H$Z^y7dp;k6%^cJD1Kar{Q6cIPB~L$kqAU4(&Qy7pIeo~=9Js+Pxk+z~fB~eT zsjMAbX3Bk%K>|NoLyORfU#yx+BthdihP(UqG2tTu!SA~T?wwh zT&kpnpJs|Zo!w-Nn7YycxM)LRg3c*qCVb@!H}Wwj(9MxEb5yo1RFm9UTa zY#&|e!{oQ>C<8GfL<35e{bG>}E22HvGM7HC=5}KgCG!M-cRRF2KX&ATFR41`WF0kH0@lK7S=y#)d#A^h87!B@jf$24K_s%PKanSQ7gUJdAISEKujt4@mgn^M2V}6w^}cJpk5kbL!f%gRH|I9pU9dP zRjT^9q>hzVz~Zz5KED;vMC*mKP2l@gQ~8nOqQIQIr2Zb$FtpRC!*3`@vpaV5ocYRF zcjT*QnfqfClh>=n(rrBAhbbiu+kKJ_ZdA%#s$PvL!r1`B`}IZG9i>zehq<6dElgad zu}tY=eH;mb|7Z#thqKNyUwU)TT2EezgO7Q&TpUAr!iwpTrVzW@6r7Qy8OK|hPVNwl z_4kVhv@*%T2WSP2ZXWUx3hxw+|77LmI4bhn6To(Ipkx2|I~exwenAOYdPDZR9x;;q z0N4osm`RY!Ks@=w(64yO`a2<^SUfZ7Nk#}o^h*Gl{6QugNm_->g*c?;|Iu_LK-0lX zfjxdORl>g7j~R@4%$BAd|Gg~5``g-DG(??$;%Gg!!(c|Ze^>1-!W4(zeGxjqyS*4o zd!{V@EtyMYHN`+|uc*dT3I_vHH-znOKA3s}@v*wxhlymu@(IBQTW@Pol z)kp6bEcdRWXfyH%(gkgxUirb4j5v+4-0L2ld_4a9f$XcA_Of9mOIv?8!STaAp9W55 z3@erDJmQ`j5KP^5kO5S1m9nI!Y-IcM z&3e2K5SYj&Aou*=J<&etsu+Ev@C?2<(Ah5Br@vZo5ND0&yIFR|&sCb>zG; zlp{DDc$+LANZtx}`Jy?Q4UtH-#S1#Xc8t>8ldSi)KNc}?tefjK>>5>iko=K-#+TW@n>oLB1_|! zacuzXa~HPNUinD8$GS(9G;x&1F9wibdRCE9Zc1F_eAudRZ#zmi-~8Psy5`;Je!)}O z%TSA?%&0aVb_ly5z~9|?=^xS}3=J3$=__)N_5o!M+Hv@qTm#`)c6O+zs!IE-cQQEE zBD#ffGNy$aC3xwLuEZYO6k{?q%R-vL9x)MnHm4my31%*ptinQuTFjRq0}BR^2*d&g zuFV1l-AJmZZxP7Tx*%x&A94z)`Q{&gyDV^iQuNyTnC=ej2Rw7~!N4Q~nFzZ(f|Op1 zbSo<%prz_)HVI9m0qeQPo0vFIISi@j25Lo%Go<4Gp^fA~CG!G~K!iTL0A!&8*pB&M z*ba?td5U%fWCVHw$M2rt>k)IPi@EJljSkKS#d&=nlh@b{D80%bUGsc-AI-`7km=GJ zNh>{hCWP`1{n#q8Wu-5yRYd?4QdXF*-8+b3`CKZDbn?SW$D(KaZnExqAjU5rAqu3? z@LrU?KuTzFu-VmgeVJJk4!ee17 zu7_6on_xHKCLq`D`1|3hat#*54eL631+hG>fv0r~{f!5IqhmQPL#{FVqQ+`c1n{In-- z=;0uJ1mPgT2qiIvdAg(XZubp)w}HUV3lGMwj^iA@=t^FwxlwYDv1-6jzC-uPrh~aW z3txWFacVyN``iPcs}4-+MPOrw?a*&7DLlSJ+Hbsl z%S&6h**A2hHd$SDR-VTox6SLt6FPYTinB~KzQ@@hQ1QB}*i6MVqo(FV(U-Q`K^}ue zw+P?Rnqyq2iN!^j!9APzXKvX7wC6-GV*A*6i}ex(_0O(X+<#BZdsOazm4nUWDhJ=y zLzx2W93@j~bDpzgwsNCVmUEtGS*ILJypr=2#r9Zq-=6CzX0$Ky5P6I7dWm&>3_=V= zjpG*=REgv3IymIM`a9>)r*cVr54?$-M1&?DtdJDg19&D;K$*N4Mz)})b6k0 zm0&5|{St2AiE`QCxIu#DR!T>n`Zm?ns|?XvGEaGgi9T^1EWf^aq8z@4(OV@;;W^lH zvzdaj9vN^jWJLx6L9*wf{U%~bqr*?57`DW=6Ze1JHWBvjx=%cfF5R8Ju3dbC{v?_Y z8lmYU@-*aVR>#5-RL1qb)#zzMtPmzdm5J56@$Z?3L*)LV?H;2XVrJtucLpWjA}<brw4g^me z37+`00$FCtw;^p^rhzVl@u(XWB|FNmf4O=6nhJ5w{u6z(-sLJ505;~@)PqOR_6a4J zL=&4i7n60T>f|pRO;_O6&J2IvW9fFd0DFmS1>*f~Pu6)|^AFVfH}e4DsQ12|3Ei?F zNQ?O8f=^87J|&|O;R$9B%HoGkP#A9Sw|-#k7_Y<;qm$Ap!}1HmIgSkTZV|q#uGr~2gG8sTTukE4JXyID;*NdBG<^w8t_! zhMt)G=Cs5fAx8R=56lwKerQife4INjs8PwldvG=Oij7lqT4?#n>#1D2EmmNUetJH_ z!RIKsdgD-O$C-K;pSlGJnGh2zSp+nf3!D&t$<8VI{JwAW5*(91_Hee^YYU8GZrQ|wX6Qla* zhenarbd^J>p_S13mnGN9gW#|CCHfM_uF`27{7x>zH!%um$jC&K6 zOB$Wf)!!WCk#2k>#uF7tv!&=S;|+IH4$kM^f)~F|Xwp^34WH>9Dj22X=FwO-;^mVN z6;XY&j1&5md3Y4QKqja$Eal*jTzQz9Qs7?!OJF!t?c^BXdbd3M-C-ZQv!Ynrd^`bDY?yS$M#Axd`lt}r7q#8PM8Q|B| zy#rl-dg;(XYi@8~o#}G%LHG63M~p3tg)A97cJYaid&L&iD7KbkfUg0>zD}(Lx{JJY zLW~oKUa_I6JYXu|r(6w~W0cOfCDK-?%)%Ex)}Mj8IunQFY5;X4+=!Qln1@==rE1TX z%7J=9;kQg9WM4aqdb@ObGPf2G7M|4I$p33s)X~o#e(##^C zU;oTReqka<^+;izccJ5q$i5+0OMCeR2R>leCXIteyc_`k;RSafa}&qTBZvT1yE|Q* ztgWho8ii#MYS!H9W#r62uJdkUj0)}%Qp!#_vJxJ4P*=8!;|B!abkj@FD4ueI2yncH zqV}wd=os)b^p|Us7|T#j!!RF1fdnySni=VnUS%piXqnp@=V`$wk#<9 zrn0n<0*4diEx;1k!#q*Sh==u8XX=OKuYn&C^2^QWQHyhonHZ?0kR=_2<86wB$RBnK z61bdsELlJ%o6&S1Lw9hF`~k_wxl5q#XK~uxm9_CSWPf+65vv+ca33} z$RWdL-jq8T>k+g~j6ehZiQk zo?RRdRw~WZ6li;&4`Z6LA;#$+5PeD2D(fQdGo3u)yW`o1zdsotw@zL2ki$ zAm~2ag0jWY{9Yy3uL2-%gnm8z_l+_fSXKXh{|ba|G*IBtO&ZI?aA*Sz#tH@UR%rRZ zzW?9;+53NL{K~`MKxC2N{NF47`zZfkpnew;6)R50)IwVK&q9K2`+p2406tJi{D4|~ z&us?3{}z7_ed3q@i%Oi;LLdA00_BJL-&jI0EZFP~qW|`)(qzs0|E{PtGp-|**$>6v zVe8bN;Y<}kt39j&wY?wAkU-Z!J^BzZ+5g%kg|v4{$Q)TK4>+tI8NuS0H^MWp!~d(| zTRP!e1%%Zrwxcl{P^@{|M_eB zynyYQ|KitXppQt`u&C06Rzls1tx#lXl6*YEN&ZiWiodoN;AG!>*GNi zC7VwL66vsI0}gMNyTq5jTtiPxIC6IXlKp-^*Y6~*XwmQo{`KIt;Rmk;QE|1=!zw5d zULN}}bi($ToCs%+dVO@~k@G_w1On$HW>nnnwlD9u_3B*qzw@*$x@#JBQ4aeS9%YQt z7Sy;i^K_kEABD+NXIpf}AK>M=Db)L`Cs!fJT;R50>-c(nV%DIe+@W5NR zaQ=ON+dEvZp>MX|#AU~a4kl`=iap|~WwBzAp=(@X97B^asP|3!+C>Ii2ir%pkL7&* zNkS=2i_KV!48P}dJjoO>f9)V|n7xA{uHf%=d1$U0^b8X@vD{VR6A}$+Eft z^wU=@OVlLGFFMy{bTSk9#=-q5?5kFZ&(qJ{ydIhSjm~>tbecc=!3pF6$|Un5ctUrP z&K*_DjGB;B7kk2mQsF(G>Dhsr2X7$x@y^@ymZ9KyT--OC5H{~Id$W@mFo<$zeAF{B z_^TSn%~ALfpkj8w5*l?qQUFN{ObvDAT}Ru|)ovip8MN`#Z6E^s_g<1isXUeot70MF zC=k7_7ix{PjuDPj7;Pn(lk#wxMif`fTxOTpn+)!(*h&tnyoFUJ|iMR2KIIV>|# z)fbRRMAogrUAg#rPmLc1*p$G;bKz5G_i#-SZc%iwDYp}S4o$~JdX*-|?^8crdD{7P zh~ihZY-{)UpO;862CKbu+)bq#RN(>+@tjCG;4qH~gt5C^SkreT-9kVb+yN!QuOSHW;m?q}w%6UP# zQ&NN!wp;G^ zdzb~wo2A={c{(k|6g*ZMD3p+Ki!{4!sW}z2i$oz!wkT*$v1@7FU#_Sp_jO;LlqU#b zIWf#Q`p|^kJTKGm`%6?V=UxvBRqjd0*PfXS$-dUgg;S_6AH_Xevgi=J+o|V8di*7W zMzZ!vxpMn3?Wy8TV^Q9NSo9hMOM~dK;8$rh*k)en|PS#^X?5 zjr7}re)ZW+T5)fT3d)e!SbcV-v}2dPOkJ}e!WX=f3#v~nIU5=~S@t!)ARJd&bqYZs zL?K-93OBxaUvs~e_%gI0Gf4!K$IuMmFzx)y?wY9zdq_6@gQJPeBim-8J;zw$VtpIU z-72f<3#LV0ukjT6oW^P3m7`P+zK2C?hLTh5hA|NLk%yGS(;{r&rxKd{>faU>e7#u{ zR#4Jq!l^eDMpb{OQl&#u4!7Ks6jk&2MS=dg*9#VV1$2~=0{M=BMZ)bl z%`$2YkGQFvKRg|`VWh93Rxi)$z;(a`Vu^`kVWT|LCOadPjJ=#T=iSI#I$2QmBz9{X zgnXUwbdLh4R{&bb2XG&V;gpZMaTf(Z1bN?n{dCv2@i2&Vp9m>ty3*U}O8jZ@0BMQhMuTpTxL}KTMbDh?nUw*gx;u5^IxUDgnre9Si+L>`RLKVQ6tnEP!xk ze~(lEfr?cut}nx3KpW}1E(s5a?WpKPH~~-aB3UIZbNy4Qf7O!sum32Q{fZ9 zWXIL;!!*bfeoDtx!#IIdt(4ivahs4bR&cFkd${RVg+Cg@> zd0Wit_SW5huDbTEX#)|Gr#9Qk*JhY)LF|Z5{55%hW2oy-^C89y{*9%Xv4A?PbL&E2 z#jo|Qdl&F8WGm@0hl;{X{vL;v);SDPFWX6C2>SpZ2aes>TEXADYFYv}_tsq}3Armj zD7-yxa&)dSI>X3y@WroB3V@JSG5~VyOHrB59@|AC^$xqsJx~U4hc5QQ`ZB@?6h)bZ z+{oFvprtZls}wxNlc2q{KlH$q)u@(i zo?xzRa8gubb!JWV@x|+|l~vsc2@4Pes6-sl-- zY`$=$C8?{Q%506F1EVYf!Yj^t?|ZQ`fpM1}$OoQL^71MGn!T4q}eP@`rx$-xIG$vl_q{KZ>cV@rQ0 zHfjKm+>Z|(p;61zJOyew+k|8{3B|n;#!?pt_N!9d%cIz%$o5C$NOrJGFk>09fLE#{ zZH^k9rDAd#CaE3!r9vlX0FT^_5B+o?!F}vz%|Kmej4;AP_t)cC8vIt>-4(Tc=kh~@ z9jP3N{LYML1_gcf{^x)PZLrl+H~wD8qZ6lB(twGqP{D^*sF)S~rXkZ?N!eXy2MTz~@mlwdp*TV-n-~TLkZ_T~m zD|i+Jqz-$SZ-Y>)iMWC=do2>qbydP3;g2UgoA{f>5@r=`<6_lri^*u50%f9dJ*XBF zDV}$BPs%+9pd9d@z$18R>PxKtfuJAP$N1E`6idJpeC~3tExr5;pKKPf3LMZ6Ip6%N z?8yr18ygr~9Us|g9E!by6l2NHp1Q(Dm=Ca@a#40}*^kYs)pd+o?tHKMBiI$_4kIAXTc zFk=9d5K2#NZy+k!{1R@dtgF2nxc=ih7vH%qdiBqk$ma_fi5EyOyyoFg)UQm0|197x!G zL8(gxr+w4|?^+q~*m#_HfbyIXuofd0yghZ5@qH3~R`e|N7V+|a$eRU>BV>`)9zNtj z-r2fcI-xT-IB5d?hnpZQV+SaS$+W3T=fm_bjAP|hLW$6tz9uUo66Q_Q5FUO@V97C!* ztZne89hGrRjH|9b?K~IKu!hwT(f->_L>FMHgqa$JG+gf=71VzFb&T2>2CNT);5gm{ z>70%92&xtMfea{TvNvPHSV?~_Xb2NQado|wgRS*2J^0wl>rj)$&bkxFuQwk z$w$qW1|_(e#s2{le<-#&X$xM1^qimDf@!*z^KdVwNlpg@9IKEW@(D~9zEsoCHTdN- zw*&PyP={*L{+dgV+TTytBz}WnEMZRG)s7KWq}#4`Zz4?Dk6MdWpm{E;Pe+&5*7!gz!IxM9sk?TC4sZ(Fgm?-3!D{xTZ(ojkaW(4z*|=D# zyl*im32_&{qzk4qwJYPb(#2s5uHWAjhqaETdbx7$A~gsd0t4v+CN&Wdkn6cM%7ydS zeNvQ?bF#v$?SDuYu4c6^`dLu@K(7r&CsFm9*xSU+i31e7L;~vwot=DLMmlvI%Cz}FN;CkpbuSh8ljdBnBqVL;(*MkyBP2_ z9Usv@bQhA_ID=;|$D=Lk1Ygp$2w;?_JSYAAh?r7a^a8cQKf)U%gy*?T! zuM9#|{W^0+|6N2ty>NK?^H5=z(*h*(FSkblfQL;i1<6=3$Ct zIB?}Fa!_UD;ht*-J9|EySpHBBgZ<0j;Bb!|%fTL6Pxggek?Z!4pneSi5po4|1S-F9 z27D(3>Y3apHWJGK=SA896>>~P28}&68lWcun39r~L!Bq8;`0=QZ*S{BltbokibRM7 zvk2-XWzA>`W0kPw?@MUl}Le&WEhI(JS4GgDEWls8E5c6k9-f8Sq}O-*KE(@HP;TQMo`3%#%ldY8Yu4emHxrJ+d0^<&RR5 zNmj^!XI6wqcA-8rF}(!aP8;M@``gb}<*v4GiH6{&l_XHl)uA!UMfGsNF0TJx)qqq_ z(R7g~A5cgfHX$iuw@E8^J~)13^e!wHa-yoJdjN7NO!vfwXnL z_!ClaFr(JB^H#8AsfVIeUZzIReku!^O)y0A0~iSF(bZ|{2uG-9>}gr*sSlO40wDi@ zrak~WYm`UUAC7`EQ=5<~LE3l)^*DgI!}X=fhfAgtRH<>F(V5i`b!|~P zn4&T9#^L%G+>c+&Ntc$t8HPI%gwi~RFr6+A8rp4^Uvet;`CGj42(LLnK6u$gZFX z-QM~&`PY#9pd3TO(ofVOv&9VrObssQ$u~!HN zufbt}`U-nz!YR4JJL6N0Ha;A2;V*OfYUSG5I4Fre%&-_mz|C?qXopHU?{DoQ(|K57 zm-^|Tq&I{7Hf8=bv7-jF7DGA(&paOYOuqLjj1_O4RBclMIpbO&${{)TWp=5D7z2^1 z=^gGq)aAHeQ7BIGtm{zZ+$jTjSx;SiwSq|G-oXW75fJtLNgnrW^G<{N%imqmtgS=L zp^$xHw+{s-3L;yBgwju6BKhZ7tC_u!m1(^4yw5*>ey@l0+ggXDXA3hA1S(|Vv8|Ww zd~$m&^Lxpzp9evUYS5AfT>u(W{`rG%e$Pc`c@-_Qg2hvBc+!)op-Jef6r8S#!_N8q z*dH2ik3Ep^twFB>*n?zN$g41~))6pwt-l}=e8S4+MtwFK9fbOb^5~KaA&Rau#SSfi zj0|#kg+pp;^&0E^h3yt0mL78v5G3pdfxie;oi9j~?kh&@kl=WQ$`ZjNQxEkFclM%&PDoo+eDadu(4RlORyC0+X=`pyW4rT%QLO7CmCM-mbUS8gXh3ArR9vfD%Y>g?N| z+kb|hdg!t8`;g$3b8#16mb}>nk5B#p*~hs3xI-QHA4{6@3n*=Fm>TUhx`XWvDkZ^&D`1$&XM~@ujM?*71ZT1+{f>~xw zl`!MlKgLCiC5#Nb;lfqQW8d_E7wq@nG~JwDW_e|g0W0a+A%mR)JYXNW?`Sau#4c0M z?M)Xph9(luQYe;RUL5EIw+myH&+6LAC$wfjh{1vU!t%HKqL|NG{aCXXE_ataCe8|r z#D&`=neNnbb@|b-m2}(E-il4PNGFldz#M}F{;29D(vc2x(Ehnb=D%m-wNj>DMNl}y zaL(Zz%G2!c{2(7}9+bnILZ+)M_RT31$kF(J?7e4Llvme33XVD<3TV)PGJt3TDhd*% z3|*{=A}FXRRS;1DVL%vqi3E|VL4nY%G^r}ROpvBXQF|B~i^in_#>ie1e}k2i z13XPy<(qWVZcI|$@I#7Cg7Mi32OfDIlTCHMqzg?A%v6_^KrC)GSO*GKlW47$14GDE z#X7v)3sS9H_lBnD*r8PxcZsqp{9~%(8=-}1)GX*B^HA9;XKB{aR@!6oQYQ})5A{vzjR=cKhy{BxEDo8n4P}( z74PxVvygp4TPW)DLrh8IxN#r3*ZV&thu+nK6koXYQ zMmTOY!D^IDU854$x4-+kLifv5Mz9j&Lm)Lf@XslOAuSJA<{`*@mA}>&$@<+ZW?I9G$FRr+q;98t1s-_0WL6)A&I0W)p=bt<=rBFCJu_fmdM8Lubn1 z>Jzo%KPt0%ZSwB_%}M~(a8e;%?i127+an@{Jr%IEHy+yN@eir1C1+9RFHmn0o4*eM zcWE%BFad|d;#l6`nven#_$Mv%-AhvfxjkN<^D6S#4BqUEcN$wQx>zU3;Ab0)9_ZG; zdfXy8EBt;4FSccw$<*Hkr#>3*3wL~e;mCh@dUIYCUX>(sD@f6i_B469`&D|fZhA-# z{=?NLUM(?A5|-Mmd+sgrPfy6>i6dqjRJ#^2TQMAeN9LE+Ug}Mz&S*BX;~}erYy{f^ z_>Ja3KmVe#&`eahIkB}#Kj#7O+2uXv9G#?ELGWi+gXgm4oStF|%`sZU;N_PaZ3

HcF8}% zDVz7VQ+6zf{j#Lip<6fTb6)|T4YX((&ZSbScWuePEwKuG1H7j+R)j*vX5kUU=nA$ths_-y_3sC(ew zbN|w6II)c zRSV)~{hlp2v#*aO@bWJ!lvG~$l0;p#kU?mx` z4vUb#W`S1?C_?{=JAe+CP z?;O|e0CfnRs#-WA_EQ_4S6vtB^?L233jbF~j+e&li|0}|>4emk7@{3rd($UguW zXxF}$pPI5hVgeN6Y|imu3tpSHH#U zpV8dky&>&R25ye(CdhFO)0eh?5Dw^BgbeT74!ixG(ymn`EK&==91kr@Ww<;dz`E-qh;RykMKy{dA5liuXb9&<7%+GNN*`~x3HR;-n7{V0gnT3 zMH?Nx7vJx29$aN}|MKy<*mAcRVR16yo+nDnr7E5=_{UD&LjJ ziFuYCz}3DT{-^yICkq%xx5H-pz`N;%*_>8u(c}1lQ$&4#j$6@=bF=NL2}_D@0#{Cf z)AT`zF0)U&JzBh3VX_JMxxBa|+I8@ct7}j$w!7YT5b~?VG3Sl^LlSAjqAk~Ln@DKC z3Wb^!CXu0n59gKSoYz)>gBh=Pzh9*?@tdRRg0R%5pk$~{zQ8igp0%=T0Cr*qmI{p~ z2nNOzmZESaZ~S3Fw&doh*&;9 z*TR|NvmEapT%|qpMsQZh(!H4PjhA^asZtNz?fE5lD?4ehS;_pONQW1+7ryuyj%mn_ zC0z4^GR_SpQK&KT&-zWIjg8WDEu!OQE^|au%Sj`{=tE{?DKXq8i|&przWa zu_GVCKEpL&rq@Shjc!iIXZxWT_K9pi=yB?7?e*BHZ03(~@^$VPL?Ra}uNs-Bw8)`k z3_H?m8yXb$eR&5bUxH+{f#ci(Qnie^fHjxApI!V!3bqjbhx6*sXH^!fK;)8k$0VmR z1Trfu{#t#6+UvUb3~}G?*Pb&KLc{XBJkII7&%~}N7<>`~xiAzd%LnriJZ=mJ-9aiA zh`bHbFkTODPDHp0+y_K>7J*edRq}s=A1L|LvGOQ6_R#fq=fRCO`z<$%pv04_)kT|a z+=p@GS0Kyb$WKY0!_fh%=@Lh8{djFUCzn`?#8`Sb5tp9+UDoxUMhmN_7D?tKq%4J1I>L~gG$8QJD2mL);P(!4i4NjL>dadg?cxtb zl1nOtej5t9^L1(#i1$E;+Tu$r=0R|rB-*<_;g^#8mqV|}C9*kf8Weo%grEVt@~fEU{tK#y%vfF^-cdk_ znH;-!`deg(#r}|~d>bok-);m(i~G8u5JvA2W_?=QR0|Dri$KeS5X?go9k1 z*Wx7cAC5nyJ#&Vg$EsW(A?&EVd_W})UZXOJ4tl6U_+h-Peg6K7M+@SPyJjL9%W7IZ zbO|(8{(4UsV#e{wF=51;?QgbX4)+fImlz1#Nb3bSYL$on76%!$o;x_aXHh_xt_p>u z<((1}l|i|gRl&`Cl$*H|t!J{ySZsHxguoXjS69uQObl(*W4f9c?Y8=&QE{mwx zc)B1H*%n^sb`>-Uv3VLaf%A&go%_OV(2S*;&gJv$Zq|39!}ImvL|z;&;hXScjwYG6 zvg08NwVUKk-+LI~eCNP{M5N{PDm8xKxT`w14%Uj$D2!mXQUW~&@*o3c>2xRgqF zE`>%t=!C+mg4&{uh=l%=iMXhb$ZYc+LB0z) znXnZKi$c->XoB~+0V0A{ZVOsn>h8$8eM(U4h-F>Jv^ijKjbB0XyMuYw!6HlH5n@Ik zg={D>i$({O8fB;RYj7dR*rd)hyJ)8kad=4sg*XlTq#z{o~(EpHRy{LMAI`&Xg3hfW&j$gm#CJgT?#{0kd8a={%xc`6oHUGh5 z-v@!^uHzq*mzM{R$Ha>H`C;4J12zJw14zTM5~n6zmH1C-aHxzxdH3pEV6*?< z<(marBGk@S|84L7`wP*UzY@Ve-v1w)0McH3`#KF`M^q+hks$k^`yr zzbIiA^GDHtdHjDyOk-?`sw0-`L8ZD-RU3esU|=-VIN|>r`~P=}f^6vDHt4@^C#ciE zZP0(;^#50{a0a!H2u5}d291IsWY;MZfQJ9toqUn?baDBA*bj$GV-O-li#`;T{_ z#>=u#K0dMPf3XyGS1|~l8ZF}W;aiv%7Q>*VFEe=}Zmp}>>c3gQo(~>ElWNmY(SrY$ zZ`7c|777{;Ks2>P;4fw#u`V>QIny}QZuH#T&wQEi?~gqv)pl`C2{<7*@f0~77=edj z|30e<()qP;VquJZ{f1Lm_2lWlo)WOA|B;QaxEne0Uzz=C)YmVDHGKW+e;M`F5Kt+E>En z=x{1B?9FTl(k?-}`oU&aU@S0;Sj*2b9@14xjdA8Sle8%GF;>?lxs>SQ`^u@WV#ZRTii?qcB+lL#y z)GmCs?PR=|+uPyc+&VUClO8HrIX`{r+~T+`t!yqajoHB}ZT=iyoWab?WoF-GuGr;P zTIAN((=wXsmhR>DNw+D6_5Ts@{BX?W4|s;NV3V5jso9Bcl2=76!2%tHMs=1c#| zsR&Z^sG7g4gGo!Q$%I#3QGIFmqEj$I&4M``;nsfAi95Vl-My_V!jU}`S6`Yor!!s4 zy2&-Y(^JY8I&-l%*N`tj5)L^>k`wE^mzcqMy87 z>d_*{ENhjj%T)PwPvrLu!VF2jtdW8q$MH7TknM$W69I*tT#T8y&d)hMVN4Ti9P8}k zn}r^o8lQ7{Rl2l={Xf~@SRqp3My)cexg74hM)foAJv-1012&pLZCHJ+IVM*+JeaOH z+PV7L#;9E0i|(D2Vx@mw3Jf_>;+4hQRp`l|>(NPh%2~>miO$swnZ9#QMx|ZjHaNuB z%lYTrT@y13*jq|Vcn`j4TrFx)KYnJbxv)RG#;<$zgGp`Syr|EKyeh5Qb)9PUcPyCn zxpI#VE@uA1=qqgt?Gmpv-g1wE$@kLn^6M--J1B$3Hd|hQe(v+wYxn8{tD{nzkoj6~k&%*ZjbnH--dvhQ=I2tYC~ zKVH58c#9Q#z2IS9CWLW9`y9d(p)}jMdX{`#XkJ;uJ)LYc$&9>h(dr<&S>O|BA@KK_ zC6nWGU-rE@jTpj=v~y6mF?FxHk8_!njKg4s`Q<(Jgz7!5urTGvZq!fRRxA)?#vN`fL z%Y9)~SwgSG>wvIbFprpU{ac`VJ(#BvzM&n;?{U09l@+f^r;q$i+}y3O%5ehhNEevZ z7;uDbjzTVn^fRlk1bCmfD>1JWGu)n$#?{vbz@DGCgT+^TzH8K4C=GV79`@51xTk<1 z4Q7%@UZEXjTNS+Y=yVS02F*ss`=LV3rdRF7EsNHvnqq6!BVSo>=WBios{3NP{GM?4 z@f?Q*c;yNq?Yi748{9Cp#o=%}-8HCjb>+s9Qd=C0+giJ;b2i6^PCavCr`xCbv(J6N zyIt|RjAIR!#ZoQ6kY{tJVopxy_jFAV>zMpDz2EnDlT<;2CTyi7?|Mwk3%3L?S#}T8 z3fiV!LY!|ZFltW>tF~sVF?lgZY}9IA#N<7qjEoqU7nf)Ag1g6IzB4TBb)5U+DkiT9 zqe`uQJ#(zG_?9(2w}Y!^e$=aQVbJS!`fzRW$OJ*%LbWGPl*;mBQ|&!#N@f;}YY@^xUiee*OfH-FY{X;UUd9cP!e6psEFek-Gr_jP@Gn-BKILtCAg?p34T8>_ ze>KCIvzeMbT#&?jcTQJ$JIw~ik?NTf+c~nUoo|~?l(!R;e~~{AUUtz*-4gukA)ADL z#1y4zFa*5foI#y!y8^W|nRoI^BJX7TLc{8Cjo#NT=i=ov7t<b?vlVt;81<~%RhS;#q0tuKp>Y=ukCt5Cr(SOM z+3?4{g(>;Wd@&@JgGC^$1(S~SAB|i$oULQ-yt-;?ywX@Z*ln@VYI5zJHzBGYt{$D| zUQt0h{43=S&f0)FY4I;#`EBiaeEtOy^{dEC^ml&R?noZ4Y; znk2DSKc!G&Wd0G4+gYunkE?ysqt;~&GKJANF{(DVjv5PhV7KM3}_LAvy7T zTk!$#&#XT#uqunwj>@#erdFr$thZVy`4h&Cjn9|+Jczpe%N^VyxeJt2-E!|;(;9tn z=dh{SF!i)oX`jXlt;mq5J%30XSI0i1C5|WXH2y4*V*tahJ(M>h#sk) zc%rB){oIc0)5}+fXK3C7O7*KER71QUE9w@OaeoN2Iot0&!#;d1Kg8`IpGKTQ#UJzL zd>WpLo%a3E^XM|1R@~}T@kgfxpK1I>;XAlN=Gpv#p9JBtCK_vh|2N!iu@myI#qhgY zU8M6yNGo?${L!(IPb1zM8!enapv3r|$mPrb$PyX-~C-x4S)%#D`gp^Dx!@2!W4W^U6Y7RATg z*2cZ>`CPEOoxM_q-S`1H>? zo8|7ak%)r|4{G^?Bn2{de=m2x$)f9|!0M3z2a=Z1><^&p432zvU)6jA8>i6f z8!2`I&2Ms%zS`vL{}?@Llk9vTaovkry}OlkghO!f3qhBz-JqpLq-*J*3Ks*T8aS$8 zw)E!rXyB`shZ1*{>JNp2ac-c)Blbn?)tE?=cx3CN`&0}C%sds_U7LJQMZimhGNtNX z%3b&nW_?nipwwg_yvERwv*;OnEq~b5=#v7!#L~?UYx(O3Obq-RMfZFpo$T5qp2yNO zupZO1F*2>b_qDSRX?c6qS(Vk;}bA8Q}nM*|` z^M*@>m5Kj^l}r6M69Mgq)rc)~9^x5om0A{^EFXeNhv4rd*6xf*8!56-mZ41e*|lu7 z$g@S$zg_HN#hra4|A{AahtruGTOI3d?JK;q=XX zqaNi-we}qM6;Ef_tMS*n^rR*Ep3Yy`MD8YP$Or5-&5w)0l`ICfy-?ppixb9|=eTP= zon>#tD@OcU6NmqGT;FBu$X@zwQ^G4mxS2@b|C(0rb5F8t)Si!L`jz}A+k<>_syBV< zdR4`*mnQtgD01+&-e5^NWmKg#K8N$jqV)KC+uH2<(k z;MI>W75P-nf7MTCZxX1+9{_No#P7%aJe<(82^KHkhrDEWC3DRgvp%Y4rLPUpwa2FG zQ-;v&H6BBo!Z82MML+Y4ON?UXr^D@*bvr5)e-G|ktDmkw_on~0+WHiq-H9t7_U^!Q zp)-M7MB!f5Eu6znykg=8nqp!lA=A*dj=O#hZ`)HsZ_K*}%QJjiz}*JmKnK}LJmAt< zp%O)3)K^mL=nSok@xn?o>yCD`#GKnTV*N+ns4=yxWu5!p&p*T_V0z2?S>V({UXWX> zui3VEd9BY{*NC;rF)wa^`dQ!|@w-pw>LN7=?Kyox`ssxdz7AXcwNiKnf_SF?&=c4S z3gLs3zqT`epLp!HJ0xb*Z5ydN|A7K4O-0FH+a5*f)J2-c{|x6@N~sAcAy2}0!b~VM z1s+FTAf#T^EI(C@J@elUB%f}YKF1+>0|(wKM)0LGoTK(FYiatY1-6C{Vb2a&pVZoJ zS({n^0PMJ#nxWWWC{UJvGU&)zczi-O#0cywpAogLE_iEBvc%oJiEWm;;2F>+?Q8Y5 zyS9P$R!`vdAG$&2=rOjShuo>aP>i1%#0H>PC)Mu9l@5H`5r4b>`;0jSRq_bY$J&5+ z16D;B=xe_~k*}3(a;R#sY;;FwvPre%b5f`?qs@W+*;(UFA?^$a2?IQ4Z3_ z#Djcd% z@X~-t3-#@{40P#op>uWGtB{X7Zc>N<#kigmS=CME%d{y+L(dX!Pvjbc z;8+8g8CM-2F1+r0-9mWX?8VNF^&-)wwssm-s(tz9{`lD4gQQl_` z56P;3O3=VO1E`;h^4f8s6rQOr*>X~n#KB#yM%dmtHT$}P7)Bsun|7sl$<|&WYx!H= z6c;s;yAQ+_;qTnh^IftL+EK9m4uyph8#?{bx-t}XFbe)hbhVP`A>tugplHd)9I9tH zRGU1*hXfQjx|U~{(lG)Ked}F zk;!P_SrxK13OrXv?Gcf?@RL@g=RWi)uNA2w@FYy4|5=3!!$=@tNx4dSZ(5bfo`|wr zi^5j;jl<@9AxSMoKZtlMsD1}9Be$KCCt&e5HfH%b3TDlf=H&?}`1BIy<3z_V&ZxLE zh5G!5a}KaVe#7PUC|_s7Il?N2wNZw8vh21@Cc9Pn%Xv}tr9?}}Yf0sfo%h|U%D4@g zA_ZjdM(iHha#>SHroQ63*`{I|7^C{@9nJYk;}Gn{66izq+|_F=3~tqPCo9x|uWk8E zxb4&!uDdhmGrK|HW5-Damor%GQUMU4of1?wW)@^pK-Vps`^fnE;E=38^(w&hrgk@*SV zw5h!4E{c`Cz?Z3A2NCDPXP15wJT8T5O{FZL@w1CAYD=QyTs z$TGBb8r(qh=kQG2Xb?!)4s zW@WR>+8u-;%(CN)p>=`dpCM&I%=^_q+vS08MK&l2%3amW@ae(KZ(%@u<+qx(jkMPI~r)r|}6&$ev$8Utn>- zvl>y}E0O18h@_EBA&_L>ciuhbr1cH!m#onM;Iqis=@6KA^3}pCg<-#7ub1ii*#e^1 zb&Q*RFDRXI;$2b|IZ{_OlWX!GO031?+5Pj6IY~U-)KJEg#{5WFkt&Hm{|GZ8%sc(D z#cx|)eD`$_N5j0w`)f*=Jhcf`E(O5GK%4xR=(AS0v$k_-;QWxs;fRclxx>%TbV(aY zJvrqb|Msy}1)PZ)8`b0E>O@Qm&K!U2%=rB7g;{x2scljHQHZM=&yxzTr$zoU4wKV2 z!kJNWX7016650LZdD(k?`LtuL0XT?YhSED~s3u&bayN4s4=*1(E@pJ`4 z++Ye*^Q+2y8WKxNxllq+ZZ=+6SmD2|N7(I7X+O1#nPSmdV7VeokHr$yAmGkWO|}re z@fc#SLAmGb#*Mt|r5f=#yU_B->{Y-wQ?Qr%lbIuW)|SW+TsboJE&lTf zya?+X0)@Rrx?84!&egSz^wD!a|M^-3u&^hDsD>{u^(fUqZpM)+Ls1+={)jcdBCCS# z&1N^p>P0XGO$qNkla8_0_~M*1nt)O7d%!4K6>H61jL$7#S_+Iu??{Oh?jo_Fck?UW zbM;6$A~vT-Xbc}5cPbl`7DEsX&0st!bT8$ieZwj@DlkbPc(Vs!X&Sp)JxaNV-um4# z>-c=t9G3*!_%ZKTKUbFk`J<$A{g3cP-cX(yR* zIi2URdxuOf$}^p*BWRb>zIt?DyIba)Hu64k>U;_^17>hBqS)|2qMv;^BhMs(b`A2` zrwqaE`16p5>2}F{4!||b-A6lz*)=n^1nrTLz%Mh;O(-O3lvx2UZL=W~{mKb4Xh*`6 zcq*_hf|Rlw?b(mue8^N8@4C9dG zjiq$1vV6TBKY2f9UvkDm_!rKC!84GBKUtJ7XJ6bRs_P{@WVCNxRdOls`WnYY8-LcT zEoZQ2ZHWu=2eI7#|Jm5JZPVRG`}QS*ruBfVQ4}w|*`KDv$=BdzT%D%{;9U)tXO}X& z>!4}zYs(*|N;DzC87<|2%&`4WuWA+tu4e1OSvp!+c=_^)R4dIQ?bUsd1Y+Dif!c{) z23VikCJKt;Ve`&GvI)9z1Dpr;ekkvv_hb2D%3179rjWV<*;y3u=Xj6>d26qbv|zy+ zDgoFZ`t%`>4Ik!^y~H8=$1+(+W6`p%yQ%D6{>c!S`blPuP{R$%p8Z<9K4hRVuus^*}BAJla=u77l`5yCuF?^G^rOR!)5{ zdJBFyU_H(bc13x_T zp89%xADqmsyG%<9Ga~7F47-f+60;zDx3&pT3fk8GOHoGTu>DQ9TP!&L8HpL5CbHA7 za-2=F*jTOSZ-YDgJSq$$NUhE$vSuGWXNOoD&Eu^DSsM!>Ptxca(4l!$2=TjWAA;A* zhFp|_xyh1ruA-YOGAH8#z8a0PTM?#IrE`PZ&J^m|AksyHq73~Zuq5Vvu3g264`w|o zpppr1gW#UfKrU#4Hl@>k0P(}2&vnP6#YI${`XLnxEkb@q)z2jr;ik6TcNThhtb*M_Pb)U&$= zAjxC3o-WN$oJ@uc6WhM$1rp^fJx!IUtC}8FKbB`DOC03z`_6Yxv<8##X-M-*986^E zuRw~+O!jq#9>{FSF=QS>nVu$DcOh}6nxDheC~T;<@KlasU$=%-ox2ww#E%=y40s8*XEP^OtvgbluINzshI=H;dL+!e7NWgvoM=z@ za>cp1LKfSsI8B7;@C3&E-Ilc_4e$yKCJ{$%_VEGIqdnqTZlcd68Ms-n)G&D&T82 z|7Z~EQgKSmi6KO5GdGsal_ChqX6U=ygXAhzA1g5;&jykGPQ~1$Z=@MLyf_Sh8+utM zVoo^nMk?HC*i(B)r%+WtA6L{H6rUCf}}fyIx4O z-0erEAOd82IYQLa*Zt=PdJQ;e;_;kK(E7O9t?o^Vfe5D1u@vguSO=opK-w({`UOP? zV*1=~NEAVjz&2`ZHTDV3fl;W#KPskeK-p?#F|?q*6l9^R0As(NaRlsR zxh_io0*ObSdf~RIe(Cs15N73jWZ1arW{FLLCFbeoWvNB~u}; zkQ(HVEH&{EtTxoy)b~yOz(z|?Ct3WM3uG*|j!QhQ#e?d?o{1vE1(*?}n z%$q^!h85^9R4@#4A1eyB`sVf2z%C=250FBjjvpw*L!=P;QsJbCBpyx+RZALbn;8c-t`zFuK1DFUXpad$$XsRa-J zv&sSUP?EHs6{M!*=2SQxrrd%u z7stanIsO`_b^x=j}sr{%V0HAiY!JDO}Oi_s__+I(ja(sd;dS<>Ytp%m z4&HU^#`4$;P}B~lugNo>BXTX%XTifI`Xtj?_L{LEu5xoIZa@N7PC`WvdG^c->G&QK zisd(X-ShLStj**5*lT)#xPub>>U@|Bx(DC1mF7dHAr~jpTToicRsYQvD3c`6*Fb9H zwVz^4jg?6trh%iLdA~07342wP0Uyb_z+_!!yF*Pr%E{+QS978CVQs$H=lLh}YWF2J zLwc-E=z9z4s6!1@!&z%q1ZCc$hmUOFLTBSv)spaG#Ip z(Icw2kMlng!#=h6e`vsce1uo@im8HuSw^zCU32r7sXFvuYeq9|kCL8vNWbSAnZK2` zn(t_FTZwr2daA{-i{!*8aE=-EY}`wsxkYFWXU3cI5p@W^o*=c@Mzf;;JHylcOUq9_`Lm*AD>R$32s@zT{G1`>}yy5gXu@nU#^~IB>AefxAckJ zgKF9^@}0~iUpeK1o4;2Xu^ctC-=5zBJy-YGb&Nk&?&?19iWbLK5{IDp;XAAuB*P!k z>4S5pH+(wB-1bQ^+ooa@G=D3(i*&!~G0BW$xreHI3HGwrw6*kk92{h#kU7Fx>`v7U zCZUhS3g&=%u-tRQuPnia*aIpIH>mT$3qq@57wV4=YQ7%Lv@EC2@JwoSU|%b+?S&mS zV8*S;$DQ+}4Y>Inid9cZ-$OP-b-I^=R$m=G6uHlq{zc^Op`r6?jhNHx- z3WWjSaKCuluN~_HiHq@E(dsR}t|pTAI)NH<>tkj`4pZb=aLI&0mvReR35-yAu<&Sd zcL7r*Fs;Jv7YGX{HKvNqi>x+GYQX>XrZ|!F9U7{$J+eJ=-?pW=Rk>>Km^|kQJ(WQw zo^UjDs=(67;m?7ax2JEmJ#toOs7{6FPkxU1bT_j>a=t{S{1=b(ykBPCemh%b+cunj ztMl=@tF5yeglAR)JSvoMbni@F^4whQM=`VbQ|=G+u6Jo)nK>Kfd&oNf;I8IZ>O0i= zRp)66BYPh_p?Ur?Og$eD&15bN1VtC_RLuO&&`WV_kG`VDV+!}IFKt9WJ;Ui9&Px4b z1n!v>{>%=0@B&nSLa)lS`?iBsHj)7})2RBeYB zYO8%-=9$na6U~G6NY_Y~r(j)AtpWQ! z%H`KSrvt#R2JAIUMk8;{M&Ra8aqwl~+Wr{ODz(n%Uhiy;kNiDc>IPz_+IvOiGegb9 z%;#k?_@Oj{{^M%yoIBdUcIUh@0xevVUf^BA>9(a_(Myoe3IFEOk(z+qV9;}T(e zY}KY7WhAGkO@$7oqdOMt6D|%=06vx(?7s63u8Tn>CeWThn3iDp6~jGC3_sq!BsJY& zf?^O`4yyt@;>mc5VaVE-F<<{ci^*UO2*A1B!_aSAzBDOL3P?2iemsIc;ot{kScQ*E zzC;XQ1Juk8F6|n^Dww46&>i3TPMiXe9fdpVju(oKy#~F5-R?2NBw})*8@P(kfAp3E zC4F`v%Fb9)>vK@jN7V9^|0Hv@tP$(N8XvaQFNW ztJr|y0~3-llpil2l!~6k?|Xm1c0hxQq5Rw+RE~$Nb!^ie`vlY(?S}$wBB`{}XDG_9 z1$a7*@dUU&$}iByd;sQ!Gx_(2QxALBR}zjI3z8DCQziLx66iia?YP%6SGzJc|q zblt_dVDJm*zJLWlSpTJsNr3>bQ)*YrEDvf`6(gJoY-U{L{ARp#t1nIIN*O5` z#M#RQDq8YD;t`nZ#3P<6Jq%rmY6i_z1x34j2|_FA$fu_tu(tL_I`pK*Lu>vyGDC5X zp+E@fov(Qk+9HY$XNw3B%mZ|TgV*FxTpBl=Hm!tppetMsYHeTmdFByM{Q4dE%5;o5(Ims^EK-BBf#Aqj@wMe88}buA#B`jXT>~`L zbUD#9IW8DCykT4hKkMiy!g@i{u-D5a)zU04-uZIJn+~@p! zVM0zLdB4r9kxu7=kw&~u41_2{)KxFyO4eL$X0AXkBzP{6x@t*n2b^Z5le9|LTPec$WMpjqQVbF+}FRw*p^&c%g#%D>#>44XcO4s7u{;ez@AF1^(@&=dLEzK6EcK z7Nj&dBt82SqD3oFA(8vD_tRnw(C1!kO^X zwLUI{B;yA_+Y!QT%LjMi)ssb%@dJfiebqS|Ez9kTH{*L6e)iV7R^kyD!fATZmUitD2}})bJy>%+P0%r03XgUk3T11 zwuIn$=0I^2F^gc(oZuN?TUAZr@COBXVy{Xv{iwEy+_?;si^Zi6uPeMBAH?o}9DP6l zQ;sdAck*JisjDX0q3+>SKgj>Fnrad03P6RwJZ$i`$v|Z zA~7%F2IX{j)B|qNbq`RlSfW0~AdF4*c-Ov$xAS>i8sJ)z#vnNqV0A4wDoU;BTpSn? zneVf!E#>-E*gG2$ZdqvzcI|HjxN{85`co(J+ z4a0TiLvX=CF1{K4)PoA&Z*f>_0jOe#$jqR^(Iu+&Ky~rZ$hQGs?Oui=C4YmSpUOI@ z#@xDH|NDUzq>CtM*};gJ*up_AzR&L^e1~B1rR#}fEW&qjx+3KINc@WN1eNuh$n6|` zJJsdbr56XNN_fU^V>_8c*KAlHgY%OwXzesPgPgp`qX{rgCJ-ez2utzoc z2K{^)J4&S%RE!_F%Wh(&^Ryo(RG$>+0MO$*-@7srSdXDPF^Nb0^^Y_nG;PIYHqcI< z7Wn1Fj>@bQ4){vb_%bC$;x51z6ETXmb_swtDAEZ!a$0LU!rY`lC&rMh^^MDY1jn=g z1<>R?2pkZAgFaCIO>>n3U|l*gv8JD8l2J_4k1p1iy<+ z=XoFV4PfH|Nhl#ymAu3w{op!fKoM>d7;!-N4$$oZLqHBc#L$=OQDgF2&QRL3eE10D zb3HDovlu%KAo>xkiyDtH{*R%TA<6@+rOQu9xD|&N4rzUUdv0%(F41iV61v0V{%0}7 z-
kP-dx`e+QrII=fc0=3pw;H|s#)UIeMo!tqWA>Mf=26}K9+%fBCx%)B13bimS zrh*FuB$!?$D|!eXt5zv~za&jXb=6Z2 zT-Sadk~OpeFfKz6BGpjduK8ulUjn>E@&;=CLC-U2x%KA@Em>&&L9Y_EH#nfqwXn+g zwUrQ6+%3GkCj(^errI4lHZ!f8-2CwZjbkfMqJg*5Brwp43gW`oM@$)clO&X zUEt{F-#ku$Gyraf0#88VTu_k)_^IT^%JEb1vJ`E2Q^p1}&=#1^j#<`D1ipR5^KpUr z=^W$94aMx>K6g$zSWND{P1M-JTi@I(2qNxP8XZEPgfcPoXu8$GyTUeYuj2s6StkX0 zp71cmw4AXT@#pv5*Ne&ZQjCeaXS-}`r5J#wE>e5nb)o8)VmD(_Mq*K4oLq)8-1m@$ z>oA)q0`j{{=^Kahl2x=_D(2r6^?8oER9GA2zx03X$|~wJhNs1sjmLLZ6q)7JZou52 ze(`qvkVl_J&1;`vc)pu66#u_~6V6&tuu$mOqIPud7(z9f>(POT@l)z!Y`M=hq%A4rmlk#jHn> zg1jya79%AXdDbNvB|01)edlmk?^{9wL^o$uEDw(E#HTkBAIhWATk%ig_I|q@HMuKU zHc6{m9z}=-T`((6xp@w^Ij;N$)Sk zq%aO$L)!DV3rz0r>Lj?##0inV7?=S>x%7xzC_%XmnP@Hw@&`1~LrKV5Mj^*2GX0L& z(DT+8!(zX0%;MxSQgr~fz=F~|&lSU*By2e-C24&=K6eZES9)U)SZwQoM?3~6F*Z2> zYs?WKHg!0+rBF}rv<&A;)!zc*jreSOG=j)h)Nm`GSj68*;W%%#u z4UEI~2wS`5Juz6pj0G84Vm8*aPhR zzh6d6b*L)3COzPyL@t_M`8j46fMVJ&WWQ}Uqu3X-^UX~r_}iY+LtIL?O#T4?XA}X| ziD2eW4{43_{!AXFhrBv$83-{ogC62ht8N+?r#v|SYlG!mhbpYP!IcqxY(|Y_I?9t- zUj&e*9}qCbFuso4Wa7w3hd{5BODPt@Hf_T%01n3?1r8_=2yO&!lM-Wb9AHW#vDa$B z-^gvnPmCO>E%)n&5#)hR5@=@b(3bV5~_M&Sr0B_#IpWEtytDMsE~Vd zPyaDBLc~s)+w&vDr!(d%0dcAk^MDb0`-~1wYx)^Ib1<~+sb#$d@bSiV8o7-O#oN7n z0oRLeY;70W@R80JaFML@Xp}FYpR7ZPQa8P#?cH&2e#Hk}Yb#9#JT7Glj>Smi-PkI^ zOgQRHH!fS+XY+iGB*2yl=uF;Sz@zUPu#Xg$ma7lbQj0?2P6y>c%I^qXG!sC!;| zGo+Q{(ovz)Yn)F21Ph4;5Y*Wqan!O$hhOHP7#zG7u$3sdKrx3t#`1=#Yd>Ok6(69kjl&S`Z3NkJ3S$E`wfL=GJ#&Q>WXPFH_XYiK8_^0M!m4x8(pz ze*z1j*sD+g#nIyJoL58WhF*<@Zq3j?LL(szKqC=a1tCs0AkIU_Aw7m2`s;qi)0u5K za1zh27>b^Dy z;$GncS5aE#WJM1kMokGzxOv!)Z8@nNIi+F&+-f|8wEwCep>5a(XV#}ee&JeC=507t<^ZBRW2bWa&jf+A77B z^|(igk@$QejrAoj)Bi!2@3~8#Mj=)i#S+?6#me~eM3QN2#$BgI=o#P9{_#s;R1|`T zW5kB^<(fdDLqf9v{`{BgyqnQ=USY!J_IRA(bm@R=6`eA{Yg6yj(dS@l^gtl90()*j zc}G=xAyp6eMmewub$e5r&wz<{=WCKu!#j7)5t3BZ@K=NDxzlU%tlasy!WUXx;3?{D zql;^hNPJ>Z23-2M=F`IJKM}kYv(w7yT&;x;TnpjrqwnHA0TdN3n`9yzY#=3mCU`qH zP?gx^QPhHY!l8s#8PAUg-v2X`x)mUF?)aQ`!=rgpYCmgoae!F11dJaodiQ>9rtI0!*F4&8oJ=eUvpDXc&$+dbfMel zH9SIn0UjAqg<|noZV+4;yG`es{^K4FKqBhB8bb>N#9boXbJ)@8&|8o(M#yU!i1NOt zG=ApwG>k99cg_>JJhIcO=x{~%2;b9S6Jc^-y^mg>!xjrSK0}sB9IjRMrGv)MPmE1* zIRD3`Q+GKN0LzR}f&EOMnu&7mSp&)V8KO7IFy1akL<;5Zr32ntuen_SApA3ts~)Z^ zpv!Xx?tB1bv{ePtri4~Wf+YmH%J?~3qUkexjW}Ez+;pQjQ06@1ajkNr#4Q$!1|_b} ze1>PI=#+b)B~x%QZvw;w9JG~1#Izw~Kxffbr;<;wtijS?H(xr%@x>V7Hb}Pj^*C`4 z6J%cy#15(Frlgm`bt+Z3uA39QIg9p;&Q-r-QS~Tv8Z^9y`=6=cmtmOQh+DXm#HEhc z1lGOVW?nmZ!;M1KG6yc&vdFP|25{aBe}_AIbd3*trSR*N)!g`0PDU@@wR-g8((8fL z^INqWA5-l!9N#8CUX1gKzCIIfLwgN}^?p{3-Hc1M)u-F$887ONj~W-P=0(+$6;O+- zdePUO8XEnY-^-$yEN?XHark56&Zm!krve4;Z8`SFEkAeBiDNRk0!CyhoRuUGKQK zoyOcYK9v$Y-y{*PrAX7_09wkyNDBvkb3E$H-Y$W-b?h}&Cm&-0BzFlc! zH9kMx!&$&BtxhQBa4bqUKTc668taB|Zh!_l^q%C!^W8Nd>M+pF%XlaYU+Bv45F9f+ znjc%T=1j~?dj)|)C$@iZ;nzr#zUci)~b}NP{>7Hh;$bLH^nrSGr zVAM-GI1=zIh>faPEmq~z^@_K3ZHI@tt&HAD+`G41{gZ1TePaJBJ!96Bbk{;*!9}+P zM`0S(b?Qo`NcVG_*;c)ev0G?jzLvJi$2xmth1nsDo@tZnv3+_1$0;dy^%jhB`KOy} zAJRTZlv$eQYH@wcOZ=&`lvsbIl77=lqY*F9t+(mkmQgWZI{o7lfd&a}Z^t)>odg;N zS(ZRIz@FUxOHV1O5(J}AHqsSX< zQ@qG4FwInMs-fl!;!z0-Xh%+cznSERCjKYyJU>!z9cN)E||WQ9x;7&KE;fk|0|djm6#yUx%XdQUFvqbE^lGS$eG z)Y41z4PXbuh`Et^zu;dcjTn&kWU@Z8n^vB_0-3bgG`TCeVLtLJ4EgocMeq-IZpSbJ zN17^0eQ6j~b&J$T1=9MCD+^?@cJ2rx_-waGO~w-L^apzPwk4@E+`VBvyF6-~dJ;?6 ze+-NH((q$jadqHsYv&Rir7UnFP;}Dz_Djf7Re>&dV$#hlS8m4Cwp$R|l5*FWkY|${ zqSdWu55CDd3SEb!c;1eYXH0n=Y%PW%Tvwp|jUmXYmD!{okN^#}z(d2i|5u@V-WOWL znO7Fxuy!td&C{7KUfJdDvY5ZoxGSFF>$|ogu}mVrkyebg&u`x5RmS87$N09P!t1D8 zP@GJ3CyA>=1IiDKHWFdTq*LW*wrqma8NW3AQgwUrug>qZcCM#){td13)Sj)*-yEq5 z#H6U5$+o05)H`+Ly?7@v6OnqL`&dDW+GZ@RsGwN2vJ*fjw_?;qBPIc~NKDjJiPWBS zNUMjMB#vfEcDfal5JN~bF;kMrJ4g%r#C-dmcz^PBcgz88-tRS0PAzx^m-;*WEDZpD0$n5Y3>+=?OQwxN>(c~XI2 z8g9CQ(Pm%F{-PG|f43I=2L2a_6*CYkC||#-z(>|%Jna@vN^gAIES&P9-SVOpQSt}^ z3|WNi_Wf6vkKc(IO%@MJX_!lZ{^q7MfIDN`EO2UDUP%{6uuUC8t}t8Y7z*Tdi`;&+ zMgX00clnY3lD$&}<))R5{?q5l)Ty2C>r_zk&~${FC_rIs;PWT=T&{l39z|=SUXnPB zu)6+&9oA;S2Mk&+)R6yl+3{9PZ-g!1zq(AnYI%3P_8EGYvp|=jV6xy#68~~Q=gf7~ z_T1&QgUCb44Snj?IqtU~mHdrwH<~^Bf7#p9W-$q#ilw#11q_!O-#Lw#65u8=4pSxI zrUTs#YN$B=pX{1#jLYnIzU_WaF!5Dt2sON!{>Jd8o6Esa!*A@*QoiRDq%E`eWgT#L z;Sm|sYGb8eJItw3lks!sUd?csA+2!CpjI=$PiK6FgXCw8sck!u#inN#gmC3h|KA#v zMR=Joi^zuc7o8>>o11pGm@E2Letooi=fi8eDy@k#$W&7htI`H_8SlIEc8=)!K88QN zebR$ztZ|q4t)6`ie8(-7YC=T|6M^X$FWIdpz!l4D>`1{9f8<)(E|m|5t6lFBzSmV{ zCj{lAQpZijlW_3l9_K_=rG9Q;8GSRMd2EUc%0Z0c| zh~gAXA184-n8#^b;>P(rt7UfH z4r^<%_oEM)ti{Z(N*2E%p!e>YOWWqaB@d3B+AqrpLK)yZu=-y7 z(vwHuMQ+DHoif~-RBUY)HK9{Kuit(Iem0H;KNsBxIPVETN$Q}MNA-8mBrPZ*U#Is; zObrDMlq(ph`|AwQ-3*0a)bJVp!D^cmOFFqB^a$g(T3*cGzSFT2db0c0aJF2a52plu zIPE~=mtoK|n0_XS3*nfg#s7jQXgU0I^ieRz2pci*vl2+cZD9oNbTiOTnRKYtUPO`1 zj}PdhX+a;&2GH~$aCWGT4jweG^*R0vL1{+c(2btiG&=CdXyYHF{&b@zbfc9t7tseZ z2kQ7#aL$(oe<(VYF6Ko;S?}m(*25C6)2{o5Me5))GK1SUgY%!S4Iqz#47!;|+bzJ2 zH>_{p0#g;jtkOZPHzdQX@>Z5YkV;-(ybx>%0aAAh)NMTgM*6kD1@5w7z+L8P$$3{YS)fD|_ff_=-|032&V{ePN;^ng^mIUoBI#cA!E3VsUuk>0n! zl6rvFY`7&YsuAO&U~r)93%u$8J%W)ZW;4zK5<-*b$L8b#Khq+eKtp-R+Y*1gy*YXQ z{0zECitP6hbBc%yJoy{+=0R{bZB& zhf!v|F9MoVNQ!ZjJ%5urzn9aKJOqW~tlrdILI&EN86Zb(-v1NX^F zzPtF1O#_g~R}iy1EQP%ImTz zEf|fUX*ijVq5$FlKPU>W_^&AX#?7Vm|3Xo|Tsq_pff0uwFU}tWnCX7^%d_x3+5rdu zVNkEy)c_`FSk}?^!%vsl&taidLao?k;4xG)2mtrGj5Hv30B;>UX7kU38O70$AkaDJ zRzqbx0X02V$jX~4s$+ohftc$bA_x&cRJ4Tj`!ARLL<{dnHTvoDu{|69@+9@EG zZUAil2w0p84W1mq9BYB13y>Gu)O!oL9bp5_$hix&ySWXnL*U}BcO7+QZdLSO_(&(A zFRYXWP(_NdLs?FqkNQ z;<1U8-7-6e<{1zHxhQjx8666ti8>VwKyu$-M`iv5E>$lBGp2BphK7O^hKFXBM|ISf zhG%hnLt4!fH@5JRmPjCc97zO;WQfM{pt*pcSp_V7ClvM`4oNih$h-lj2t;{69$=u& zj^?aCHr;4=_#jNq2?78@iwXdMxjzs^NfJLsa}y7}?|_{^eJ9iU&H%ZC!43kg_om>< zatif;6{KyB{lBmjviToaO1DXa&8eT~=r&Q1O?g0Lp&XJzhdtop&8~CMyMFsRJ%@rU zNbCB{pRQYOb)A+jJsbk*UFZ1Qb=D>b^vh)c>>l&-ePehbCq@I6lt8$izT-y-_$&S+ zU7QVqAmb^DY6p$!tiOlI!>i59#Q$Cf;1z<+Z)}->Yc~R`tLuU6ks##52;uv8IjsUZ zdf-Pe6rI1NzckRy757_Ni+wC1<8sOcj6hWIzN7nB8-&9D>K)eq;++>^gb8|9;@$EN z4Roh|?xv3<&;P?as7>$0(328WJwV>c{bSQ^%O+r@P}=^t$K~(Sy%R(CPRy2f$cN9L z$F(PR*gDSRm8?GpNp@6nr4({6+Ne|%CdA~cZFt?SJN;CF&j z425s$X79ZJ{Ha;z>t~L=x)1KJD+(Cht!o!dXBFws-g$tNR^QL;Zh`9i8fNWR)aUG; z_Xaf2WYepAP&CGCzbYo5+p58FWxHY+zUHzaXGApzvH)R3Ql1SVc4huv#=(%S=Be71 zHM_*KEv-x|jhPHgEBk|UKK9O*1-g+ANuA!Vne3=6fzs7!q4*dnVT;M*ecDH=N)xbj z+M{94t=&RFc5l<;Lbfec$h}{FIFx$dT`Kcq?`U@ZkE&gu#5kqA^+cQn_*^m}zG*eB? zn7O)hKp2SvgTI#__op6Mt_Zv-X}-JNqNVYaz0S1mxrYTR4IV z8AeD=HybYjCH23?5t__@XDJHQ$^Suuh9GY%l_;V*#uLTIVWtaFN9rauFg$L7(p=I4FAW^5PJHbR?dsoLnZO$MQ`%ZoF4EDyW25$cBk$==AFMOFYUC> z0aR8;`1T|4yT<1H108WZp*!?9uq(Ia>7;|F(0!WhSh)sNr%lD`^*POYP+`34?fL8H zDiu_uF>Mf`x&`uc3mAsN*FxyOg9!XA2uLqpl-5V%&AQ`Le4E86Z4g`Ng-mxEKcGpV zayJw%XK8Yoev+nuS|N+mdju8TkJx>M zc5;pO{R3#2^#7xz_x&FwJ@Z}BOXa_6i5;L;)CLM^Fd(}3-GE&Ahu>vw@jC#wKc2Hg z>=fvhdre6Y$E7pTXo!hcIsx`7m}&wVeILlIG~V*ZtQQfQM~dJcK}jfEI-md!(n`e! zoqd2i#0lHTpi(vHM(M;Eqn`Tnv1PCxD5?J!jQ|37dNm>m=<#7lO#MR|AAOeszrCN> zYADSn5KML=SpO|*Kvj+rpc&Xdg2{Zoq0wVCsHK}s91>Ik69>2nC2Q7Ui1X(El^y^b z(zs{?or{80=r8;SH=$CQRtC0140kh;{+s3~(5Mr)0j;vKYpJ@grn?A}n!Z6FCbE{(f_6icFXzEDzQJ_!s z(CIQDt56F`I=^Uv$`T9-K|ntQ8F-82u;ShRvPZ9Dtu}94H59-en_zy z_c#TrH1|!3#F#FTK+wCTHv!!gs;IE^M2Bs^{DY;C31XrizoLcvn(tcSA|Ji9QvSSI z^RtTd_|n`~OaHGrTwRgyA95y5;85%abn|cjA@PKl+rWc=Q_amy z2-{S1-T#OubaE^DM|Tb1)Ls9rn!t{9rAS@@Rl;y$Dk zZfQa^9C@1eH+284!L`hOU)}Y#cdHzv$1YS3f=b*}N&ZX25Ix4gya81iCq!Fm+UprQ zwwP=tZ6H4V>ZZd0kWMc*A!b3MWTVS#bgBZDsW#;`!1e$NjBCGCpf&TqE@VJUAbHVS zOCZa4eJkJUUlW1mlIkvIWjnwjXi^C+lRD667f@0`!MrYm^kD+}i}ANtP%Z+r#IX`o zA2dC){1;#^Te1SZ3~!%f`U=*fplZ|yS_`4;8gxnuK@|W>`e1g^V(H<(MOTpYe)i2E zx#j6VE&E3+DcA%dJGzS?UJAL0&P!vdI4fSN{rc z8iUeb)SyL?4B*c*9a!!+Wk*~j1Fl^ z;6Fgw!Dkf4DWH69IyQ1;@i;9nU&D3h25RJQ?IR^a=L_dZ8~U@$mdgeguiBb^4^EvN ze(f~Qw4=+KtZB!&q9?vk3%1{pYNqDIP(6CQYoyB}K7M0Lflbd|uSl?kP}diQ)f7C( zR#x~cZmR42Zc|{fmn#~fx+MIkKcEK$K8ZgPpAB- zxNppU2cs3}Fj1F@H&MafyWpwn`B{Et0aQr}r=%#dL@}qqQaDle^)}-Un-P*(?0D}~ zj?*Y^n6#j~5!u}#Zi}5OiMf@2mw{0?wYQvnG2RR-F@YklKZdC*G=S3?hpp-_c&uXP z?XwrIt&MXoOFUT@%0Y!c4p_#y64 zqSk~INJcAqNy7$p3)i_jbBC9+UxF9G{RsLSk#!+Xp*Zsj4x550(!n{E{C~j68pgvg zOW+l;oHe1(R!xKQZ8-V+f=#3p`KBmT&nY8}FnFPJP?zhZ4#K&yeGCoc8R7?U&_+Ah z#J^D&a0}gYC%slORK#1jGrd1O*0i1Mc_Tj@yql}^yQt3d9ycR?Z9h8N1h|m4Ee;_m zI^>mMUfQAOfUyWlkRyzeBRlWkCOLcV@s9fZh|d! z&fjm?EUI%K7{99zz1CylhhMnwCtu>)^B7|vVwu&x586uSEJbu?$h5M?&PBE~3v_oW zRD(U}BLNre!|ktesdy%*d;4aNkp@hy)Jr&oNgg%BouAT|Zxr+fdv@8vEhR@Lb~8u;Zj# z%dLEmp7Go!>VKNIF9Exw_Q<66;v9aC3Q!BRJlB$b9hP0+y`e7(K4`n>^?CT91&$?> z?0I0DEe755IIoytuS|M+f2s7E?j+cB$OkqZib!fqej2ua%W~SV^0#)9)f_ghP+V9j z{`OhahL2OPR+%cgc{U7RqRY)lOzo|L!Zn2}{~p$_UvX64?sOBy@p@8AM&f#l!S{aZ zIh1<-9pK1;_{gBjeVJ>mZljA=wx%LNGIaJ{bDdpTHU#+~e z8)Qr{jUYx3aVVE1eIzGc+~C*(4NmUEX^zu+8q031xyI91ZPslDTLbTw3I&^8;}TcF z?$`3VAXCwjsnrR-2j=Y$j$WYouqvR#r$;Yea>xsO^}b1AAtc@pv-^ju*|8E9u$`@N znR$U%xvcRcxz+31R)mH~ingMW@GFgjQ83e3%8__@{A_?^pb)C-I5=wOO3{i4yh{33 zC3(gX2?7)S-OXmP2^_zW;dEmy3I>2ISmtvLW0ssFYuDXQM`z5clTqS$t0R843B0aI z)e41y*Pf?|nF_FjO=0)fuKae&nw)bRf8A8rS)}A$u`42Oa!K5^`wBo$Rx9^4;@wg^ z-AU#NS1T;%)H7rY2Sgvaxx-|_OGKGWL6(%lM9>YnY} z8HFZQXZ4qU(myS?(Lvp>`yA{e4`~W0W=aAt*-lbrai-@It;j6Xg`Q0(dsku`^IeAh z2a8_B)Rj&?)TVrPuzJY_HpNEm1}R}0`_uDhquzZ)1ipR2&s*Yo6m_;|e1534-&utJ zM^~hTZKPW#?vqpgnqdXMO;mgyK)|XeJRKD9%^$wcD3BHMH;6GR#d=Ryl&P!g-DZb~ zb?mea&r-y75tGhEfdV`!4ct^C0FM2PA7LD30N<_Add}_f%$EC&`#{+kbC%vP=4W>G zzN}h8#3b<_=#*}-#W;ocWFw=nH+KfNRN49&csh8+V-g&`hA#wvE3!+SpfA}Vq3_Ko zdsinY@T{ui7as4X7WRKQHR*cm)C4%%14=S=ebXcSOnZrTDEEl+zO1gHC$XUEi@|`F zbcmRBRijmPs`Z_FWH@wrz=t{#fvK1sJGxwKu*H%7=-2e^qDrhPeudg`r#9jvujSwd zlME+w_6~QFjDF#s>dYbU*;;+)w~BH)#X*|1YGSM&y0!)L^LvPCy|}?p*@`?zXHNpj z=|{$*-IQ6wKXsb*=uuW+Yxg>L zk2z!1=QD1nD@V7-4V!_}mT!Sqga^L{F)?E2ju+tXu>s~pJCr17l4%{KHyT}7C$`5s zPS;V^FFZsTgN%xN5?zpi2-OVI{)%Ya^E;byFLiYw!mave57#7(t~HQwzMP3e{X;XT zqDH{*-UiELxnZAVAOyM6&y7!W4T%BlX!UzGdXLwhYPS(UA~Y>ZcKEq z4o)kC<%E3_TTnJ(58>fA3670c#*c-oYetzkS#z}&s$e0&Y!xzxJQj&NsXGeQMWu$ZoDSEImsHPs7#!}GTP%>`te9n* zA;5^jT-b14g+><9zT3jX53~h5+U(yAGfxgPQE(}}svFWGq0XQn_nRE=_^g?&_1c@Qos^o>{`U&&vymD=v#X78l zcx#Cf3RQL=a@aT=pOdZqc`ErwRZ%J5yMuc$&6T8!R`%82Zt7Wxo>@|+yu*ghbF@)S zpISxs?%0S0w-?%0mUZTQ&s-lU$BK8%qdhIowf2AjaN~a6baIZd9fAItbbA7}fE(YI z+-pl7_4khuvaeI(lN_5^Y8wgw{-1&eE(syO@$L2U(ipBQwfE-gHWM~TG@F=NGng50 z@~HSI=yaxYCpjQ-pSMJA9sAfiQA5CUu%f6`Wca~u(k(JSie)YWO~Xi zzILx&tM+d{OE#E$gOWvbor&z06NNvKuKgi)uljAN;XBTnPZ%#p61NL*XnVoh@tBc! zN~b35sU18tmvMSeT>M-zjLR~Q?#Vvs-l<~XZ4`q?yBlcC=5s;!R2HmRQ!LzvJTEMy z$;|B4;K)3g()<2)*DR^)j+!tynjrS)h0p%y(YR+Pu&V_c1ft{B`b#4))1J~V3jt@Y zJV*arzojmSZ`K*jt)6`u;g+Pg5C8=q&0Wrn4;JoAj1~_+xNE|vfo*}?Nmt4{5L?wJ zprE%38D@<6TC4Vs<>KZ;HcAn>Zna0&2Cs)e!)roFl3)kX>K8^hT;@icEaV06Q{A_SC%Daxe z;Eub0C~NI3X6<7ywlSDg%A?&00?~*yo+W(2-m}5Cp4mn`o+a{yzA?A9m_lYZ4*qFP zH&}bQ<5X0?Vrp3NbXl-MGz-ORCLhb^{O$D#y94kxyBDx)LtjN%dj?%hYzS_hroxh$ z*#(N29(NE#owLu$@eRz9A8v8SXZG2_@!m2-UPtj8EFLY#xD55>Ydq8HpzwC<^Mtn9wjWEfoJaPUy z<$U83*8R(&J(*0kpD6YMwWLK- z0-qH!_EhGx;|&4zp;do#9WsBc-x5qGz5b?fBSWzCXWMlZd9SHj_LA>FFf0w1F=yjr+SFvdu3=oS0W8?E)S16D*i@c&-|=Y8d? z3W$*(dT?Urc7$y#kpk|7FRXXm6|M?MxG@D5xchT3KjSPzcN}*%xP17ov)|8Mr&OLa zx?Xe)UOa}Ls(NmYIlHjkC60pFHZkagGU#!2GLQvnK-bUzn_h0roQvP#%JAb!@yi#E zoZqqf-jNnloU>$vE#|tgX`4yx;4Q-=M*1X?nb2@s+~d~1;KgkDN_l^uqXalbSkHv- z04h#9@$<{jszuS**)9Ssn%kg!5fD83{ZId!E4G3KlOs5pNm0L=RBWU_}5 z-rT7L9xVOs;SENc72iQbctRpYaQqJct|;IXKv*7F-va8*alpw|-Y zCoLn<{jj+Do$qAtf`}>~!q0yTCX0NRV4uXpf)4a#i!~RLM?sCUng_R4&xF}hj z93??~E?2G}9-gnq&xzguDRpFj=KSK)qQJU;s$s`@Ns(RpKBBz%+7qX*_Ni&q%UP4J zLby~Hfn$#4-ka$ht@lBcRJ4OWv+er>KS+VF!N>iGEk>Tlx;7~I$O>dELTOlyz_>4_ zs8;R8XI22)YvLVqYh`@N4OD+H&Iug}h!<~55HGxV&_-=@Wt99|1mYQ!PqC+>(1$x9 zASA}m1>D5R2g-o5n8N1-) zCbLwNeKC4=AA3OB?GNA)UW`>}#^=Z6k~p!PIlTOOB0n-_C>pL3FN?imwIk;#d;eKo zMrS>X7~C^xP=9yt*fxYTbLuEnsc)u_Ov@PInx=dQJ{fetuhbq}2sq-n0bdwPqD=*H zOwVP^hZ|EXFe24(E&v->Oy(zZqE&ga5XrtHu*Fb)Q@%p{Xhk+aaBmr2?VUl1dUMc> zet)~{Z7JUb!br^SNY$Y^RbIY6F9K=c@wOk);i;C@>yaQ+Xx4=y{a6V7-(ha)=}s4V z{jlT5Lsn3!<5VZV_xKNq-D-re?|FH++B*%DirE(!ocYv{tBFoh?|V6US))n61`7!j z{H53d+Eh|_&i7NhYKd{qy-7lbctehuWkF8NjN3D6x=&=P%pxMl^=#E;_+WAhyxbUgFYPf*&dJEM4I^dB6}Y>}`83BkDn z4X;&??@Z(uKC1+Hxy=57S{e3zc&9}P!HY7yU1LrMQx1)%t~^l!1jTRa$z$Rk`sJxB zFRQsY{_|o@H9PNO;}5bUZNUJsz*-4Sy=!#Ly|KE;STH6GVzF@ zSG4QNef=QqUym&%GnN&LY7uSUbXX7D=a3v!By2;J{q&m%>L2f}U|_!>EjD`<{}UV0y1DD1DN&-q9?0yzHF zhKas^kFcGD6)ADl*e+7rkI>-FAXh*7Q{|t?XXZL2uhEtQg!a<9wZtr9LOKE-%LL(; zKylI{WAg`|>hr)|LV=zCcH zbY9cUbol;8>|^PEcnzRbgEl0Q9S9v}!8q&#y$^s`fcfRKZQKbn*$=O`YsFDGf1Wx; zh>c%lsfigrrhN|_D_ed^b6_v^I)H@zTGDXV8#Og^NCO|toR~&lW?nhkySO&Z)9Fg>f34*l zdXcqJE{;W{fenAQiQ}r4{n9fb;VAEkEa1lHu4u#IQ?bDHXc8aEQUBUShGfIx-dP^R zc7uCsP_gweol5a6>#%m(r;gs5C7@IhnuI~b>RgDt=&eP0(E;+8X84t_2-|rmolJvj z4llO=2ruY|Un`t4xYXkovYAc*fJva|0s@{2AIqOdxy!7S1(XnV=*TZI^qePto-x*b zHdtU{P)pX>Ufge|>k4dOo(=ERH~naBg=_<=4b+D4tR(Ce&N~_-};8; zklBSa{F%?{Dsdc{f+@X;YjSS^)XNXfyvzjMK9u)s-5*Rvy~AhZoew7k=ECRZ*?;eR zb?@D@{j+)PBXN6+7r>N)%8^e{NE3~w#wxv%_4eG+wF`;UuU{yj`F)POmy7T)l+kUz>Jjb` zTI4;`qw@s9AM**}ljKqSv3!XzA{VY$f3tp!ItGhlzk`if5O^}w$kEnmzLLh0KOuN& z;7ICWBU+wDF9$^Id*vi`v}%hU|2n}BChVlk3~}_548rkNF5(5b@KlCeW&=@mG`3vc zXp{f#kIfC(Cz4<+c8#<7oYvu|XR zQ5c7fJ=Tx%e%@=CBq^KU+K}?A)@yE#9uq?+#Qma=nh?)nF2)*|^xM?}z}YCj23pUn zP<&ZxhZfe^*W>xXOD7YZ(w=J*>t`zi)M^LLzCPNqsfr|E8004v60WUJ>WOauXQc7M z*c+DcPEcCUomBa}K*A_?Fq|$(vCJFa79tIC7~uPEHR*zlM&<&g+6m#&cP!xo#!vRi ztmMbI02>VPhJ)o)1t?bzN^-7dQ`L(vV{X6vH5|_=TV20~@G3a)%kfs#W9@UD`pqom zjm2>zc(@GGBQ6?dP%!Z|+r83kL7)gb;cj4JbprBt_Q9LhUvv0jS*M<#Sr#5qGq(I~ z?Ra^XlE2O%Xtp@ZRIC=&I}-3Dur~26Bg)I~)er4P$-yHp{jL~#_rHH^nQAsFJX~>6 zrLVF${v*?*myt+BkZh$I??P7$D;M!eE$X3@d+fkGJRjTR?kPC!4Md2H5=V_iF->uUjNCBO#0O*n|SEY~%HT3g{HC9z``AI&EPWP+Ew0vw;JOTo?{}v_y$6_kVUHGCv5KuAX3lpcdD6Yc`uy6+!CIoN z?#)5zEL z4mEKUsDaNSrsJG|7ARfIg_x-EJHz>N^gsa@xCK3NKm&+;cJ<67IAh^L)TjW5c^+5D zrxg$0EFV=CH<5)XeF4vpw*mV!^mx8gmviY%>Q5ohjqeVYWV=!9i#_kJ7YN*SpREy8 zcR83;$l+#lr7$nZY;#Y;u~`?v z3BC#N+2o}iQ5FS!4Ctepsr5H`@Bg5t$US<(nGmtinI1CllgAdN*=dRhpe2fN?;Yy| z*NP5vr}29sa@*(-?w9rSJy3SQ>aka8goT@_TQHRYnn^b`fyiLf317%&6aUaCx2^p{ zEPyy9;zPk0@5Rafr%#*D+`_-;aNSSJk@Z{-XgJ*|`^ex20udm_GBB3PHdenPS^?EJ zB~Ojq9#TfZ^@C@SW1YnBmkwt{(p27}U_Rc98I=PaGgEtO==Jxjc8!H|x|1~&3CY{u z$2>Tmdux}6or?}P`!zekR)roP_x3El<^}2_{l2G`9+r+FYkZ4HM zOnLumor`=L_I9Q^7G`@!^W&RY08vLap)SUjC(s1t-fP#LA#rCPV)>E$`;{{v@2Bpk zmZ7dGK-t@ty!ObTtS|_%h^C9KvG^&1BCT^A-;^)du@!{XE7$+HEoMouP(;uq$f&pbtc<1GD0WoMk8H*9J>DsPCfW9DZiOLGHX zZeUjtv(|i>dzx7!j3?Z;bL;Qy=iN3;8WU0 zBTK@aDBWQxkx+Vv9(sNw^Ovm4i1cvW{bAqXY@orL{IcCC%k#o5(KUC2!j1DWdXIX! zU3bxew-R~4Bic&eV-FM3#V_A0Hw&G2jYC4a*Mu9}OC;PcwMLq5rMl}TD1V339lV)$I_JDL>BEeCYD9`KR(ZWwlXlq|4o~1eEJarQj zKhmbMDVLLsjIsG9!N7{@!`mXCQ zT%C5xfZf4;bJOQFX6?JYF!K_~eb7Gzlyowl=CpYPk#|UsH(Yv(F%2D^3e_m=by!4z zuPoihpPapL)a$C07I7EjpQNE8_z4#qC796|Aw2V5t{jKy5+e;9&M-MTkeNbeFu}>kZZ_8 zX-k2aT<|*-@2+*ZLN(m7&FRmOzNJoerB0uf*J1^69`t4jFW7o0s?mNuR<@7$3 zD-RP8Q>@6Yzk6=91hzM;cF<|@Fd*5`sPWaa0_COzmZ@w_*{h*I;hoqQCmYRpG}1gT z#${!4qKt>B&YTqDY9P&Z8Kin8d7tjuuX{WVu6iFGyE(i-czW*5#P(e$mWjlLg>ST~ zs>V?yR{$rU**!=gdSP!&b-=KC6s*sZQ&Sg+H!16}E(2__&5gCCAx|=q2+uGCqzf!! zg&Fc`7aw83tduHlB^!8uS-9ydafhNSUcqEC_3=Y~0iPL;lP5>}c@>h1#{)|4q^}B% zPy`-=5>8kh3Gx~}X(J!Ip|BU!6%M}Rg}ZIoh^>h_7mQAu)`gj7JOf#Kd+(Z=sEPL( z4A194K+S3Tjo}>QQrba`zY1rQscf|`KL7Wk)-LHu35Rb;gzZhtQtzW1an+JWvu81$ zqt^A~$L-}ae+-t6!)Erp?M;*mnVwkJY>+z^)BBiASbfPgb5EL9ENYR+g;`qSn5gtp z_sw+$1)Wnrv#3eIXLq5gD^W=w_6x8Neo2(fi5kjqvGD#FQ&(ju5gb4nhkJBq2i5hl zKU8U8BFy_@ZxtTb4P0XooFk7Lz74sx?ppXd7Ww!Zn~wBtVHDr})rV^gC)>#5a7pp{ zQ@ZXyE>)Uc%y}kWqEP2{)+r^DY-Xk~g@{(Z!W+~rrO4BLgGT3GW^ukB50={5HQ}_+ z-l6RjeYug(OvVu;vjD#uStn@lq)l%O1)tt#9|;4R|DGaB;Vb{N$^lJ_TL{;V8HILe zbtS~&>8s()ZsYLqbs3r6d<{=tTF93pGh{QB2FE5G7Q<&q-M`l7uiH_U-|>V?@O6zk z88$&-cNe-mzT`=R0d)B%8XQQkxw{n#jq$tBIlF1_&R=tMTQXmaPu)Lo1!Y_XZ$)zR zqcY@vb)5`*9mTT(Vh)r+T=^SGbEO@;nb~7Wdg(PF4rn?VsWdz*kf`SMRI)5e<2K*(6FeXsa;d8=iOO&Q+Bt%t!WBbPZt-;K@R_^V@tG+yGHHDqS zM^A{{+l{+*g(hzIV_HjPB{QS&=G&9ER`o=x175WPSrRjrCV~srJf6ZiZ(1dSdHbnP z-qRF_?N$=^4SBh>qlZsMIUA*J%1k0d4^CTc@XJ{=BR#0=`f?zNF;ToME6{4Lb=5b0O|;!+c}`;=vl0ay}bgJr8=sZTYW7u-Dl0*Y{pD4 zP&*QHi$N~GfG<-r=%Jq6XBO87bWNvDAbhTPh>en4(V`G$RxxLs9?d6z1X|$ic|v_8 z!#)!rBwR4?6e{tdVnoE}Vh&gC=M%x}(Z|7Q)=MANr^ahylnPrs+ zSn&92DD6|u=eE%<>5o0L@j{r4>u2CyP{c92zJLwX7Vu^X&ep$idAq>_ugbel$*YoL zJ((d7fV-3z-ecD~3$CVY|I%B(kc%@P>?N%Pm`f2QMQ7UAWmtPQyrT8`&>^l5s)_nS z!7$v!I!pXsi5J&&rd}!*O!)SKBR*_5ROWw%b@|_dLhIf2_ z+}JP}f*?mlpFOoVW}5CRR9Ts>oVbI*k*e+|4gjI+RrscqKy+AZGsN!D#=vi2ijVP* z)AZ(XYKOq(TsUAR)spVrA|L7=CRTyoE-H9u9I+P_SFbU&H`3Ve`P?bUb(>KmC5PM^u%-h zjVVY5ov{tAe3!5rU=Mr5^3v)BvB6$^9t_$&Aw6ju$ruw<+fe`L;GgvZ@is3!2YeyE zW&Kg_RbO&p`0-v*ty{0bk)y2rhDCb7<3jy4H?91{MNFKB(yOUqblD1yK0J)CzTE09 z+r)dNetJD}2z&v^xC~;Y=E2Vs7eO%w_<``VEsmLu7&w>TJIdXZql|Da{_OjXML1;r zZl5h2Fa)kS-lk)kRo-=jdV9y~n}5Ai)*~T&%86QQhH6@-6=}_$cBH=ijg(@$pqlQw zDEkv$+A&Ihd^K}^y^6zg2aD4M<2@}U2!C1;Oj0^T!UYodNK+5tR_3#*hS+YD2(F8x zbjC}bR-A-oOdr!Uu=w1QxGdzhl~fPF2Yx2p(QCa!5Q+Zn-M$cNH0(RCq`Ff0neUDP z+9(BD&LeAGM}Y8Kh$Txe?~%{M50)N+r+b%-fpTsy4xhupu`~MC+6YlE&Q-$`S|J6X zjnnfoI^%XRJvar(?{uC}oJ5!*w;y>L)Jh5eHLpIq6UmD_lsGW2j$qI-@G=UcJt^3z zV#wTS_G1sIXX#SE%~x|f>;>%YC!Gd)a;jDq?!Rohc^T4+Q{rh`Qz$!lwO54(3|3~w z(!-?dAt5ePc<->LAIm2^>(E0%P$GzLl~X5bAxJs)jQDsXS(35`El3|?ZT9DIaDh( z*mL^0c>$couk`hUjLC7CspH9_COSj(e*#+@@YGR2lV%_oO=qEeX@}OuE(HzqV}-sZ z@lAdPCwgBoh+GSVwuWpknS7(1+H>KvUY|O^Z8)@hWvxa)aQy8MTVLt$ykUH1>b-h* z)7@rs<7G6qIL6jz;JfyVtb2jv&y4GR2 zCTPnqrR(EteH?qjVyZ^jPKT`Mw+O641Yr+8?Iw0A@WAMAk@x26_J#zxcZ;^+OQLZf z#zEwbDMY(g zqQIO`{LupGI|@Tz1WQo~veVeJ)!!7Y`0oP+gLi!M1izf`(xb%#wkz$cQ#)(fa3YXk zDG;vsZDZw;7;!yWH6 z>BwJPh2RhhQ@L6q$I30hGi@Ruju=W7Aqj!yH#vb-l0FRZk%rCul}ZF2ik+ z`~{AwfBH}+z%90x(I`kAQsqPpy?z6i6Dj*dXgTzS`1IiVNXbkcOqW)Z;9)gKx6%;p zS;%;YIZ&MH%f7j0qUSQn88ZKIRI7)*(~ z&F>1Yv=&vdJ1F2;#0l77WOpNA3Cm?SqNqiP!rnxxA60Rm zyB_(<$E4=qy6-wy%d+34BEm}!Jk!=Wo7~%T4B`5ElM?bBXm8qszpv1^2Y*1^@8z_l z1RX4a)Dmtu{N(#^<*WGNCj$Uoz}C8T|L#3SYmySh8||wRExf}|>cXTHOm3$8+Iftx z_xFH_YVCyY@6qsV{=z-{1aP$+*9+LB43>Ks-&B@+LSxn9vz}|Z+YzOHW!XO6rP&ic zZG7VoimuxY1|9xzV`dDdt52c226XR{?gYO_Ms+vnt$r&IQ1{sYWW7@|F@tZMsn#{g z>4!%uOHG1rXO=LuRc8XBXWmT{B>U@*H8M-wLtTyi4Gg&Fw7uu0o86R_p|B(U}wgt4nuf+!tz;O8yrVS-=zv;x; z90Beki0BmRiscCGxz!f|;wv|Xc1PYDz52AR@WQ=e(aa)U9OV_}GR#8!{Ft^7;7DF_ zfLswYnmXq@#cz^>9~_SX;18%&9oQ7%_%aVbJYPJ1cXm(9iGATiwqb-1gGuj7;KjDCb#`aTGF`)bl4}aC)kREz zHQr8Uuzz!Te4_BWjEwNCr`z?orS*M5GhLR|=Bv^&k+FUe#CXfg|(AgSuk$s&JnZ(&-G)KofkS z-LCIgj$bE|If}^DmcjxM?o0eGvX@4Yc=P7~F9aJhn%0>#@ejkhO2!8sU#3+ADUOP6 zawzWP+0J#vYx%+UqZpt~qK(FL*Y19zW~>DeuG^uS));4K#FOe+HnCmKkBpe&;;tl| z_QkKDhS@+@sIa^Z0$-@UA?OMfHgMsyF9iYCtJQzQw`(qSi5x45PwT6_e~oQJSv>nf zt*3i8nxS|V+ST!Y$olelsNOg1q?$^Rd`sCz*(xGhBn(=ti8f@9P}xG3!Hl%2tXYy| zDHPdvV{8@4zGa&+Ci^;r!3@UmK4*sB-}`z0WsY&qd7gW@?(4dq_gjJvR&?{`MmLln zlmD|px8PN2odV_g%p&Pt!3(|HXHqV!=p9Q}dL0Fp354L^@~D1Ose@T~0h(eKd6Ew{ z+_sk=Mzt2HJ%7q^?V+1eE!!-3<+rnf+3Eff>?Wp8heKVSu<|e(6zEzl@S!J4vY%Ii zDTiRcBb=43?ldDfr(xGPGx|)v_IW7(VxzD)FhT5Mb>;_LPah{CQ@$h<@(W8FQFo}5 zieoi>$_HQlo4(F#UvaP(FFspO*yOij&zVN!GZ!d}goXF<;(E9BD{B_}XN`m@!yy2+ zf(SR}Y3z~}oFnaAZ#L7(LxI2MufZBBO{CLWYu(JCH<3OQb<7N%$#D;^IWjs{3f%-* z`8{oj8M=&lejAMus5={rEK+I23v#;7TCQ{$Ri9B01t*cH zP$-VA7^`$`r_>kKr@;T4`j6FCr_)~+E}z$*T9W0wrIr7S?&6O4#Rg4;zL{d3z1C?& zm&C3?*9L0={eExD=mr!x$$EMR!7qsYcKc)+njy=1OppAZx>S8rt$*wq_#4P!wUMsc z^NC86o zw%h@#lPK3qaetYpg5i*=BoeQSL($(UfBD+du<#T0vsXHF`%yisXCm)Lsw)rRX7&j6 z6kU}begBX75X??FnjOrZxnAno_l2P7G>@El5A{J&_Cu=aDpc4*N$FxGDlC#!*@r^p z?6s+Wmnn~#lEtxdYu0s(d>_)cOOB14;K&y zTt>f7wm{uBWSd4PyHwI1!p^2g?ogL%c;P2mz|NU5y!^#b=|LnN!j!>4J_9|g`Z38Hs(-n2_i zVKm&OhE|DN>TVFpGJ^7{r3XzoAU;(+MAt{HsKAL0>L_TC2r~|fOqN;cb#1W*wdVWO9Z-Nt)JqUN{u0N(jZo7Vf@-X14M}Z)g!)>m#tU z+zn8_VEv5ULv#8R$=1{*H3A}Mewi^G+A=g9C0*IIy`!17fL2?52hnxn4svBLEQ0?G zaBrdg3Ro`lGGyLatQ>aCMMD@J;ToX2C<*4tmrJ@ZULqlz4 z6!d};Z(iu$t^!q7{`V?!-2I2QuFjNNMrELgd&^g>%~S#2T3h-TWL9}jDGT1d z_uyCWpmhWS53^LqozEEs>nLwtQ-AEdDl_o>Z}m>J103IXB+X8d>Q| z5!KBm+H5smv)VQ~_BhCO&}gIqRj7BqS^`kB=*n-eD|PwR@jU;NJrq*+8?Es~Y0e!q z9$-tXD8GGGjuMP&kk{MX6e@f$W8(_x`-UsI()XhY9NXMO*dZkKo2eko_ydU1CwrCE z^+6D2r95weN7xtd`lYEHU(w=_tW5QQ+n<%Q87ARh@82Z^}MH**DKt*J)=0Z*E~pli)LMH z6ID(qVm$9}hCI%3p?{vH?=l>G8_Uzeq10!B47Ub7IN*Pd_0Pizr9lWHR!=1!0t7>Fd>brc1>NMbH%+L_HSV0hmDgZ<@BB%!a$ErSq}x zn*6x2hR^Z#WetU5{+g3h0Zk9HSuaQfvi;bqwe)@Ddje8vbSvdv8A!E2eDi?uo@4kh ze^di4d-KJB)^;w6cX;e5L8@1Es2|p(OlEXr;KcT-=1aUu3cmN(B~j;s7n*^{^3tfQLmbpgyX6nXfL4DzYYxmmS3V_TeS zaG5OVDkAJfTT$6F=gysth}|c`GbJjM041sOr>;K(|7^GCY4>$;Kq)$zwbyXY`Ht5R zweu*1t}gUUVV^{e`5otVdBZH)fwD`5AJ#>2u{2!<8*0_((2DZ3bIfMSO#py%Yk;3! z5-2KIISL*GDBrCjh47)O5(mswDKX0Bp^kW)Z|* zEJlm|n5%x26tY}WYYB(lBS&~O*RKvH9Z2Y?Yk)`vfH#Sf-Ix0yrmq0f@oW%(ISbA~ z$S2B^?nTu#FILR*5OHqJ#I{TTp&fH6qhudh)^vX|T~Yftbpr*2S9~Zt6eP??D_cOq zdA~-`0MyKLoI!IS)|b`^GC&e?R)*j@I3R$wLLZd^xoOdqH!J`gFEh0@pMPPgP&#bl z`bY>U`IN@bG`oKXvwkaquq|XC0&W2QOsD}x&ttH~(7mrESBL82;rq-@0NPnCbb^qV z&9N2CRJ0*bfZ2j#-PJHfghUMwB7)xM8+>fk=4rZsILRRQ8-xxCp zcfkyZh=C84zCT7PYmD^(IL554P?m)jh=Fg?#R$~JjZy>wSR+EUJ;@7k_#Z+r)eJ1?diAnql@>}aoAW6xU-YBk>c}ob1 z^icQjjO?EaQ=n$246}U$&kbZV=);e|@DsBLJ}vb48%T=L1V0xiBU>QcN0VYAQ?VCUr9eVvv6B=-%evN2q8zE#^OA(YnfJr6?IN2}ctB)8G z_i=4DPDjzgKQG-muTfh@qL(wwKh0q7G}lMm_&mSIt+Z@H4(I{8+dU58Xg(rTK5-hK zH=+`Ck%j*L;xa|zQQwJjmE|4<<_DS(={g$gP0pW9dT+N2Q(_N zXX4+i6{UVaD|LK`zh;hn04Nq5ej=an{fBlNVe+qH%31_i^F2WDGY#8~_FGa95lbo{EO1@btUT=J|Nj8CJ@s^4T^`k#tk8D64kKaclG_*zJS-Shimd!vUIgXP-Eq(}nZ zbSViH52O;&cJ1KdF+&1Y`Lh8C`acmZ3)J_W3quIolTF}TT!9z|pbr%^3Jukx_J;SRuyb3;wL*F;d03d!ALP}y!`twB` zP~6tuGhUovtaaOZOrcy8K1X@#^~0FOzKW%rICDFa3q2 z0CQqsBEYPh$9U?W$=U*F@JQ>hmV%Ciu&nZ*&^d$%{$o~|FAM61t|_u|jll!%JquBP zhG?B9SuY-Up(bnODQ?ZQz^h>8p2Y)&*4bhUiL!GbOvHIU)C$cTdt6I(a#eIg1zf0W zkN{%kUKj+d?I@oPsmctc+X)`YXbtszjd!igIz7#}z$ETRv`nxmg4}L&i3!JrfU@R2 zhf{Vxnxh>ns6icj-P$Z-jrwuu_q5)gFA*i$G46^%cx^ zvl-}e6}{&k28D4>wl~!g4AT%bn(F_9<5;!_BD;nuaP>2^9vF z*o-nAqtnNc*p}|4daO{7&InlS6-xJotsqG$|Kzr8l^nuI1x_L|Sp|^hSQkNawwA45 zv*)4=v%o?E(<)_ff!jIEZx^>sdB`)wDfQ8xLFXzm|kpvNdJ|9CjhRc6*$nyu&i9vrKuVw88bb_ffQE7J~Nm_-5CG zv);nFp+oCm|9nBgV~Q>Ze``8q0GRZLo|q&|klH>>1Sk`Z4gOuZ(pcc>3q47Y;sAj$~Wr3W#S+ z#{~_a8qAQ7K2QMXIQ!7YOYL?~_DC9-1F~IxXZaxBk#ZJY4F+PyW9GRO=6GkS+zLcn zzlOU+Du@*;a}IVhv=iCQOyVy1E1)!}8^H^120@EH`RO&2VwMSaSATsS7qP2YY8`NLvZl2hwB52;{ zf3jxyV88CaS(R1jeaoAN>?D%&6X=gC*A-qaG?^0E)jHMld zB#Dsj8;lZ$r7oI>uGj(9&5J*Bcg$~IJQ3OG8FkV75M>gO;UsqE!$KD{0saS_=ww)yTjFj$;c&%l$? z@GI9Kf;HGtYI+vL?bBxidz)ydz!OxfgiH;7UwQVf%3003c<8;*(KjAKtC{0iU9S0C zVAVC8VVXkpq{sH@xaCb>sEg&A{^-{RBYRI4nT!)cti4|y12~kN34lAs`W66R?7d&PeY`cKNvp`stdp*~XnP&-+Kx>@U;Pg-m>={W z0#Zw7K<_`@J$>*(fwfyW=_`Ace1iAq*gjK<;NH# z=(N-O@6%3~q3b*}oTDPEm6m!Fm`hHYBDu4CCRiQFyvp48rW%9f6>@)$R5Yly;lUoQ z-R7b4lSL}{=%GTtX?_wkX}G=KME+nSg%gRU{R+XGHS8c6Sy3Jq^EM%{0Nxioh+kih zuV0g=d`KS7=qR3zk_Rn9S+*{Yi$laiDncpfT>YV3NpGoY_&z%(OQvo3C@ zU%nAEVrne*j_e0fyegp;lo-YFMLEQx;6UG z=NQ{&Ik&nk3;I8a>lkiM^E_X6ZJ8HDEfZp~=T#?eP%<|h9QW*{O}{IXRmU!`^-yjN z)<=|21$XA*P8KMQKLC_eusQG31_>X`zOHh(<3MT3>*k+nvvyo?wRDhvc7ZOM@M`&a z4GsAl>lXk3l@;~mVhi4!S-P+x>IVoDi~a=rY)>~eA-q$TJt14N!s1e8s7Hr{R7Fct zS%Au&qM0uX)Tylp(Qwiiy+f0tp;HsVO_kl%ey+9K=IzJKI9f&eczV~ip#2&xA}}|f zs%+^8oscIEhU0w>1I2m8C;lm^l2BJGV6)VQTrn!{?%M*D#i zu2tNRn+$5XHK6OwKb7X`R!QnctS`DC|B{4yi9#z`E&cNndd=IwnB@+sERlHayI%`O zscG6vQ~g?`KWoZ8HNUl(e*rgEiIDb;$-Avmp_@lrjgsKC20b*;&`r-1pIrGs)=3*G zQ$;fSS{d@;fpfKg`JKyp2gVRJ9U-IMp1lUiFvGH+oo~K!-ZuBM#6r9y!fKy{ReD1v z$Qv6E!v=nAFamh)fRH^`kW_r7cj1y0DHH40FdWRnWUvYJ%MN?StKTZ zx?=D6qn7(#87k!cbvBw>n*gP zY7JSe+6?w$k`HuYn-j_{eOZu=f^)aR7m}Q6QMv4a*vnxJKI)sP5KfRiOnFaMNur0q z=IKI7J#jnrYXx~I!$Mksnd-S_0~RHMumcmu`1Ck0BQG{FGd6?9~*w0w21B*}Bz#rTrGFQW$A-G{&2WB~(x zk1=2`K~v99|1`kvP8UEnCvxvZ4wC)izF2h2bI{_{W@k^rpr#s(4*tt6j5Tfc&u#vO z8RvxL-$*qbs>v~Bs?V9V=Vx)tLZu%T{o5FaAC7>cT&&~HL@6-2T+Sf9|HWiD2CY|)2XyYpU#_Sk>u3shiSWDb3S+!z29 zl?_Gw3pT-zqG>{JOUMQMbAO7-&{e&hz^jN>jedL9x4Pab6c>z2VD*HcbziB9np)SM zTso=A62WjS=ax?c|g(`G{}lHH8pwQIFTvMJ3qMTeFLdDd>tQkxTWC1$&~-`Rn&K-p3q#7Og||LuF=DRA4uxs_i2%tC14oEGIuzj8O(qGnnowi1EWH9~hNGs_%-! zkI2spj(|W1v}nL@K#nsN&}y<>wiH#7r@TYh{|@l>7@d>=pEn+XK5wVf>6GyrB=XnS zxTjXoF2tM$+XcQ7Pl?C#Kk@Vk{E^SDHv0Qt`2P_6A$W9m|6-LnL{%+-AJT9T6ffok zWAN~9cn{gtqF=rd@AN4a-e0d=C3xdZ6FJ#)r{{YKFR?hIQ9DcWIlJPU}KeDWM zoOk7^1W*e-b65Me2GMQL55KMfYVdIS&uMm%6xRj+LhxQ!Ov3)hOe!3V0$1q8OMYg& zqN2YHu`i&jw3=Fhd(eV^?6q93JqjJlId$~ZHcr5A<(dL7hr(=REEd`NzZ>9YLTjmE z^pQU?o-NjjgJcDqLZ7l-jAxD(oiWEgRq4`2JpU&qqe-M_G{G}xeSH#)dnXo?xPCKS zJ3~DKE-HpgkOXq2cxTw@YfJu%&L^hi4{Q={znkWe6?PTJtsgIMUwikpmYS(k;jVSG ze4icB`8%4-4zVmKKAp+66&V$|`LTUkDkuJEu}0))c{6+nqaM%9=|7eidJq^=Ca8^< zCxv)4&1EEtq8;TYPlTfwG=10c#wX=Rz@f`yQOY}>{FBTy0P~sur{A1p z*KvIUMudUIL*LNvEb31&a{OGT$g*38N0>FgnniyIx6j!KpR@lrPqdl4G`#wH9cS(T zqry=#?U6IjpIpNX=#JF;0^5Z+{>!Ck^Ndi+zkqPU^x#tIk@Nsm4k`Vf;_`KOKv}OX zW)c}uP*BhU_86~7KZmI<z3AeM=(cYeLiL-m+McQ`UfRyT`&Q4Yl0BMElIk{lKr!WXniu8B){9zPJm>&6i~7n zlXs$nTZp>tg}mKrlND1~FWvuu`@(W9Jjb=^sjGyW?DGpF7Plm7!F=#nWLCrUIh;!M zNK51Z>Ud!+M*f}3*)K|EXAk5+eiYGutK5Oaovt$F*|}50&Opup8YKGmOa1-zfJ|hD ziukgmJX^Yt^<*qw}4lfjdlyQr!{ zl`!;rdbvXBn+gq_2Rx@(n+5PY@3}H<;~Xtl+YQ+3%(SFO7UV+wTGX5Pu-a^?gWh8# z(+A#?s1SPTs}{4dFyA^c;JJg{z@RKL-F}jl&CH11v=F9|&*6-QP_~f?*}_<)*yKWz z5@lHK7L)R$S9$tSj*V2l&#VwFYojmcU4{*RKZJ}UC$!m&?fZQzX#X;cL#X z=$;nfnA04TxeY}U*vc=NU3guZ=osh@MZHN^;s`vf_9vJhLD%6mq9ZRBU{9^9;wqHA z(f|Yo++OU*_U$xUC8hi~-S2)Qz{LtAT;CtZQF9kLJyVp|SHm;471b-c)pVp)o|K~A z_xjS`foV*a1FbNMx;pyB)J^w-Vu!-=w0K> z4Sx~i8WXC}rPc3i`LzKh&%1yD%whlXBbU!)TdkZNebaL{ez+6(p+W9J3KRZ<(RG3! zu=iQc5MXh*UFw6U3y#?(db*Yj8>;6en+ZNRCT6za7%>`x~5opJETMkMMoXB%^psBnKMBXaIrJRiNTz@;G>r4K`eIyk*PU zrOQ5r9ns!q{m7Y{TemOm@J;Z+?C3WFI@ljdEs6P+hWrq#O-ZJta~D*VHK3x4O@$7C zM%mCW+hgU%3>Y<`_vWf~MBCRt`@+U#G85B-dz5sYs7kX2oAuEu8|LpxPktM7Q4)Nx zREc!|-PGHuZ&8tDT7T0nMR_XcL^H|x@O2PsqmiqC5eG14Y#(rM>%@Wf6ZL9}Qjp{s z)w?i+-5U*+)yJ9rCr)LJ-G__&L^NG%vud>{Lk9nx2vS;04y^V6-5(VIsJl6mV$Np_ z?GKJb!HOQYxanP3=HJE^c-rfC=ln7#CBP&IIR3_Y%jCU}-R#h5mr8qY~rtdXRozd6Tz~du-6De5qBaR=Ehiw&ici4I?!%80n z5%dKm^tig`_J4QO3pF~KPD-RB*!J}FUzPUI2)QuhCz~Q5aBIyf`2E-N<r2T_;_ShdY~P$tpK;Fo)W{u$Kk!g94D|yIUJHy)1zMcXlbLy7*~2UGoR|)V%)Zl=hZGgIoUgWuz45w_cr7kcHtfC-|h{r**%tp`;nBc(;Up^Ds~+&tGs*H zyq+Is(6FMuYy2JpUEP1}MLns+=fG5?-}N{F>>^5mQ?u_Y5T?Y2R(iyzp13>sP@ary zinGv0(z5w;jKQtNhWc4{oWZK&vzc6Lm0L!iN&K|ESEvsBVa_uyTbLkAckUAdK+ z2%L|idPc$Nkvy({70q1pT`H|9vR`&|`9i-uzrr%zmiEW5%-KWlHCV*Ij3fG827!~B zv~?p`_9Kw)0W~&z0#BauB3?a#uxd<$TQ_FAgU?5Y(wZ`^V!P)mdi|Svhvj}Lfy4)X zQMAZ7T2W#p_T24E``Xe%$(w*zTF0t%uikhSw|GiswjE%6L-^}Bco_mn^yOftU=qa? zM9EcnIReP=@ZTuq%MNu>!jl0#-LceDGL+%WOkhA|owF?Tk>>v-stg_3@X>E`gC&45 zkr1xrJm*fcDIwS@p{_q!=F8>XLr*FVcf|`NUzTcUoY!9A^AYHQ5*U%O$DQ)+7ibPG zLfN~EVi$VA2Niplw^<*3;fyDyZ`0#!W)dnMXF*rsGp}Pl5Ft;=$B@)99g*{n<{#f4 zifHXfaoj#R&OU4gP#r=y4p{41pe)^|*wa<$Tae38FVF^=P=T4Och5yoRw6OmZ z_wo>PpbPah#Q{eR;oiVu}pR>Zta-i%xd%GvzlwUFOMp2^Wd|ZttJMu zx&Z?2iJ+9s4N5U+!I@=xLZAHLN-Qn-Z};-0XNE@U^XQ=Lh#3XM(sS2!r}&;^pRGzf z0*_BI_MBRJE-gP%9{ZPPHzpITD({yd+ zJEEvMRXS8T#s$~Ydl%z%X^+*0wS=z#*SmMmsDZVxBHoC=kAF1c2-gyif??rc|DCr4 z%VEb`IJaw5vL4SL*+;arh;YT*Yh_!I#d=;q^Vcuf9`8n}vW*UOnFGuSCLTs>CeQo< z`5uNLB#5Vm8{AqPQeGZy;Rx%_8N))LSZO7h*1Xlg)%m*gyeoK&&mb!fGysbgiuzB> zqB&aQ_kH$ENN{!>F24UPa`XY^H2VPajSWB8kBiX1>|h~ocx)LDlxxhCWrO~P0Res2 zkuZ@4+@+TexTc;2@_Z@fA;65m7@pB{-s+}2<~2$MY$xWBjUz#oEIV9tNb!>nZqC1H zbj*0)n4$Rb#^7_=k}R(s%=K~15WD=r+{m!lleTSBqO6(M{4R3ZFF?po7K9r)qO)Gb zr4WMBb!$f5N9xRg&sSdWUT^YJRd7>&4B;l~NH!pg@&d9bFVa3@Pv0)*)v)FYO&KA9 z_L0MGqLy1;lntY!KW>bnBp;hq$nMJt4~cS>bgK+tdYXU;*mPIAZt;&(d$de@Anaw| zL9u*(L~kaRC?=uTFBHg>1v4*08*1U?r;h)?OzimY5f?bOeLRmS6GkS zHnq#g+if{YA1VM+nS=*?WPFr8HXfk9#F*C1$p3 zgzBQavW4}=P{8o^MZcDsm5=6$eTDpPY7QEdbJjm&!_i7 zk7>|`H#)j&@C;Tfz)RS3-nqMG`d3`G`Ks1K%InMb)@M4LXXErj4;X?XjYYl{RD{U46`_3pa7^^v#XoL!iEa{ucydAAtB28}0?T&KH`4-PT?{|M+gBS14CzYjRoMz@HbsP!pU z{BslO$a0n0aXx21=Os*8K9G8EI6tmcMR~DJCbYTD%xA=TI6Y|g&~KFgFZ=0Y7rZA6 z;dopR!1e(zG zD(XE~7vgYgzP-~rJBWm>u-*HgQHq7j@pI-OLMY*eOF_VxQ5LwmUVX@y4BvJqgEltIQ!u`cXsArr5LcaSOayQGIuJxn-+2U zng2xET;rA(P6>kyu2Q;WK04g>=Kg4r#~j@;%deYH@cRW{EIjh}N%To<>a>|`%+PBg zY5GVC&+D}XIJkSki&5yt@nZBpK^MGb5kK{h4r;tW32dP-t z%&+Y6PmfcwLI$Beo5z4(}w&RQM$ zdI)YYT}_GY3E_@SuWJg8cKV%kZP{yL6zKI=!ZnL`hsHeibguMqwbCkakMxXhWmoym_m21M5;uaf!3_iE=o^4VAN4+<%}bbTwxwtWu(`=wi` zXVjHwbGDXa7O=qherTB3 z`$2H}^z(rwHO@5tV7pU1^Md}N|Kw(PR8nZ$_T`*EnM_d9+5-f23`l7qj62_;tFU$u zSOR2CzpcDK7KP74wP zJ>dJ|GwuU8h`_yr)N2bxfaRx;HVaniXEs_8&&bg>vW%{(^93%~8RSYrY%%`;0u3&+ zKx2@Tl^8OR>YGRdIp8Uv2&>l^Y#zPPH zA3V?zdRT$_?0LO?H&D%h=QZsHGZgzskrL+O|&*~ynJeJ-dpc$Vln#m+HRepy>Cb6NKyWfbgA>*(7L z{4z%&H}}*Sa22b)+zS}fdvO-&-^E~#q32#s1VlT{mP8eac)4XU?P}b)+2>d?B!=U7> zoXHdFf^dGzBldfJBW)*lTTz9aLUsb1NnOA#+D%Xv)2gQ4b)_c)GXk%56({o4^q6Z0v$cG@JUd9 zYbQCd_W{bw0HpXLu#_JVXZ9M^AyYl_jcvy_*u@%S+dpJ#Oz1axNPN6oXp%PDD0M~l z!5$=FMxJf>mQ-NJcL8$hshQ{*vzc%{3W$B(W80lPmF4!P_m()17aTICH?zu&Y}g`i-J2ae z&8k=O_+H*Z5^tXni0#(LBo62Ie}ynz;CZ#17czbLYL@bYqr1<~(N;bkdsPOJRQ5+t z3ir@6e04gq0;%ixAJ-D%ISX4M{JeW7n)!|(KWhE(ZrIRJ^= zoz@y&cJ5d+r!Re*_tojUC8io+3J6(v{Nr&5=oFk;8hHIIGMfI{CKfnBR{-HY=Jpkg zl3fUD!^_DM@#IVfZ{F!+q!=+Ud=kTPt z)_4TedPUQvvr689ohu{%r~HEZuQguMXulEdTUR~gqpu5QK_5!leZ(RH-FlMrHUPTD zUHm&3_uP`W^Y##)g>#Wyb4xT&oNdwBu`v1`zFYsQ- zX&R}B<kqoVVl=V318%+h@6<5okLWs>GuL4=H^L0K@~MKC73etDngI%1Dc%hq;t)H?z4UXQfL}OY7P#uTq3b z&s^XYBR7Y~vqOD?VcIA7R*HgIJ*4l!f=VVybJ;uy^;Di|&Q{K$4u;qt($5(tp?v*l)^flg<&TycWG*8(-p3X?yVG6-16Hz z#VfzhXWJr?(d#p<{UGR}Qdt@MK}S==+r~Haw(iT_FMbuX-A*o7kEI}&$-h;H>jR}k z`+E1g;Wtj*$F$`h>?XYoEt)dNc1fC8af|M~kaHhcl+tghRv*-|(#7V^fMZixr##RQ zPlOZ0zxncN7YjCyjFr&tXAQ_EuF)-alCHB6m&x zt%)Lbt!TTjM%1Y%oRQ;w%JZVkxWY@_73aC{VU>7v>@oR;4P!kMxav#+a2TI-=s2x8 zYH(HM-rKYrxp?yHC&1z!wk9aR$w)q-D z^&fOwEJv_3`@TRl5b%OK_G{gN-Nanl#!P&S-5Sh5x%@(ej^c*DVR3Pt38Vv=N_7JN zh2TD9;XaxClgAO336#BcD)^~5BONzVFY0R zZ^>gFlrWzEP_-9wtBvAXFb7R}E$8S%u((FPYBNsxW#t$+lgFk9xhY>aGX{RQ*r>0u^#veKX%y6^d9?*mbL z`C(4m1y0lnmIz>;txo73nDr~B=KFj(RM(NUa@WyIMY848rTA^!mqAvQpAgjK%bA7$ zz70J>)-f#ifidVUM?{XO&Uol@Re18sp-@%9dA5;4SW%j399PCvDY_Xp`r!ocA6dBY zhNJa7(EmNI06!;Jp37G(b^{hG{ZQ7HAGcrq$R%}rA<;G4wHX%4vbi|w_JH$_san9E z0(9{E!w<(MSSGm1<4c4o&h_s(=p2)kNVX3sxoYh(wZZEn{;1ZvcJx!F~sdV|9o`_G#QO} z^2E^r;N-m^vo^u)4ZdC*YD|R zyGp*_@@5AxlSCVwN$jH?*bBhI`QkS_tnXZ5|J3|u$8epqf>oOk_OpMa%R*IB4#WAt z-scx2`NA|9;J1~)!N5*kcfVv^F{5Ri%!|6`+z}dDZ6o$O!h7M}YSun4_c)`XIn$^t z&R4*J^Yq9|1Z1G<4(yq`-xWbFrJqBmZRqp&zgXB+V9rq8E~^E)T7ewx@oHFQ4f5dZ zx`_2m*suyR;x$cee>3T)?W0O8Q<6oRFyh%Q%7M-*H(G+%5F!!hmDy|i>>Kdcm5%n5 zu}=8g1o;3PPCd0R0K9T=>;XRhwrPLUH~0+BJl@n4nfb8?lJ+R?07?US%y|waW;rl@ zzY@pbo%cUp=R6sf&y!*|=jlyhd?>{cDtNOJ?+GcQ5@67D5dTr*trKC43K>s-J1&HJ z8m$M+1YHrQ#CqTM>Xy1VJ_aX+QrZHpLHhf~I}}h>fuSX;=m(atX<+VI170W(tbJA0{SlC#M# zsQTW4T6POE>V@L>PUb(-BKfB7uR6C^K zw!e*=*?lGBR!NGfL1i#1T%LI>QXH>lmL*st!~@1Zv%^0B$iy|7hX2g=KLKpqOh${a z0tXzp0KZ?Fd>8~%RywhAEGc{W0{ACZ+O5W4Q~gEnEq__Vc%$;rDB}(o3>At;_75Se z#iJWM)uwrXr$ClU+FgH?X|a#MSt&C0`p=7tLs&~{(#slPgr1w}jKyF7IapQirHAq5 z1rZTMEzq}2ADI8qP-X5ia>d?a&#+m0^4%4@CL;hff?Cwb@&_WIbL7j%NVLlkb_EW3 z0&$+{0Yw6M_@mE+J2EfvR>Cvk;x-@9CDuo8&Z8D#MSpk#&w*K<%m;OFl3270E?1AG zQcB3+cu6c51+;u~pAVrvDTehPV+--~D`za$Tw54i8AUwZ`w~$~Tt@wp2QVIEk3pa6 zYFS*7-M!rgoWexpFQ=Y?)3hJR&wMmT+%}BwOA0h9K#tv-DDURYam|i&MUI`JZj6Qk z`yTJlR=HqxT$3x5{cy+4t9ux8oKCx53;jEo^ zZT<8Gyz`wC2UaQ^-%g@k$8?W+%8okd3@ebq&VkzV*6Zg4V>MTr7HjJDjQ&zryE{b6M@*a7kFCJ0 z(I87VSp3EA9h9-4B98WOL#7jZexi&Ya$80+CAqKSm?x;#m_t!_V$Knlm%s=BHdGYY zTYpxeke{BEM0#2u+&^v&|_q zynV4;h4^(HiiUu*h7Ms19NUALWdFq6QRsE)H4Bs~r!O$vT@#>j?@KsM`ocABEih6D zh}l$BJ6%CtszT&<3Rg8^neY{IpB!K}ob{jb4UyZX0S}vU8f8yOKc7h)5SP{mHL+k8amuS~Gxz7QA-{;x(Foan045k$rW zd)ABw;?zwS`1`cALH3)wFI=+I58yh+gsQ%AHAbT6{A0i&Ny@%YlqMrN;rf_tRMoV> z3NQTqjVhDHbS}7lyl85mQ4s-VTVJ_U#pu50I{y=@!6*alpei?<*-GD$2a`_SvIVTaJ1Am3G^`$LVl|rY{XA;%_#H?y~+uXp9I~ z%6O)fmi=EYc&mwD8A~DtA#9yazs6r8>NXCeh@KATw|JFy12^>DoBHw7Ni9l{uZoWT zjSjq9@Cg;`I$1wrmIVMdCM`4?A$*n>h{uJvy7I^Nlta?W>hQ&BjQT&c z1stP`$JW`9lAueRAu7D@-yh$vYA~GJKaDToYBi3XJ?Z&Za%kF+SbP32v#ej18rL5$ zg=?su00+B`-wX*z?WlM^yi%cb+IU>hLsIa~wHDO2=Qlc9xfGV2yn(?PXu+V|BDmWO zko@mnlAgH@f-&pP2G|8@J>%?&f$%<(WHRmQ)p(8D+P@SkcYI^^?2-xFoX`j=IQi>N;~P?M(4r_{fgr*O zKKnkt|II{z=@|&p8Xj@6>TO<8#5`z`aI@5r!~DMDwy>e?4KzMCL|!y6|MZ1pyke@& zi;p61xb4y5{r^48UUCx!dfPiAd20>2(Pp9ImiY}$&|Ntz=0iLcw2; zR03J5h22ShJ+UmMIz>TdXL+_O$;F{bJbDe3RIH|4D_AFUlHPK^MOjGumR9HOcelPZ znh4cjJ?{L`sA#!gn1rc?PW=*4u};`HHDr-3{qAbZg9QA{{@b@*Bht}vh05iPkraI& zPwPSmG$PNjo4l*LaxFB8S)Q0-M=3<2?1P?i^AdYD98W-tarv31R2rq=V9M~d%M^;- zuo>5M3WVb*-$7Q_A3)U~*iE}$rniC8DvIx4vH}y4>VaZ}`3LoO!Bj%yf$RCv(MMz~ zSjggbZXu)NKUFcjGg;3!dlv3Xa&O||j*-R?Zc8OWZbz!0j>ohyIV`=Q@1^#xBDxYi_GhgPFm)tk6rT1~G8A&vf}UCC@{b6 zbW)O@d7iOE5d&?z21A5NV-{Y1`?Fp)a93c0FEI4^_6ua{d(m~Q%E{&1BW>sNmD_Y} zhC1$hE&XO|G4U|y#af?AyQ7NjpZPdIevrl3o-;5fK!G9n4Qyq_t{ZCd`KC5c5BdLs zPjguQ>L z`!|SuW-`G*NrO;85RgtqKw4=e1rezs9fJV|1`?9eh=hcs#AuanLAoSHv(YsM&wV!V z`+NTK_1ZX_=j@#OzOL(CeaEUc$lCRb0?&Uoqhjf$0HJ2)v5? z&YJncWF{MVN)Sfp4ZTA!pkVk#Za%L|Eqa*qC0F($!=~@?!vWVf*v0^zbmdL)jrbNS z^?d^TtYMkuF`}3k^bH%spP^>?>Gr?jm-%;2FUaZ(d**_yhd!6Wl=fVGR`%wr5k%BS z9nvbXSSAA#)Cz50a0OKqkyx0pO{Qva-$Lr<`}S)}J(e@E8ptg&qE&0JSGAfySlHQg z_@Bu$lM&|_d%hsyH5tz%dAV4w8xecEcKSY3ykm8~mo4*BSJE$79bcJ!r_&ahn^!!* zQ%*8hlMQ{ugsu%W?>xQq`nkv%K`;UepoLjC65V+8I&AG&kCNQ{z~%x)JGn}B)B(9q z-@yS7*1IgUxXylDQ51uwGRYI#FU)`tSNMn2;f&W8K14M|+>J8Xv!@)h<=(Z$dp`0q ziPtS!FH7vki$HFHYrbFsCT4_tB`Tf}1oKE#VE0*_RS^xT9qIMSuBNi=vq(ckF(@*w zX~*-EHan6&xWNU{`*i;jqi1z!Xgd4@M)HBgk5AA8IJd_w{bu?iAV_4uUmao#^As*B zI|;wXL_8e$yxKa{ysFQBxB`a+ZuV_4IFMXXkcJ?nXPZbiK1Z^C@R=p-RW z*)BCz+lqTBDrmN2M~)4%HpYGVa+zake7 z+zKpk`xpU2&2zI=Iu6=%9BFz9O(ecu#^+&2rQ(?H2^Xo1v7>-YC6@OnhNnN=m1hbL z7B?{kzi14*AAJmKOw~Yu^8RNvOgIXV?1NOJ2WmI3&Mv8rQj^h+Y&$5TW<5>hse-{O zn&q&boNoA7@^4>hL=&ZR?Cl$*ZAjA60nE-^cLK>umfGG7i!%3pq?lBA0vObW3&{#A z8~fsxRt|cG7zfEShuhGPvdc>08HF5tq6%T5n))5f;<$U~BA?hby9bA~D2YWKg&;#< zpTRX_*{eZO1cmfAT2!b(GeTen5|!07QkUpt@y(D*~O+ z!1^Dbiv33|h^H%qUL5cpV(#$kA(n|Dt%o-*IIa8%87?MZmr;b#Fq_pxM3rr&a~c?J z^~*)Db?DtyUN0`s+jPqTUn|~(Ckoxcl<@TsC+LIO)o%8C4&wH#`OEU8L}ToRZ{W07 zszDF`^LC)aM;V38|sba$?p~Ok~j0b^#xmb6sYinEy>Sg>Qd*R zUrPgBj=6b$Py7#ru|eBAP>Y^;d;-kwT*WNUgG4yV4ZE(??M*;`dZYZ^!Ewmbr~IQT zH2t)M3%u>)Q|2zXS9iD(ejNU8Y)Vn!`GQHTES)`-<9L*u(lyq8VF`2?k($EI7jeQ+ z#;-E~sA%*wz}yM+q99nWU&VS9_-PQ2Dcvtsma5FmV2@Nc$|i2PYi$qca-Ba7H7%rm zKIl`8pYWF@sFi7()=ev6qqd$d>Y^Givo>b1X?dxe{#P^>d8%O^ZliCW!)55R4w+647k@*gY8_5A$$23_z6Dxq!rqdoY3ePce?`f1E1!{EV6 zfAE;LTg$UDuqY`($389Yj1RD~h`CAA3Fblh&n?omMy|8F0QCHs)OFF^O+{mjX{$Gw z)LOB-6dEt?`HPH|_dbeV)UouwGAb?A9I0G4A^0<&A!v493qCRLzJt-=;3?jeGvrZZYv6I6#;yJz=JShTGLsA`B zD}1^4YOmErnCQx4zhi8zoqqu5Xr*2TG+e7PPd%)pB|`Tj82#Uy24{BH-A!l$zo;uZ zr;c2qe%3|k3GMf3xA}?RV-2_NmqmHyZr$X^gwNMRSVK>r<0)OuV2!eehTLoEBxWeA zUnS=edJx|}lh1CBYD{cU6%c%RVl&J9Wz8rhjS|}+EqSJGo#sbXXGo>KlHa={dm7;uFQ>vW8{A@dja?W-)&9U6M5w~&gmPyK6a?I6;g@22u-f0}O zeMIe`)R&3{X}i6Gw)_vXArk+EX%?>lFBLF6q@NI*9Z#XUTBIDx8TMpz5E^en<`gmA zOMBF1Kt0%AwcWl0*{U_%0^&R5-ejWzn+dVxO@I0JrghY?|M_Z{1X8$>)RM2BZ2N}{ zlVn$s{Mo8TE?JwMa>9kMp~{s}KKISJzt#Bxu5q1ZM;}%HG-~L^U0qWkNoz0^o0AUU zibY4X{vhO*nd+ z2Nl06EtsNkA}Z2mP!7ru8vc}W+-dpvJz&=9pK(O~u#9hg^16uyz+DMPHF;2|%wUzO zwgpY2Y6EvOQ1}aog?DtbpP|<03H7%*ec1j0FXe_xryrtvmc|o7V_F>isj_BH>LIob znfm`4NIadCDU`zX5Q{w!g$;7aIRb}SuK@4jf}m#LI~k=HQC^y|GRegPu@LUy>GrFy zicCB>;R1kBQb(7|{Y?E`+U#A6jDZJjNZ;?t9uzRG)gWY-aBsC@r5YbyrWdFAvC%$rfe*eF5p>QmPL|K# zC=N-79P|Uq&wjbA*v@`_IFvK`PVeO1!+XJt*1&5JM2EFdAe-ekBsHb9*{_2m#QQsr z>lT5q#(4M3rWjFfOn#Ca71RTT#9G;oPrW&kFsWGU{zE9C`a5V_ixGrkbt&39L(NH1QAV2%eIf- zPISidYsx!2T>XA2k~uY=WmeG}ZTa)1ujjtf>l-4v=f0MyEj9TLgEKnM zQXJ#-HE?cH0{aQ2k@>}=LCRgd={6`bW!XCDCwbgyz`?#8?CC^ZRCng_vCOLv+noL37setP@eIkKeYOj)-RxBK=~eB zRuUQYPJY8+(}&72zVu^-DvywO29E3IY-m2{QM&3@eHK@ke23axm1i^#tcD0L;){lL zhl~W{M|a%LpSbZP%VqVH$yOm8qu`wIR+fVguy6vV$vN3yJl(AEFnjgHO2gla5#pGw z9#K$AAEo3F@S7Ia7xN@L&)p4s8vh1v(ngbKx#fBXv!0O!S_^iTUwm8y zOR-DmNfyb)f8z=BDM_C!Bs5U?m*+|5ev4( zx+N`Gc9#Fa)L)99B+3iFrwQ!z6UP6|Thk%Qsnjxgl&eiy^B9Ht3Lh|yJI!3O@48i8qAr@(=tbt_ z2F-r6Tz%HoUvNWHo|4!brNIBLya03>X3rDhiv4ZL_o^O@-L}+wMbYdsWg#LWSY0>b z4OB1fO)d#d%@_b$FlU`kc~##+8E&c|loQOE9$^zW!v8)vxU`p#Mh@YK98PYi)i!`y%$@z{%FkZC ze7(%!*Y2$4ZiOo>Qg?}91(m{E!HsA(+NkUxNuE{;4-h!UgJoqJ9=I{K3_1aWewfnrAe*#=Bzt!t} zhb7N33PF`n&T|{g6>2L)IyUxfY9Sb{`xivHcv=+cj>TTuM=q8;7z+@f%7Vu06_-Eg z>ItfIZ$1G3IZ`mslCR_@agC9z8>6Vu+4LRB{sr>@{&4fkqT>IXPe6j4DsS5T9LWy( zL5TU#R}ZH50DD;zq+$-9d;%-79c3q?2#u3OWPtSPB^H{3x@_n+y$6n08iWO~TmnTazvCHqlel#TZxCic}rBBABZ{t@JJ=P5coWHM5&pUH5 zep;THxL4Orc_NX-sWVB|sbdS;w~^z%Eb@LM_`7tM=X7rGXH9>+jLQJO51`4jUjg}s zTKCXzY5&cbY8lTCSumEH9r3`5vGu2JyWcFsT{(xn*QXgLJ`{OOw+M!NgQYT**@H>QW>NApwb=wMy#8>SuoT1CAM;!InO~S#=$*E z3_y)eC%}SA!F*kT`}UI>-B50(4jpl+bJ_1caGmt|A$GfeRq+N$iY#)kyeH~@<}oDy znC3csZjE=OsmFlKeVp8@e^usma(g1Gg+5FoCv2sT#AgbHQ3GMZ9kd&a>98n#2j|M6 zQ}tlJNUJ7L|9++~t=nq0zpIw`C3*s}YmzpB;3>*~i48WyB>r$+h5)|NQSA90@d9}6{bSlj;8YKjy*%4wI^omhzB${ zn6F(2*)u9D1L+2VV9i<@5GO*4x0I*7<#5x&;)SOY3N(@mfD<1xV8`1MxSvWTm;nZ4 zBp9enp7AoR-wPZa24*#z3I~79U-%h*N)7%+oJHXnSgbcYCQs}EnMmb$2n7A*lzE!2 z;wtw+pvNqeJ}0Lf=b}4{_KH1PQDDJ&*i0ubI;bCDb?axFi>5yR2i}8V?T;_VXAZ!x zNaAtBfKntsjuRb#+3k3{N2?@PbooeM^Up#eq6x`EfXf*<9!`<-v zKXCW-E>+--q9?RUdHJZ&^v8xE#-~2>itXsV7gx* zL6tpcjo41_tZXg4t$5#9ajTa|iGc(()i9QKZ!2h_DVQqfPuI%iAGu&VIDI#s<@7s% zs~E9VjLt#`VjF}vf_*SsDhhs|h8p;eq0(^QuX;{vNVUOStj=JTHRu5^fDQU{PMl{G z#uMB?q({gfDHr+2uAZQuLclKRHcwl~Y{>LzDq^Xe6M8>_K>(2#4&DMm8IkkpeHI@t z7S4l21LGYZO*<{%?^*T>P!rkijQJ)h2AgvlhG`a&Ojw{~c*S z>_&ULFOBy=BvbNOrBSB=K=J`6)ml#t*qag%=@&`c z5MR)*B5HHWJuvqb180ZYYR(fVkT4qDw*zsX!o^w^8DksKJcKJqcoW6a6;a;F<(}+ z2f$u|ugE|3dzv@f#)V&7cjd;s<59I0TUltgc-Ah$kmqFt)c3IoBkb-SP&_h^r%Bj; zb4zU^h|5e%OKK0X7{72^;YvvmUPu=-7O4j@!L& z_4+Ya-0O2#ifuHUIIW6weTMMx;4Sb8$A^bCmqh~REz!WV3@I1F*{~otlGuFG{~LB% zs9bF@oQ4pcfDdLzI|6@9K>p!*dLj3c4!4QW1l!#NlJBP!+PcT>2o-BLw{+?lG*+W1_ zAX35!K^AYGm zsUy5UtgE;BfV)@b5Hd1epjO!XF3AQgw~dTKzj}z*OY|2If_`{?8@Ina84gf{x{6aN zWxXsXbdRII81zsB(h?D%cL}<`VF7C8E^8XCpy_AC)t$M(gW>H;a=d;`k_veCqVG`; z+IqipHVcw0TU3&TWF-}5>BoQT4Zd?4T`e{Il>}GGpgQ3wl=JuwWD?y}J9K|0f7+Qm z#xT20Kqf(N)#E5|uUPbywz}JaNEwXpUG$)-xY?CsuUazyAXuo0SR*q|Iny_1eh&wh zIfvvZ7K`;@yE1-@!VYuVxDDjr;_$Zu?a7~l!1Yu5kRGU86qV`YH1Il`0!Xds%oxFA zOl&(_^b7%y*sF?Ggl~K`5#_1fg$v?@NB_rFQM_7k7YKrURaz(6rhZZ#KEvaN9p>y$ z9F)&_7~u^&K5+5RmYh0aRz$cR9tm;wokz<*gae`VjnO^UWo@zreF+#1S}`CjvDYLWjZlX1fr)H^HV-mpKbn>Mtu#oyju;B6P%Ff5-h_CgAj~V_FUde;^CZ@; z7OYcm1fBbK7mBXOpmbx32W*Yf%H!3DNOS#v%(@Cv%IG*(>br+U?TRl_BBB+B6|lz7 zQluo`0dbRn_6$W%WJ*5Iv7J(5zK)I=8Upl|CL%2huyYpKB)lOr4BoOlrW5TC-8UTp z-_c^#H^c&b#HMj*zeE@KYtqndlH%Kxx#V-H+}$o1wq84(|103`0Nz@LLpSWv`mdh= zh8@a`2j56afCcH`5am5yK9uujM#2c=%Jf(0KMzs7!3QAx0aqFDb1)C97`*-pmF|c%K8rw{kc8LG^nJ4Q?-Su+Abb^ZAFe)_9YXtA3!XbB%=YK0w7XQf;hT4+O;e7aiKYVRqMU8o;uyiO|^&eRs z#6N^GZKuJVZYU)01laEoKby$D2P~{j)LMBj+^W|?35s)GRtUj`F%v(NS3edYX=6^l-G_Ph;584K#sW8&#P+&`FPy2Fe5yW&yU#0eC#pu`*D@p&8GdlbWm`d1OX zEF8;tWVw>i*}bYN^v=4&(SSk!n?Y8aX=wg}$KJ^iLZ(FSvjRj)XS}PZY4a_#`2(oN zBlb&Hwk3+gF0_BHt*;L^F?C%Fm+eMOW+7*}=6avU%B};%Yw5me7tk5+ISHgD&4g~ya})EX zfiNQ)vKgap_!cz%t~tN(4xvPTq+mMonwk}kG@z`~rO89b7{pTiBeqt<9;xT-ra7&< z8(K55eb~uVxj^!tai>B~7B+(;tCQo>H?>1Iy9X1pKKSXNAyo zUbdFZSqtwbC6Y|8?rq;oDU$ahz?_InH|9n-UJ(=_$YYKPW5XBByX^RaG7<k8#3uTpX2{D#Iq^7J0u7aZ+!f=U5z3G9fnWt zCRjs=z9*nu?hCJuPw%W8Zpj=BcWlF=a$CwAe1ETQ!)8>+@{=u#Qa0F`7%}g zKlp;-+;vGca37*@!yCDY(O~VV7?0WLU|OjYCXzJ(cbv%3Yx#ZVkgf51AUCJE*Uu}( zxW~`S-0+vLXpbN1+La2HFA~gc`=)Q99mT5@}F@Bnf<1*53#>XN7Y%+kK^m?fX@yOP!(9*JB9z|Z*592zd46}Fr* zlxds>c$>tif(>%umCH^AZ=cw+79!k&L)!p`)GSNrZTp6k(KVSfrX3E0;60SrzPO#A zs!x8S)`S?`6%skg?j7g6_vG$m6(+swI~Wk!OHh_#-9kB5kXlkdG4YX608+6`g<_HyZtSgC14s2nDnS*i0i)?Y=t^F*_T<$>J zp9Dgh0@Ky;BT)0QW;9`!<&^Hi&&|1ZK>h`=tw|iW!k4{E6$@|rR z3+;g@P!>On7fgvJ35(mDp!2eQ6!$D8b2={Y zfTw++2?kDCrNfHIky$=l9E$6euX4&#mQA~{`?Z9=k6<~90SD;zuY4X-{GYz?>5+&s1_>;d5ELLB;>PjnlyiANBX~)T9LpyGk z(X61WpNxzEcy)_dHFg+%CuXP6_sSd!h^tD>_^?LwRVM+l+6d*fgrZguy>(-z zcZMR;m|PQ#JPVq@EbKEhG#)1ojh9GP#$s%?fbM~tcH3V6fd_fyUw~&EZFjeK@E{7}2f(?hAAs6zajsS!AHFgktPC(Qmm(3PyK*~ITb>~LOF|7M8R{evF9 z@Cj_q+ZV>b*4EBj46%p{wvkevm%or1Lo*@hRa1Rl63L5HefCg(dLGuco!8Ob>uSCr zoW!(0X5g*1Oe4$Cmjux%3vzH*T~4ZrP7ioF*seecIQFp+cluoj1o*Aif)Hs zUntyV-q{yG{b337FE}(FblbH!8YY$_vEC-&ryxSSo0WE7#gE+sPhUM z+X9rlt2FWa-z~xdOMw5`o=)U6U9It4G)#N&)AUDGqmrwpV1)G!xd8M95J*|MPX5jk zBt?UxP^i#{qDNd-1BX`(zHBuQXHyfUNGEj|bi;~$4F1>(?>w3HX995rJf4@e6fW2D zQm<7mvCmdJjTSX&wb!yJm(X5IUv;XAEJv9XN^Dp7j?k)5K;9;F-eP5H+x~Okd9DLG z5BTwuh7p~PQu6eXcK(3&jHeW`oj|WZbUz>?!zWqR1U_+iv(t=q{99Ia6$H<`hHGkO zjT;@GVcKx_92E?ZH9nfsuGqV^Lc47%pH*b9W;jbn(_;r^Q{*t>4;s@|Ut~UbVz9`B z`qdhhKe%L6)*>1Vcj1MpU^f_PXE)rGRIBbWb>N)71ZrR+7Zhxvx^KT}dcZdPoL**T zwt4W}5|x@M zrrLVn^0R99Kt~uoeSJ-D(Um!`o0Oi|IUi|%x!r1~bqC6UgyM<~JsBFrmhaVU*f=0j zAD}pLkVPU@;#k^q6M6ZK*sS|&_qb89h(EKN_?w|2({sFJO_^|z&j^H{X6?s?K)#Zg zo3Ys1f$rFO+DT#YPC?AtF`SdsuTYP&@Ayu1Kt)n`Zx^wqjz_ANlR2>rOm5|8X6($W{5&l ztuCv8%0)I?_!#0%Nw(?EW7U!xZ;8PBZt9U7M9{;_$kjxi5B=#Z&>srzfL(2W1lFnb z@DmgLbkCCEJ|6B7V~`Q^C61$Uf3nMib?B41WXCSWh0va*xP6NFqJ`vq*ZL0O zLT#5N3YRDo#|HOTV5*ywIlsbd`h9h)MPm4KR-`8Y+b?%ao(#5&?Rhe>`*-G(^0N!S zQ#WaiekVUc)~eOe?cpo;gEJ&!UEU2B-w%1@P!8{E@y-dj@vRYh!=33=}@l6jNr-f$6}=uO8nXsTo=yzx_V=)_rc| zk_8|=pN*#cK2Lb96V{)sS^Jvjb%6Rtj^VWiY?b!1ZetFNrV4eM!ttqll7CZO+V7H5 zsovA=&E_ZHsUho|V%651`f=q+)uP}VXZ8&I{X*V7Zmjb8$*yyosU>RDYW&_RIKRY? z@1=Ulsv?@}`fCAIyn`!A1*cZ`zH%?;3D>u*vH72PxC(4#PiHKRnd?=w>FAL&@4OxnEzTfyfvhi55Ok$F*G)KI5p zezyCgl1#93uh7noiCb*m1TA*J>3*%#(D?9U)RL6l7WH2OOhTn}|Af}$8b$JTg#6vM z7BwgB{;k_|s5Q6h=7?`RV;u+XWN|tT)SY`r`Y!GnEb1zpYR;bgEB2$!FZPBk9o6mq zL}M~K)PL^PgP44J`3P_f>CDRSu2?rp_Wsnq%4dfmq@^Y1D(ivrFQ9ucN>fAj2L+Gs}k zjpXqSo*g#Wz~`BIliRF;si&>(3eV*<$E;gZ5_GZ8_QqDgCuHt2a3X$1c#{jB0e8@= zr_{C{-h6{L9)y_$q4MZbWuH<>2DIa^OQA+pKXxB7XY%E+??k(NZlp7MNboV%-$;QT zEYcM#XnpjHwz}@bYHbSKVuVX0et&kDkRnhX@pP+^D!ov_l}Uy6@1B{^)cQSRj={S3dGnS`OZn!Jo`L5f*@EN2;T1drZaa#AWa)vvjS*@LMb;37gJ6eNE zzBu=(1kSD~9W5B8dzNxQRQybGzOA_^hHfD!e7428xhP=_`>AE`o@*olWnNlEc3TdQ z=BqeeX3*#!$Ejf!0WA+3l(`?hvz6_Br8XD&x`FfPZmDltE9Uvjqbl+KmEh>=5l}}g zVt#A)|7hE6Swi&6%~jzG#7v_8sTAE6ir(6G9Sk!`6tOsubH~N_0ZdixT`bXup0;wi zRyO&q48|48{<(#yid2g3Rcfs zoFiKS_R~z@saKNqXqTg46OYjq&f}4BOh%7e*6;B|5=J;TXno}HwVc4@K__3L{O6~T zM^oVEaz@tL{^T+8ef_eL8=iBE?KWqc&h7@~ZY%vgZl~!w6>q^h$>QAGJA6pKVd>5m z%TO8Up{a}K%6qtpmIdC3gOy>!_G9<=fCeY0;x0mRkhWTGboxSsT0)nwMknfmhsmt+ z4E^tGuYq>O3qk!?*d@(IA{5RTsvtlCY!OWmdKkaI_rkBGRNU>#sGW8%7+$?DQU!Bv ze{Hq0edQl7EvLiD1MKZ4 z4V4X`gXksvBc}bO_@dx)C#xoWqvDmouDetEohrXSCQk%*1OQe-v}^?uw?+K11Cx6I z7enq=oXi(f?7)!Z!q4H2V`;3Ev|pJwm=VJgeDBNgB7iCe=IKRV&rPg_(0$1NSqaGo zacmybkumpwzvH}dW{wMWRz2dK^V5_)8On=g60rF4pVuzf`bk`uSo|TMH8hf9FtPx_ z9z+X&t+ng!gEyVf3s;7Awp8wG4kis})i}4$Ep9Nl&w^npsA<)ZOVpgd(N>#J)`NhY zw}S^vJmGvU5!~G#(OJ1+8&1b6+)RNZj6yc&B{puZBgo~+ox`D1NBoO1;I#_^=K&T3 z5|x_0!t)9m{QDQ!Y?XeM*-Fh1CRy!VIeV8U-oV%$O;lb!Q~UAUg!K+RX1s3faKH-p zysYrwXZz1|qO%LLp`q+U(K3Rap~*nRTDLx_P!Og=QUc#q@-Qt!6z6$G4@utmCk4h( zD5Tl|{=p>iNWhD4L2y}ltR(9-WzLg43&L8-IraAbAYw!6BpVNDP~mzJ=7?mcB6q`_ z-nJ2gn(0XO@nSOzyZ<1fLmol;5g+xSox9oeZS+|)QxdNiB8-348F6{3+UnhGZDChA z>S!f2;#<)YS8+)ktw%>3JpqoXMZ<`AE4Fso?)5_J{RPM^1r*Xl6pxIAM|B3SoqJ%S zHrh%%VE!@0BAg&7K}uAWJUQ^?>=8kw+wjS!b?dJr!$~8&?P`f)CqTL~xtr6?WEs)S zn8ECwQ`l$rFy019oJl@|D+=d+i88o%o8b`WF){35?R3AL_3=JZ^c5>5>ze!9;O-dp zO>u3G-!lhVMZks$RJikb8N39MQ`E%f>a5;1 zI>^Xp(Xugx*>Dcy{1E;imq+t?<&uG#%|rAW?bBQNL@AU59JsoX#NpEvEem(RV^)~P z8d>g??z&&2QuVpLiKi#Dx09$?UDWwTm9>!h5Ye@4w|Sh2|Dz2+`(DEv&ey*{ABwn233fy0R_@?HfP^CRDV>vT0}xSz_LSrAb=0sL#$i56t|)N0>v&6C=OQvcZD zAAjgK$!Ga-$tHWL_xRCbtsRb+e9kBQMCP65sZ1jRV$gzk_6G%^9&;K4B@7V`!|}N( zq0ay@nS}+NQ3=Sbh(WbP-zwjVU)cfER=~mXx(d?j(1A_0;xmVw5qZfD4f-hxiEXr+ z8aWlG;ogE0x{!kTh@3fP4*&Zfs}o{q@KigsxcIb>IsI=dDn#FY7qrHtVaxp#xmF27&p>@smkIzoAB$v`=YmyEc@zEc_?2 zC^EA6AUP!lC7gqH4w8LT69Ei^z|_qZ83@x3`J~Q0PV=u4yhYV;hxO{j-lav>lHMT& z{8Kwu{mu+E?8~+|@gRt2Jj?5rT(PkEPi;){*X(~}NW4hXQ4JI-c{0W&tPxm-r-C z37N9O3kCw*jQ~(bRLQ__FPz{!S}$VvFM%u`PIEYQ_!&Rsb|-k)B9&jZz+SmRq?7!% z8;d_`AfH*(QRC43<8j$JF1Y#Nj}qRD~Q^bYzfnjI2zV$ z#S1Z2pdmQ8nAu2QNAKEvyX>{TJFl82%6S$vlvAFleL;E(6K#W_I}=M*<8za{_yJ;O zb6v80>+<*Q?fJ^a7aaEUj$k}Fm8-gkNbnM$0zAv#>JbgfaR1fLf8g;4iq-g`sgILo4?NO3 znVbDK#94m$B}e?nI^U1@RR9T;H6ezDM;Z>^SE-CJ1~F(BQxffW_lZwOM<7uq#VT%wX=B(%=XN z70rP-dVmZD$j$!FJ%=;}c-x2MqzwXQyyE_Vaf6r6MBDt`ffdy`l=mXXNE1CE$;AWM zLjf#DyDS*KBEEl&2v2GCcA!Zk#8qb6Uo+BPm*KJ<)hZ8XZ}g?mhO7$Ij!-R>D06Q# z1RaRBAxh%&8)`M$92FX~7I0X}V))NTw!x*&ZK&iNQq)NTWkuJf{2^0?4d@FDY1CMNaitdaGuv9%iy$0Bac+1)t+ zSrS>KnPB^YP+rdQhU8QY*;;m8E8-5-zm!S0!(u0q+l;AxeN5U+_N6*zmUQn{OA#$Z90Ieu7#{)DmUrtwxrtOcH~%zr~EARc5L98%GW z`7E(Qi#11N6;Z=7zXL`MkN|W;AXPX8hzpvhummmwxW2!f?z#^``yS8LmyFM^bTDn7 z+{_lz9t2K;jPwk7G-Ar=51jvVc2)gEy_r8*cG)o7(5UfjUtx%V5bWzrU_z~CtAmnmH z=))nix)YX54eXY{8wJzXtn<6mc6jSpk&?Wa0OGc$fCpP4TH`*t-0tEbU+cFa{}Y{E z3#S#gTrXUv!Xu}l0IRG&j9C90Kty5g#w6ci>mjaNJ??c9y;BKxY$2lG>8OAss1U{b zDmPy*gjl)WXl+Jg3ob94yBRwQ`F|L6({HSNRG(D5( znqGl<{L@y^SEBzInR8WD>YJSqcBS68=Jdew^i8J^WP!)$1R4w?c~1~^IRJ9REi4vS z)@@(O!A|dX$#^x!tl&=({qH{CHmO#mmTp>%HG2cC7*KeNJ>oif=W)kto&D3!H;r2( z@p|nI(#u>Okqdu;;MV0QgPdhTuWZ&UiU)|FvA0Nsgx<|X^q5xBFzp-yFLU2|$H6!i zguXidjCi`rD&|H*#te~P0Ou*NBaq60?q(r1KZSY0oQ>eJ*Dw-MdDs$q( zo9%gFP1TJN1ktUuNNhw=r#ch8_IzHMM**Sg$}F;@Xmql>boNcf0#bM**n4G?4A?P( zatHUSmzs0zcbGqV>98y38Hb4?v{h^1K1LBbRt9Akw@Th5;j0v&Ia}Fvp~Jqll>V|Q zk{?|G)TN>2d(|$H__?8_kd!9W_fR1uV6NSD|fgWruIr8ED z`>XebL4;i#7&zi|?n z%>Y6QBP!fL@mHgBf`8a#+}ix#CQdkLDgjV~+C%;u6A?8-9P$|HWvRlL*JQQ<#&3*S zc^qK6>%V}{uQ5z#gn$=^pwwjB0AiS#u$bf_>!eor7K?}F*xt>VFwbA8^~tKnShPF2 z;%<@V{>_)f78zi|Y0NZ7xdK%#N9H{OwHOK4wom+KTil^u*;MDNO%QTdDWFG_+lg-5 zT`K2Og;u9d)crG{8$4%e1C>+6fGM4cYOcn5U%h#r37=R@mz{eXGYG8?hmv*ZVNdC* zz`hN<`YGx02XHDg)JIH2+DosPrlT67&VxU0w39IHSCyn{G4Q?wds?(?&bMg?%|60{ zPQC}Yt%c;RTTW!@UTrO!qbiVX;N%-cEs#8$mAigyHA3KU|7 zSiC*>{||e|oONN|-*Ia@-UxwzNx%#?w|I2wRmDJTZtrw#u=t#7+i~wayjmT3O|==~ zo=5xq&j|RGS6@+YgvAPGa@JkQjtzQ6Wm`a!FuxtN#k z2?MR-e~>^Oo)WKX34)7x_HWv8VV`s$MtYBV85NN`LmIR?-`6#26jiXH>9uKH8PxUk zwd2M%Sw|kp7~LT5;N116&9xlOUrJ$N-c^{-SIObJtq}J(vAz(ryqRW&;*#Yoa6eEGnZCnD}~n>QHG|p^xvnMq`)L>=yRcw%Yld* zbxxk8_B>bMvD$N}8fs^>;QJEV2l5ObNlRrN_4;JteJTK6>rGg?Bg3|yo8RXq0isFL-Rr5Hyy?V`lDzsYkA_SgGP zf;UqEIg znsluO9zO+=4M5ojKzO3aqs-C6|2gQIsgI|-F7UF1==<*Q;_fy=B%GSzqeLx4(D4tt zAMAr;3aQp1VjVG~Zo-FB4G@^H0+ngeGWT80wd7*xx9y^UGkwwH?knL4WB~I4$M8Vj z@1j})Sil|&qyviQgq)eXz(R6`Ow#10?p_tJFY4K%_uuB8958U(Y(zg70gV`V4sQ(C z`>OZyOi0fv5Q^5;0JL7l)Te|Q5PsmWXU=fF5t9nNW=%|`t0xCSpH{GZrBHR{1l9{n z$M5z)14DWzBk&@tP-t^3>py`&0EK$uaGh@_^x0A1vs(^6M*}TL)v&qd$PjG6#G%u! zcQ-*+!&DAjV(^0usFY3{gJa&z_STy*TvkKP6PKydY~woe#^EG~b(<^yCU^EG_i6T8 zoXKa#9g(~$-l1BiBmOK}Ia;H}Wq&TZ0dE2h+A7A+ITFRAefi1=z5g}HfPawi9dsho z8!7Hl`R~Q3a?55s%_He3fNLsHR&aCGOjTigRQe~`vH%Z_q?xRugITpoQvK;cU4muo zGiB^CZ4iG8!Eoyd>DJXq`$S-tUT4NYMryC1Q~9>GYtHcX+b7gF@jCLA$zo8BSun$_ zEWwrPuCL0NFrF!RW;Fy6SHk>Lg<`*OF7lFH;Ze3zw@`3M^AUCU4e=|qFjm~rBjW%4 zh4gD^6JQiTq{#Q8p8+?_U*64PL7t(7qU#f)sOiv$@w<}5kanLhlu!dA^I2!jx4PM!l1m zJDf6id*CfEP$m%;QkP@PKYDCZ+%GOy*#R%ULir?@F`o&X2*aZOvJUUxlaj8ZtTz$c z;~11pSfU~bhk}5_I962eaLe6ekxZyiKcy;G^dKf_rkLl|VC1X$(R0~SyDld;Hy0v8 z@7zv~F)y1rJMvj7`|NC+sm|~yH*MgEU=Zq(b*Tc@1$}*IvFzHg>8PluMu{k*>MbrI zS4O=rm#b=f)R~x=?yW|8S=73`Na=N^-4i`d{x;y4$W(tCgkcC`pUs|5YSns~ zKtUk8gra+CTl8MMb!1^X={yKCY8ctO@XmHsKkryCGpfw5*^V*TX5;CXQQkAyi!|BZ zp(5`R@P%7@2&vrA6n*oUL7@V;v-+Q4L=RFj|GfY6wXH{MoVuf;CKF16#c)*prT=aZ z@AUY3YX}EwEctKkeHO}TZ-`l%TEB!;iEJ57Nd2fV^32Fo>1Mh9x1kckiKz;K@HbT| zQ>gY=>c1EbM+ob7e56zK{@ZG;RNSy97&YsBGe0P8d2l5=YWC%g;?6~#+~gR{tX(K& zmD#nSE4b*_hnGd_0qmK2mE2YQFo%U$$*ScZUGjunmwJS1tXD?1fyb5#9XBCjvT`x^ z*4Evkug(|(QDclKdhk3d8eFb-|s-Y;=U)?Z_Uj!`H!u8T&5;NvSV-m3D_ zN!A~^dusGt;P^QZ-s)||^+~K>S6Y;J)Qo&|f3i{u=jXR)P_nl)C}8k`(lIbH&xGog z7>3)L!TW9K7TVY!C&hc=S*aEikJ%5!OS7umycdqi6TH?qg`rP*FlzQg{Ek&A8f!cO zcVtNWOS?>v@wn$Wc}gNl7o!i5Ki6VYipCfeuFeZahAEPIY`5 zMLjCj4UhG0+99X=REhURC1oxaF3L+?Hb8FK(q*qxh*Ydm*j_UT!J?!~2uo!*hemO* zU_^wq$1F@#LgvbBHsLq?SiSk#UA`Wtg4k&#DHFT`*D%2cs}Vj3&VYRbh_%$iDrh2* zebckrus*?U?yJiqQ~9FR&~4^NK!m(pT>c9lBhzAWRH*PfjnjCg3s_t$Z04tUXRAZ5 z1VU7z-uWuZs(8rBH%xzfqxt_a_0@4rec{7ODcE?et;X9RAS^6^8z1hoo zzxK#ucM^^N>ErVH24MuhVIf%z9DJ>d#IosPN^K9|Iwa zgu4hM*`fS=ee=c5GE2WdqB;5-&j*t8T=IvF{7F^nUpma5dCeW@{<%M|e;Rs~^5b=C zmT||K#tCuNdHvVZ8uy#_M@mg4t96fQG16D!)J)gUgdBH2+2Aub2;15Ge;SEdoFE;) zXqm~_C0hQ+#wS0+SG%+IU*8o|D%D@!Tz;Z{V#L&#bICc)`IQ{bGV7#0y*GGZFqp*ir(Vdx#hza4|kx+Ic2%8DJDf;6myz-pj~dbA=aQ zmG4otu71Z?q2*J>Rib%??1yun%S3w2R5NPPJaU!cir|Q5_ByesXPiAeTO>0Txg{3E z&WO*)%lLEM^$kL>m^xsbwvQrjsWjwYepfaQXzoqz=)KnS{orYzgv?K|sF60pZ|iXc zh|Qa&R7@RJ^rg*3_>m?nX6O_PzeVd40RO!LnkE z&>ENSHsrLsib1=u<$KSI`KX-94&RCQ+F)_-^J7i?vUrbWes+zX)l2K-kFw!HV&}#Z zzQ(H7tUp>oqXofmB~Gc0KSerq|xpr_3I6m$5(BU%aIl z1(k34#51q9p)qlXq$T_Q9M>7@hXi_+kRl05Xoq}@PVJc3tGR^wNUmvMw@#el{~CdV zqiwq#o@;V*=GFga1c}|VGa&uHU%FlGAF)-PY<+P)t1{hpw1xSvy!l~2Se5BS-{NEc zJ9hs=4(B&D%Ph{G0sDv3f`O6Ion3#CktO9@txepHx>pX0qyV|38G%>Sdd zv4fvnxoo(8_A=ceW`5#Z6KoLT9Fc(w+`IN({$=#VxHHxvF?^PV+W$zQR(CI+zjbmao2c4kpFMXO6edF?KJB`uQdatf; zPmxM*y76Aj|M~uQjo_fo^~Xyg;$=o=rgn+o**h(>Kdzf31g(q$+$tK2sw{kxH)$gI9>XTGE(vgDTSE(-8{) zF=He9>`sgS8F3(X-?s3KMvKE zPG}6o3fj~j5n9>0t&I>0j@y5Dk3&5U%uIKQz20+8{WO9!t$HvPa1-hd@fQMwGDj;! zNI0R0$@ize;2ifdLCF7QNuLAun!RntY05U_SzOkq-_%|QQ%I}zj^IA#Qr`H|oQ6 zN*06+3UM*Hc+_<~3L{5mAgvPUefU3$JPYAk7eP?@iHb8ObHNopV}A~L?{ZZ>?&3X9 z=>l8?F}$}@gR7}FjJSgFX36=L3GB_I9Skl4d>~|K0VK$>2N2Pw4{hEF{slc@_WjnD zCivUMz1nCjJUen)oVB5nmSRI#ce0Oqlvg71j%;WkZxwhHg ze*Jnwxta*`8#iLz1nvo@@Q@xIlF-(>@^46=IbBf>`MZc&J+VbJ{l)iz^^bCX3s^2< z7%CjK!=`HlI`?MX8~r29PS*=98W)xSpj$MP2WNOOgUS3nZ#MCLr70h}Q48c39s@@M zVkMk;E4#J~KRj=}ruc_~Y4Uo7pU>f_#KNy`zozm~b!XQ7x;AJ=U*r1);n!uW@hYPv z*sx!}cQDpS^U$#9Oh4V3-#;2L_#|`}LbG!b+CJyF?vcmjh&eAAP)YOM$f=ca)ilU} z_B#LO=Zb8vvGuo~58k*HTpsEsI=nWx@^kwMzcjVRnRGTh&z4%FyXw3w*f|*e`y_PM zIdtJj{Vc@l&!tl;aCbK`4n`3?%l;mZHu}OUZZ>5TGn}3ZmOwbRhdt*dtPH9 zV*K$z%EG;Km%SAHi;4cSh{N?Ky?V;In_F4HG-`inIv+ptrm(iiwDSn=tCS0Kf}Dq5 zpE0yOq3hal(#`Tu?$6m*AJ)xDCA({Ox#PCeHX_k`Cod*rc2WdN%9;(gGYcHGC9`~; z=N&O`Oq&fa8x04|FxNdN%%5syTJkX411IR)&VG411{rnj7}=iQql3-_!GsSXmscgV zG9UW6QWLd}3|zh43Gl~1FKcYm95MEdb*BW=&^uS}ykn5T6}o$5yK;d-*UD&dVFnqB zY`tCm277fE4SHkuJt+nk!*FN9G^oPCCvuvTa+0qcnAx-3y6X8I^XEc#b>FIypbe`g;X4iYF?|Uz!yq?5PZ*M)}tCeH@qS37< ztoYJrL+wg&hBGq7q(R&YrY7YI{4Mcb=%47#R#=mu3@+C7Wy0k-t`w`@?Os!0WRoRJp>KZJy4`sFMx7 zMgN9Vm9Ty^S#w?D7Y3$ex5nDj$;E$%}c>v)$tqX4zuzkD%#?2iwG^asn zY_R=fF?_dRYY_vlk(g{=H0Ymol)|(6H;k~I&x#E)O?r@_nY5Dw{2DfD7_{_#ob4U? z^0M)c+9F)PSM(M&RT2Hdm{p<9#>tEIv%Fgq*gUtqY>ICszT&{TU zT+|3ug)>c#B8?2rW*rOcn`=k(QO20D4P%2@m9RSDbB|pA&CaT(KORlFNf*sblYbJ; zcC=ay3;y~!;|cuvU(Y6VePo(EOq3F>_N_~2e%v%DUOEt7z0K8=4>W8m{d{ur>F>+% zlYD`c1HNO`xvF7s|Cd$eG`7tuq3`c+{&8AcU-cpmn_N2roRs&%?o)Y9~a(xLk)B3 zbe>l!^!Glgc>Lsq7jr#TwST>nykNlhZV^+_SU2LMx`?UNx%9}5!)(o1eY_6Xg^)P= z`4e;jzB`HF?>Ir5xz7v#^G(SGgMMGivvYlCzdwnvt#hHd^acOfQ+@1=x4>%kLSOAb zjflf)2}=J%{|RTU42<@FqBJwa-A^bS5jK4y;Ms8^#;fmB6j#zb-dsxX%%d8%ACID% z7@Y@B2E?ieT54&j!yIl%Zl>(&IAX5L?()PKAVN%xq z+hVA}^k>C8Pt=p2Yxla3I zT>i|0KRAX?Y7H%Ndb|62Gj*RgvH#`Z5vjhy>ll?sCT=W7p7X#K*t=C8%E~^7bbQ@+ z!n12NaDmrpj?+E;jf?)D(DuYwB>f=rNGh&H=0T)q zyo+)~M{FF6uUc+7+AyTH9|PeKwst`gRcoNhmP@QIxNiNZp@5>!UF=Hxc!hyin%3a}PUTill=;`Ff+jZt)d$)stt_bL}xgDbxS?GU#I3dd zbG0gwO~?5@`KX}Cjsrlcnt1lhhwIpO!Q@>CtYrtz3ks8IlLc2qcYZYpHT4?O-|GTN zQW@TaQ&wPyI$!y3Ze+Viae5$WucBj=O+Th*zGM-ZeQP8@9fJnHC{x!>$0Z!Ul7LPv zFhcy^fSzf{Ry@|=`(ld=6^j<(%6*1?Rh)nR+FZ3ahA&lf#EC6uupPw(I{w7eEjfHC z|9O$s)KAd=h5_Jfdwd!(d<#@n9W8}yO_c$Hf((Mh9G6Q z($hJ-#3IzRBR;W-9diu~umfNrnf8zWWgn8Yro@4$TcZMoJul2$qYB`< z`we&ePH^I#4>hxExrz<y$7iEwM#id5Li271bt+@9u1%sytohetpgwr&WC8 zQveg|q6L?K`3eS+AWv)2WmnRw*)z6v@X(zqK$dpb5Tx?u#p$=V5AN(hBbY~`(w{Qe z&h@;O@6yq~A&LFE%RTR1h7C115RM zB?{{pY749(y>Fu%f6xWUn&<&a%0WmT*3c(mr5BZbb6=LaKgn8XaYwMd<`m(x*HUSt z1hvu|9Yhj+<(c5q>+9Jft9m>(pEitx!-R2#RSPWVmZ z+soRL(HyKy9+QX%j~0_>MGATyyx6@-yK~d}gSYg3bFmlKf|5KktKU)i(4dX2yUl#p&&wt`U+mkH<<(eU z-`wz>^qgArY5!&&YDqKJCnySAT-0?FEbzP6%bMHtjnok{DdWN&r|4GhIl&^CMi?Y8SEaqC3;xp2bKR`hK_X957TxD#zz4` z-?$>5l)73`B46F^a@yIteJekHC9z~&AnQ*_b?Z|&`$)y~kI!pc7;csQ8^fNOG$^h$ zG3y&ioRt>>m80_)IvL)@Z;_93y@F+iX~9JbWIY$d0r5lub(afykdQLJCOieI${VKh zdgQ!hQ(q-rN~m-0W_w-sq|15RbAQJr;IAB4893l|Ms2}b-UL64A+V7cu7r@QCFQEd6L` z<`dU%sO||qWK{gAsC@in0~8Vh5xmrKq-c<@WEXU`xDTohhlc{v;h9~mS?E`{vr*U^ z8buq8GWMS>Oh1NZ8n#>be~e$AgrJ6NWX0{9_4l8657t1RmTrc6Q6sOgn9P`_$BmHo z^3ECBk&4$aD!%q<3o4+YTH1lh;LT7tD9!m8m2xaKQvO20n~e^3^!_+IGJbhQ0!de@ zf#_j}WkiQ0W()KeBR9weA#kO$5!|!aeny=Xs`D`gBH|--dp68cmc3fujL*hwP39?b zQ0GuTlFpCXJ&KqIgyca3BV$4Jex_OLRp`&e6~}FM&j^z%8Em*RuTrIdGPDi9rSVt? z)>m9eJx2$YexO}m)kFJgurch?llgwYqxNktaX6LV+M`d1Pd{!1Y*#Pe3d*`4cQC@o zz&X<6?B>RVY*n?7jg-l9durL1Cmb!ZDK*cmfPE7cz(6&BCvPRYX!L=~;Z48FXlr#I zsPbE#hth&aad<)w!>*|uO1^a^A*)*rP2zCj_1|Rhdpplsa_b{*8Y6JeHev6f{JX^5 z`yXEwkI&H2CdGJ|AP;hj0~XMf8v6Z8WmN#pt}7*vNz8PU{KVb@?v;nOiooI_?xjBE ziI&@ukv`>p=g*bTQz5@IN8w`rIH;`>voJ60O?6!Q!|o0&ZBnE)hbMP-g0MoknJp!d zn0Cq%6!dri0ON%6FR?2N$;g{9KExs2R^xnJABSzknKZxL4>ng~J^}{6;HX>G`?XZ7 zEhTUpw^+>X@G-u?%uoZ;6D`sxZ%PI1lPpY2pMPdjkBMi?0m~d&Qnx+K@8-;hX^hJxzsxwp5xo$*DjWZNRc??xKF6O$BAPFD9K&uVL7pQP} z$P_D~E2hvm5H}K)!A+*gEH&sNc~7z*3*aWJRtUODRB`gAjShS%deZdTukH&UCEVri zY!4ugRaGj(yV+)qN^{-vUQKW_i4uC;+Z{;<=7}UjwhG$yG0O!`iL2k>tGJst(a9u_ zaG}+2;j-`u8=V|?hs0&%R}QFtjbdvkHSHF7)~91NCO~DryWlG;o2h+6vr8`>Xr}(x z-hGNL$%0*icIHo)-fXl8uZ!gGvmaF$SNpF5AhQAjoaJ;ghAM+sfEXI`Z9JhZWL(ewCqxZ%&y?TJ-gcL$v0 zPGX394V$yaXuY;)lB_1xYM3Dy3j7mkz znjq5?Dn79*+eC{Q0UF(Ms4|{>=B(Dx;(N`ljlX86t2nk`HvQP@MoCSPc`__#m8M_c zldWj!2LHX(2T@PkA+NooYPM$m~5^&_J(8 z^M<)pNL?y{hII`6@tapWf}Yr6-UB1Xmxt*xe_hS7xR~juKE`#wvE6h@7+4}O2uV$+ z*rzk6B=98j2?;irm3~CgYEZ>AYN7oLAfT+dXNWgvw*MvSv$7Nt{aW!6?^rJ_mw@M* z=f?>mV$WRuqcJU?2P5-x(6TwvL0!@yfdxf#hv!{ZK09{zzFVn3AquRO(zJZrf27Iu zFza@#O+TOEf++Y}iZqV>oX;~JG{_a+GHt-nwRPm8CWUE3- zM8OB5nDJBXF|=McAQnD-A!s9?DnHZwd;T8$cpQ+Z?T}RCI=dNsh;H_b$z}K$%4Mrc zoNGd%x-AU~`i74)%r7Q<9^w(1IWY$c$RDgQfd*wukXRaF-)vCbCB!$PRUtNl=JqBC zxk>MHcGS89LNE@Cfx9QXR`KT1ciZ*>+GGMmO=uT*wX-BI{$00MNO{ zzV*Y%NTMOBBHDx8y9Uza$i1Yko_($LTcBC_Pa5b>Q&|`C&tQ0>x zD01xCnBP5txnPB@8XNx0D}voZCGL@}qE>UPX2{qO&GO$)=s5S2u$dbr*?w zH@Z+``-GhSvWihkvul%tkrO9dp7yl? z8yRo?)`vk+k5i91QwAibhTb3#O?vLi6fdNz5y<3Vu;eM4|peb_qM?{L;+w(+Gik)QYj5m>BfpK{0fj)F+f4NjSZ*6gzFYtv5ntb3(k1Gx6PDHv zsxa#%o6fVSYl{LZkDRL)QBspBp%cnp_I)0=5OY5oPqaivPkk;Sr(9;<<&!9=>WgX2 z{79%dcQi}-m^HgJcea30H{NpqxRKN(CWQzJ?9dO_4%k5fh}gzc^Sw*!3!rrWj~mOy z?Uv7Onq}N~BC{uX9^E_e@Zn*)iqFWn?YGyQ#ez%K4 zSmWaZ=iM;r#{m9cl9MV{5v3E^CIPJ`V^H_B;@Ron{U(_3QL(4?bN9X-ll0U{JjUHW zOGgU^sIS#OUu}FrrB`ap6B}|?lJj@WDQQg!9cJjH_>S8|DZH|9-*zYS1>5%H@-GQo zP0nximCJnBrPy3*hCa$Hrx-P7eG!NNurx%zY96SRI(!=uP_oYcy4d>f4WGM_zaJ{P zDzJ^K*6&*-FVLGe0`LoeE0 zxn_$xQ#Pwt!I9pv#5N*d8tz770&0boROE)5Z~VLqJ3GaE=C=zTIGzoNFL?4d=0(K5 zklXQ;T-&RxeRZrjoKJ0vpC-Caj?4zhZcp5I*x$)qsQ|Z-k06@|7`iyR1_>OX;tTpQ zd_{l)>w7?-5@_+QcS}9=whm<%1^(2% z?n|LF(F>DMmv8l2UvI6(gexnw$6;iEW9qH^fadqKu=|__TX&n3kO&PMviq2Q+O&1^issn^+>I(Z^-%m zNc?nDkB69dKH!~*_-cb{M4*fiM5f?rQAc5A*d`S4`Kv+Ebk5})=jE=Lw-3G@k%gjF zmZzOJc)v3gBjOH=`av6UB+As>3UFNQyu{J?zL-@z=UoNWj1$mqR#!@A6;Q# zmQ*FWALA@Ds{+=8I&e8L*Wakz4HS2}#pT(hVWZ}u-gM}|oxJzv6zdXNg0jrg1=GAY zw@5XYa97*KyLLq6kEA`KQlHxb67S_;hDYcxB_ALvJms1kSvr#{ZGOh7{3K40fY})5 zTU4M(NXUSJ*-;Zez(3y4t&`=xc|TX;7E~`X;7_S>ntMan<5K7u=gSne`|N&lg|IJ; zC!cOs4K(|`GXU23xp3SUKO;g6v1HyO<2Byp=}+`S{?;Vzebp(RgOU)#C3SMfb_J8x z0mquF%<%dy)(J@AM0@*eRNfun`;QZ2nBk^_X>fgoU3LSQVY~zZvWqKvTh%L1%;(?; zB??^6$d1}7(HE+R0ht@dBHtV|-kZ{UG|&+6!(CDW0g%47ns}QQ_aH`Wmma|0>bp7k zRjo1=Vl^;Po*-fu+D7U?MJzcPS!ox6EGKW|A~lMNY5v5r9Hd(J7O3a99&$f^;Ole9jrSvUPAR8mqQR zL4gvQqk6vB)%656^wWh*NsP1a#XMKGe*IkuP16A(Ax@aLEgvYJ+$DL03EkBR4ewCf ze^^!^BK19~aX35WH}@|33veHFyJ^6j9mx%H<@Tf%Zw|cJP^z-rQ)-P7X@XG>4QYEv z?ix3$UDJ8lZN(B&&R(c}1A-(+RZVi#>4hOEWq3TzR?$RKA*wfu{$+C+YGya|0H=M{ zzO8Gmqugy2VERImj#q%Jl9G`0;}Sms%`~~0J4u}z>FkbPLegHGs`9vdTP4k&rA<_O$AKf;7s7RUJlbb4_K*x=R z8+qE~bT&fgR)#VyIp#)uFQ)&l_1OEE&CYM9U~2*Qiq2akWSM11 z`bEKj{Sl6FW(Cf=v>q|l6|x-Fs$1z?W+L{sMRQXmmOc^HGIILjE4V zBWsnQOex=tY)n?VQ=d)f>EB)kzs|OJF3F^c7_U-;?krKsxp>+Ey{bQzv5-a5R%^Tf9at#{>O3CQd?0b;YV2c^xag)c0cdF`K2A=l==f4z-4LH8v6m0BS^&GPy#f<(1pcuz)?+RN-D z@xG5^*S~&rkoYcmq;h{ekp}C5l(S{_bfe-MJqpc6>QHU&=95l3um@P*t$3T<0_W%# z(XBIG=QVGt zGYwQJ76XCKfx65veca-^*C4{qy!K($UarsC}F z9=4VbkbHc9RMwyn0fgH%tGf0X9CI)ygw8)A{b4r_fVmaRrAXv$I#8&#?GrJ(k9+i4 z_LPuHaU+eF+Snyvjp_%c3Ra=sVd>Hik?~NVn+d>g&EZu$E&+&FOalk`k)s+STc?^- z6jZ8mx6e;WOB4xf2Y-6{zH<@fsN!{IS-JDfU*BdRtU-uIuyKhtnA%WKEhGU9y59h` zJmv)_e$Vq>@sF{alJV^=iH8C$x4_KvQIp>HAqL4qzvm`t6Ykh6&fdx)#J)e4DBOl* zLH%h^b6<2~6j)a3jQxrmGw1e>+1e@|$>+UO8Dh!W9ND_Gv^cDIE!-5051sTE10jDS z)m_!zD0V#~o{0`dHobu{5`&O}6BXS$gZoqtY|L_29=xrzW+o`Ej+w}J)XZ?tgaAR& zxm%zY>#%N{F2D+W@xAbmXmG8*MUPxNS2rRdV*B7ny$m#PT^CW((HO(-<@1r_gHvzl$CnXkh_d!8JP8VAgU{DvWS=w+m zK!nUQol4iL_SW>ZiCT-b=Ys&jiCSy3o}?yVMM?AYb~Lb~6#xr$=L46nuZ0OyRKFW0 zObu^Cc@P9$G%f{!&mIPtNVKsycMc+k;!f)K{N@t_N9vO5!axiPwi=bwy95?qt_G3q+prU87qsgO1 zHL*nk>+1-L%w_RRU>cZ|Y>SY{^{dkF^Z@3ZAm*8-yrVzk?{7&cWRt#D5T05tO~r^duO-Z@pwIyjko?`<8v>~HM72QZrc?wwcS z`bIa-Le>J5gD+;<^XVA!9y6Olyz>}o_ZKg4_EM(yJH|iNZzvy`eO&%$O5Z$1F>raD zc;brSG3%_Be^GAgPo1*&1+A>L$M9!pvV0)uUXdD%vyAyY8D#1fi3pjLaOD)zgRVDE zXQ|~f$QTT>_3$fGve*k_x!4Lf{Vu;up~@iy^6J@_ttzLoRYJaxNF^*%0!v+j{dDhx za+kuM163Go)WVJFz*73pZ2Tt{9GywJ-~%&Cg?HseP+`!KwNA>LTI$s&?uUg&|U+rZO%{n3|p)>DH60y9W zmrVU>6|5;W&RyyM(_yd;M;iO2az6e#E9s+ zd+EWqXy>p#WRYA9HrQw`{g<2J)SNw*V-ysJ5-99Ek6~~@SPTb#s0cFK5osdt2E&d19-HGF8U4&f7UaMirPMAIT zj|E?J&EM3GQHql25mXB4`VO>EH?;mY1+kbAavI5HfxR6D?Bgyk4EAXa$3c=)Lqbsk zuOfe^k&V1j^ri|Z`|`^wY5SLQ6llKi*3f9w9B1i8`hzAdlvfI{YIruh$?JBSN6DI{$&_-RzCi$%C-xoXM}y3SIR&^Z*8wG3W8>z27A6DLwsn`s4}4b>o^v|8kFGxmx9~}5 zAJXR2@ujV?^#4d3h-@H5raR9P_6l#noxjvpQT(82UUSBPgdnHPWyWi$;TO+rR2P$8 z>Z^WpL|+n1GikxUCrdrx{dU575elCA{)1REZ?@k;+lsF-eKi#Mr<$?Zu# z4spbb<~3>L@>6l3hh1Nf`cs686OaZlEoS(w4EA2-53h{1RjQced)jh@jiQNOVSsgk-n?}+=bSH6u4U(mmV409Pls}#kd{=gM-GZP z-s6}~Aj%S(bM&zsL<8}01-sd=T6D=Ow^kLJHbrKX;R6bqKn!p z=EVUrcZ&yEG%YQ*gjllb0MfOZuNJBN#*%IUqV9AqRbnShSLbMxkmLDW%6vli?VK$r zRK@H$zao^+ZwoHgBhkYX?bqPo+A*`#2e8{_V>8z;xy3Pg8aGrre>_cL_PgKyp>r6h z#yZbvUWZIMOJlqlYRpT}0P!SZ$#->3?)3@EMj?edppEf%3!~IYXi&y)5qQVg%^i4B zdYGfEIS~uF(qPi4{XK&ND%!j%V#HA5y?HxyO{(+X=e0?~CKz)MdbN~p)0;ib0iM!$Vf&4J@ z@IV!G{h~Ko#9th~m3_!Iln6S$#W!@t+?L1`Xr#a9eX`$b=W-}O<(&sCH_TXRGOI(l zJ+z#4ybtAOcNgtu z&yLUh%W`R4516prY4%OX2QQ5e-`T3%W3^VhK|fBUIH_}1sc-txV}2GISj)jguey1t ziz8K@kzst%l`Rm%i)W}CD~WN`>Axe(^xasuT%ExrA5QVCTwzJir7Gx#>1!S*vXUGy!k8dNj=r=Qc|ed+c3G|obtqpOlYyp5udT)DZp3$ zK8?V=$N4Ke0}nqiSMbh*%?~2_n+P?#>itm8gz$V{Wc;C@5iWG@mDuKm9l1uRFi5EtP4bxEuTT|&ZWZ2`f4x+zD=PKqgcthWN=k&| zH({Yq;>Xl`Dn@t$1NHY|vn}AeeLcc<2+<HzTS4`g~~6Z=KR z&&4~}C+-|Qmmyq@1=LPV5hcorWryb#&Pk$Q@VXKi?_b_t{elEVnu;7(DEr4Hrh8Dw zS{!#HLwoH=87A)sSak>iLC9m}k0FHTxdCos$1(k6{u3`k$~{g#nol*GkskBED|^w! zvXn18(sRNJUDouv*2AHNlM`z8xSouhdk5>y4JQ81NMoT7rohg=g<~6#d5=pl0(YJ>*zL4NcGY`_Giuk@-2QOL%UehdFIy_#BIEeEvYh z@<(J3h`UKVq`uCoB`O3H)f2*u19@MZpZ`*jRkrBf06FUnDKi}pbSyBo#9lDXKIosC z5L=^SupB1)CeAC~VpEtO)_VMF)##uqcgU~3u72M}E%t)}L!M99TL|wUO}GuZrNZu@myh0G(53EskcU>Ga1-&o6prDe5&|FZ9F?Ujo+*xTB>-!rmNivD=g;TZD7W*tOYL`F zHcSNUE)P__ZwS-Vz;E4M;iLSmyck1{mq&7!W|q=%UB*fODiqxK92uI)+kf~wCyH%w z$iF&ht!GS-BzC0ps`GVF#uOQ^65vK9r_%=uZu4wbFEoq*yK>uY!Cd4T5gZ1&O z9q76E#}Q`HJ)L5lQP8S>5le80Eg?QU1EAbO5!hi%50| zMXzZz;^kb`{47#Wr*VQeSa+UvZH4JDj9QRuuGMRqyg0ddO#V9M?(8skiZutU(*Nt~ zey-wnWb3ng7I8qD#tj;BqsUM$ANN=8w6ZQg1*nV&Ssfo@8E2iebD}En%?LsiSTU-0 z;rYsGvr+*C}M~9HXJWPCeu;H4%oy-Sw~B()TLy!g+Bguh}7{3{^ics)5kSj z?__fRI9v_bY}ltOZ-()d(QB-pJB_$ouck>_^!OW^D3~bC&H|LF0FvI+s+8DXd~0;3 zILMp<3(%we$W<{Ez#IU*&Vm4W;XOD96K{_^V=N7pBfvBc*f(TqYhr1#K}9tWxY>@} zP2C$efUdhZ&uV@Q^2m(O}tHNuL13NMP>)tDMOX2kI-M8*j6laQfd(xYOH!k)i`E)0Nq5a zWg~&y?tEyx&;Kux+qmK!F!M~WL_0NCKiGhGn2zR@} zY&>&;Vx9$Jrn9)USVyMf{W+Fi#gs;vR1ft8R-p$H==`NS(2uCnxozvkS9}j`m^v+% zILKingK*x#=5*GHK-Srq9c2+veeP8}B5%sy^7Pd8p_G`&f2`dkYnC6Yr$lGl9-X{gDVhF_xF zXYb4&(-2s+lXZQ6iH1~XK%1^Zmw6DDCT5rDe!>fAH5^GCNmKqCr>784J6S~Ue(v{K z0w*1(6|prL34NWYe~0CSL-?ic^z2}kKw*Gxz-K(-o}+9Lp(m^+$q(gJMPS2k|X)j#p~rZW&YS-GH@vx?c}gYw!kZ0fD) zwbUjM*F1KJZ;fzCSOjEVb=CG+<-JlU*K@|mV+_|Nv>y>(AP~{xi67>dkl>am6%pAo zC}pbgSUTm%bg&*gi^Jqf94M_;N)PU&m?U8P(+?xdNH^OiCgY~Q{7O7VgifNt7Ol4I1D6NMaHwfpLk3-y{Xq6HUoM zu^z4hF1!4|GIGQce~6eIwAk7C{g`IkTZM*Tkr_|+Ie~a$bpYwao7AF$y>M1(CrBb} zptnDBg$?js9C zhFRmLowSp*;T%iAEE8|F;|-hUS}?H$94ZRcBkqxyBn#;QSKMMx`GC zXp(TD`m8C|`jW-f`!-x%V|~MWjnJPD+W0=;P(PkP@&fQS%Sjj{qD+00yF< zeg<&$3C^?V&f=<25Yy1b=0DX}cdy@cY-Jr}Q&&eG{M}jxs>=vO2 zV(TJ^Z2=F~u&YM8{CVX$0y>9mf7?hJ}E6 zmBn>}3XZ!;@O=WKwgkQounLb+P}`~${eMELYEP;-C8%uM;ZX>|;-mbmzEVix2=|{!lc*~?K~zc zzkh6mOXFvJvU7sU{sSV+n&FkDPRen);n_m(R=+Jq8WaTd3%H1~02F7PV{BuJj#e~1 zBD->1hrg{GwA9hp`6kds#C8pfTddiv?Y#A`ta4zp4_Cm1h-Bf%de(c^U-|nIePzfX zBrmc&Wcg|w8OV)@E@>fkn<PBue!qD)6qEEpS|q!%1&SRzQZLZ)TfloCl5b!%uTIgT{<4-}ah}Am59{RLp8| z#U!d`x`gIeZt{ZW=D-U=hI~$oSR6feptD$MX17)XecxK(DYRK=L{QkAyug&;id&+D zz~5M^_zJ;nQhXmPVNT^h1A8-D&`{J8-`s{cdo2`0;Hx^U4VAIW8_x)wrdK%NbojfO z3OWBxJl6PGJpn+2hiDOn9hx|s1kjq2JXJQ-{0X3irkX2wcD)(QRMcpN2kH@Q#?KG5cYnc=!-Mp3-In{ zS-5jCY-QOZ>SL7gMk&Cxa}6SdtO~*GY(#`5rDIs-6GzNiXi>Rs34Le!hsBiQxXp~r zj6yYxy0XxperPa=3R_C)nR5>;yiX6MzR7=+KUQ1wk*sL5?u{mOxi}6pH8TKDYHNL5 zRf)2Rvs<>?+S<9+ADp-G;9fRAt3jr5aiOUa^2Vn6^67peJ^6#5{Ny+7D$&>ljfQwe zAiZBC=K$!ToTkSwU%d^H6_7@yUKn2E0Zy6rKizL}^dm{6mFeEABY zIoVmf7F7m?aih4$6E2TOvRSzLDn{9h4BUI1m&1ok=iP44;KHx>?Pp`wRdwT>LZE-wqW z!#w#(H+hERhqt}L(U!_e7Z2`l2o))c-dO;nUpcRzdJV|lf;)Jd2=MrB`_us#-Q~tB zmKB;Pb@+-?wvv**B=Zs)yP=_tYU@m3q|*`8@mxcDY(lNpaIHV5e8WW9`pXDRn{Ps^ z_m49puP2nIyskTWGIbPr)%&Ekb|31}VOOOqW6z$Uz`iuKV};Y-I_|fcBuusUI!IqCYq~jm<+o1sdj&f zSiubc_oYa`_X!(5NLj-jVew77C^m6N@!Z1YlGNTOQ+0n^Zq^l`=Vw79@13cJ%8{e} z#kv!WlEtIYI-)w5hWRsmZmL9i?Lj%7SI zeSwtPz<{LL4x6~{caN1@yiY{rAECCU8VW{^Dn*IFm=(4Am8~7bnwvNVd-)r-ey{6% z8K#aZsk~3bYWJUJ4x{HWfRBg!Qw_VI%LX7X|0qo{U||Ngah~aCd>3U);oXeobQC#I1f{6K>nC z-UIDq@lzSA+b@y{sxABk+ZQ?@uwt6Ge@tVQM&s7KIwr`8XSdvA(^P#8XSX#qP2olU zed=hK(fcG3bd=mX7WLkID!4_a1_}XtiM9Jh+x{GOA?WscSBXu-^Xh$V6U%kU(jO& z){E}Kh5~z7=bJlq6i4rU!YW8}CqiRu>I7_s(EVep=6k69W90~qJy23|1&EFVh>m>| zr#=Sa#(rOW+{UC8`($HKIIKE_lDylz!0=6&e#@NuJgGaUs$~=8`&$Ijx9D3?%i_%D zm3B&s_u#CVH?Aa*L!Smz(v%6eZwEGd%6Ig{*nPC=jLGje?KM0o*i7tHV!afvkLT|;x*47biDG1FViuY(DMAk$o;7B=6bUW3HkFJp8hdd$hD#5i1;7RB_>Xw zmY*+oQ+qH(Tke8z5!Ztmd~-mPcS+0Fpj`LKb_Y>g1)?>!)@(d-LDV_=;qNM?*xXgu zY(CH6!YIcZQ}gdEbH~n6OOEb%-m%s5n5l1k&}T5X7jkGFXz?+lB&1A^+td2!tNrqPPK?RrA1*(`Qu{H8}HNQus;r3f51z$>VCnR|zfnUCS6%BeJ z)=?^hv|Wad2R3VA40xBVSETj@jb1}OIrWabHokX4A*83;!h71g3KGq$m2%>~9ZdJPu zB|Nt)!t&VXkm&9)(hXO#oVG!dsT<}AO=1cZb*Tosi~+cT(oXH>PB-P1oY^Y5y?({P zWbkVH1EIjQ&|`+x^&*4vwgHxWlm4%MMX$CQ2QJZuIfZ|cd)%KGACaUM5!RTWT7RZ} zkS}S$R(_?G->^Gn?Tr$=>=s!Ta^k$GQ1M+&a_+JlxPF7QQO;~5r;Xn}qHDJpSY>)I z^Go!jSotK%>?7yt&9kLmKP}N5yB*xFv6%{9aeTSD}h~B zHHS1AL}3SC8dMhb`%dw=ml+o72h!Y^H~Vhv$Nfw)z;FN@5L-7>_^H~Fa5^7<2x~o| z;I`OolO!s04;E#!SP9W6e_*(FLqa(FTaVRNkCVatIgM$Sp|G%Ap`kjjeCMkh;Kz7E zLvG&rcA+JOofhGq8Eh766q@eiid`}-MgoGbDWq>i;9K|U!UUGv80liv;L*+1W{%)@ zAI5k>LNdP0eWhHXvMsd!Oz%gXeFGsyZ@3JWIV#!jNCdbTnj14RPnUcUbs;&>A|1_k z20vtiLqA;g{<>DD$oOALq!&0nhD7*~_10UF=YhJ~4Z9r5n1B!cJ5z0!OraUW zx}hc~!ojNoKgyL(2C|=%`4;p>2^ZjI0~KUel4mH?%$!=c%zn0pXKIumcne!=On&U#e5|shvT2gKqk^F9d|DLaF_MFLVj*b z=>Q+ISiUkP(adu#c{3-bNvb5IV0|wpxZ;wn86yj2hjthP%uZr;n-S;KRu`B}G3vDH zAv$!4mT}fWijDW(Je$y$rVtcW%+b)Rem7VIrI3g{tt8Qz0IG;fWAQ)FV1IX|clssH zn|Q_YUPS~3XOI$ql(|oaZ7j2=HvGT|W#DpqqeMZ=<9yc2ttL^fC3pVE-6_`>r|FgL z({CLh7$3(_cf5nuFOIK#$_Onutqgho;w!DZzRNAwDGl{$BnjqJy0*DqnkYj|32eC` z8sov;s11Mg`}b@aaxwJk>D!CPn&*n@@(*S$&+dj`u2qV|y}<=k`h@nT`)oHg+GT#3)0a#wHT;BttT=H20)qEK!!Fzhmsm@1 z-}ig*9mzU0WB3qHw zBSQMwO&@=}>vyq0Ry%Cn$a{9?y1LL)XN7UtfXH3dCMw#8r3`nU%$;|5x%rD|rvtN9 zeA(nySq>cg0CwGoebs6&IG@e-p(2e~mcwc8x$dZEBd=Oth;z1_;(W|V^~~xOlagp* z4<|ffM`=-`9Vf{oZ^l#MO@Uz@hlmPe$t0VrnmD6(J^U>{z$D*kuB&q1-}+U^Qhi=g zxy+0aL-W25d#MX0OcOZGsFUWqC^b0nv@N?;_dRuWOP#^jCf+-c+rPn^ugLY?q{r;6 zVaidn9S2>E_af`#`<0cUO$kcct#8+zEwE%;S4bX1`yIWN-CQ= z&*iKQ6_eZihG(`o%$-&qa&!wcs+JYN*c_b?*L&eWr`&yeX3p%nfzG^WlUxZ8m!PoI zfb%l1GTKGxWvhRJYu$YC;hAF^1Kq$83L=@T_?s?gxJA-ymx9B^=NpuipW3J-T;yof zGH|e-JFw;Il&@!I0Y9G4j1z~l?;D?C8#0m}ZAcgqa8vNU&PCD{rP0_of z#jm$~DbGqK%rxAskjw@UjD$+^bRkY2}7xt;dy{9hi?`{orN>kNZ z8#-sSP{pt24p)cDCXT60nO*7q?aFRFFI}e2-7`fqGzM-a-Wf;5YB&FgW4n2Ch|~Gu zp(}qu(3bHBwr>|GOivZwS3mM7pm`VxocVGVTLEdg{OcHtn@LL%CJMqYXSl?eNOKltjc@0iJ zGX@-j@6M%?IE!RWI|nxC-I^9#SM;8^(x_cCJCqgJvuNCOI*aX>P#})t4R`knI6#H^ zNj_2KjuLZE`rK!64jE=s+ZXM_mY3LB2%-0fSd3`zRrmMvJaRE9VnB6N)`maMvK%u@ z9i*zapzzJHt?$)K_Lvx_qQ!1SNXWqDC174xFo@(Io33qkrCCoyE=A0=((Z|f7OA_% zR7HGoy^+i?8|$XTUGvfE+_X?&Ls|Kw7_UKp^BR_$XX02Xs6;SE)O)Ween_|UAe8!q z9hxBT-OsiZ8q@U&uZy?|_7{fC{ARM?WQxZO3REjT$@XPRNMpkB>6~3MEug_I(~$33 zP~?CE6{^8HA-xZoVPDw8V1b{Y%lCYoUT)ILXY88~ambi0b zb+4sMp=FuNnK^7`>}TtU#bSE5U(k(~7Q31>5COp;1m+N0yndR%jEWX@yz zMj!UlAa5Ie?ijjH#?nip2bAlZR#@vtLLP^=)haXlsO{)AzMHqibo6SoX zUKnz?qfIAB$%NphKydy3AJYYp^y; zOJp&8Pm;uIA>>ougCSa1>l-9>FL#Exml%^aaGJ@561sY?sJ~N#Rrl7QR~e^FI`i{$ z`E1phT{-38hy9YTS~Ld&Z%lKgvN$VH6sS1Mw4#J~m913sZKks=x>}v{2Imay!Wd{n z7{WBwClWvGU?&vuzp@;)V4milh}l_gEDh%{dr~3n!14H;MpN~-s0f&S<5&w{xi$ZG z@Ejvs!4{XML?#D^M3t3bsfov|>$R!}qBkfX!>4+=vetQTNy&aP5mWvk4XzhQXG(=| z4PUTH5>cv86n$S7YF|cg;e{xhkKikEh&cPwr;Pk#AYYMsN3X$cWzCW>3my>x+0$w9 zp_1SN@5$r4qg3%BQ)%jQn#oayv7(e-X13@vO6DR-X)KRnw9Hvtl{dN4yuu&qPMWLT ze;%iIp2l4j^Co8nvoZgz>|(^o$nsu_p0b&(Cd>QRhw>F8JOi2w7D6@CG&6@al%E3`^1YT+`PohAq*)T z^zu9JcsSMchhjH^;}dZjja0PlcJjX>7LqbHX-`qfFJ)!-Ih>;xYoQfZGF)@nC6{7X zx3r?5>hJn|kJI)3i!WbpzGM@td{Emdp_^^J?Bet&bmjBFaKT&+o23!cD4o)`D`S>{ zUBN7tQX27+=59M>ULfF+(B(Mza_V_&;+V~#QS(Q%WHzbLov~olj^pdo4gB}0#Fgzg z_My(z?SU%2Vv0(3!U_~=b1cdfu!2)flnB8`*CZCGZV1CYH?BtYX zB@&*1HM&?>i@LqG62~I-cm#VXEJ7qAthdff**+>3QmWH(iPpHzU7=%!iAJXFrb66? z?)fklj=Oov}~= zS5`!l?1-0c2fq2yblZYfrl0Ky$vxh6f@+c^VoQA6Pj=7j3FXtIv{ZL9r41$uLuztO z#G_w~jqz>T#B=(|@Y{AvDm&?SDu<|2Li%fp%@|8OwT3ME^sdUAnHhBTzGjfwzL%6@ zaK>-+=9szUT@9uj1)fizUVItR;$tbekGSq3VEf2Bg8p#4N2P){Got=(*{$V>L5z^l z4l(PZ{^plcbwdFefv=u;-j43v@^jsL>d-|+D>4fYUeU^|BkQ-OWh(#J&v5fhWV-}w zcFgU@Q+|F_T*}{)t#1BQ==H@xPu6{pcQ;Sua65w!Pg)yJd`}LGPKFzB)4sUH`cM1@9|dhl;t;ho-umGa^36(#KEI9W)K9c@@=q4@UzdH= z-EggqGyQr6yk2}EVL%?M?%u&+9KBq5tocI%*$CcGz4>PCWDdeFT#E2#&5YC0(Wvu4 zp){$l(6t*e{$>FGkCNQe69xO#U!R-p_0pYz3)jA6wSa{N_+~bLL$Xi`3X`Ag zhlF|E1LLracVp*yguQCX6vg^Y;I4MEhnD&Ohvx7>M3n@58;; zBSO~{ws=Ytyy|i8yuxq!$1_cNu96vs@FD+sCA}YOo-8iDIR{c(@}yK7sxUNrLl$?b zfyXcn>46s{s9_DV7u?4NKnfL5Z@mwN+GTK;@)Zp>Fv{5WW?i2{D)1_E8Qf326zybj zGy5j@G&*x2WrtXKIDf=qKjjwrXb$B1@g@yy2NQ&586TIy#e)X$+iN_f?%L3^cBpdi zLn@q0$*nZxx2NY3+R)qezUk;dZRp}p3@HS6X9F+<3$^%#mH&Nr4-*u0;;ks=bI1Z# z*I66-ikF4@J6v_b@_gk+rOuIK`kXpzM=@sEKVD$vUSK)>Pg_L^AA^i|K;k$2UTz-8zQX%b&p4` z-NcPNJ}ha%S{z~JzrL9U(z*}lJ;2J(N39>RHVvhBRF_G`RzXq701owWa+?Dr0;NZmf8|0Oyz@@ zqvSU?HuRdCH$+u64NNlwsR@tuDWXakOY4S_G<0_AcBozbLRLFz6Yx@D)a$j;>%Uz} zoB&bqLUQNd{`gm<(5Gs#kYb6Kfh)fR&%+axyEW_B*oeF!(nicz3;fM)Xwfpv4>AAs$ zxGma|HfXO>g(-4X;j`P6^uhN(l(lJKnvdY`X!x5?Cxob8$6TtS5ESmMqi*2Avr2vf zG^(@~ZR^D=?A57W8k<#vewk_h8cC-2`9`VmRES1b;|=fze%5}GQrt=Zp1^2DUUlh{ z<2UAS&BTvqTqao8)*kM5KgxWH4oH&do=lKz{z8;dEd7|>Y2dzhgMS$1d9%uAhcZnW z+kvkmubL(FGyY1v#VCx*)vRh6J2?&ijiN!dla(6Nl=3U0KRY)0SLR zPwKu5TfMPS%?h8`;ELMKql1?|eKm8_Q#q|@$XJVnZk?A3t-mPN?^1;$D(x~QqpD(J z&fMfi*{Pn7Qk}e@-t*o?2<=!#8MW(124^QmXGPenoffv)XFxAHp%-1y`cpSMe?)B| zn&%Veqh5D7Tn!3yGnH>itGeWw%sd_xT5MN&g=Mb#0{Mz=l_b0@nK=o@GLGj7oqd^Q z&b`4eUmCaEgb8Y6$lk!p%Z4=%yXHW6o+W8P74}8plbF`Yd9u<%Y(UmInBgInYwjA> z!uQs%Q{ao7?#p~$+_`GoO~WYB9EeMxNKIzGq8q~jV?1%>5j8PWUf@)0Nq{)HE0Io*CZWyp~KV+#cV&T<- zZg6Tx(Y%MSE22aCBGc6^b0C$YTu!ZAsBHi8>mq}M1>)IE{38ngif%mW84v1~HS%7+n1^a^Rs^Fw2+ z?MLUY%f_{RCovWA5ztE*$5yT3R!F%LE~K@a5uce9cNK^kq;x&%sp4DK9bFQB(0Hy| zDz0rsd0B6%G(B$*`SuD|l#Y4Q7X`AXta_};cUpv-_=Q6+1R%99t!E8C+Ur|FBc!mTz9Rh^0GI4a0A-u&bg=J*Qw zi7>i`e^IFBp$zI6JCV}`#7k?KE)Sf$s`iK)&0G?`a%1a)Yt{duHT-{QWxF8I53D4X z>HS{2-gms1P<|4SCE*uliI8UhK*jf_mNQDuoMBLBmSNc?fyH8UGNPK{s$(2WlWcCG z0=i?WD0&udO3qOsq>=nH+eH+uz$x*IKJc}h;-c6Az1<0^sxw6bdeL3u-gq(kVCO6y zXN9v#w@xJkoHc1YVB^@Htn%R!8+XLY2%=H8$E>j8oc^#8 zh-ZVkN*Z@5;Rmf2^noGJw7+an{b8dC&xVdkBv$@A1uPQFw(R&Ius^bgYf$m<2Y%sm z(w3ebHj+K%A<&5bUm7%jXtWb(OoriUjD`_t#QvKGSCq*es6aa8T2CbTaw{=*&gGEB z!G%@skPJ7&E~3f~6xdmYP~p`RxhjWmIvjvB2BoKQpILF2l5V4zpDRNpY&2bW%onB*AB+lFhOr5_t}&QVj2Bkv3&d^774sqKK$>L=>JS<5I?2A zt7H3TbyEJbI++x_u&dd8+_3Z6Yus-U25}nt%ci}ip|3mwNIh{fAr%*ZrZ%mv>z6Xp}B>!`SJ&{TIJ}+A0 z*VicLFRxgr6U=5$oo{D+@WW1G=4&-iB-WY$Z;m#i<(Yvm%T>L`dt>hK%Z3(%GruYegAc+#~LbfBNmupFvxN1Im zujH~*-ehdj)8?SN1J{;$JAZoUe!>`bpnw1M*){)-+?*(i7aOaIUOcbQF7FlQ=6q2P46A8$~I>2g+!$0Zemep~|6e8YeWm2csedY8l~T&FY++)#2M z8=eC_myz=1!T`q{!Yn5kg|(~5s$nd1t|>j%2Iza>g=6PS|7a8uL06KIDy)pFe>81a z)p^YyRJvtB;(8-jDRG~}{!u9{Y{2E|&y%}g?R`p4U~C;iy!v=57R@QMFGQ zrlkdCpM2vM(@$BWH1128kd+$Z^A7Unky_!~0X-+_VTT9z;@Y*K%+Ig5#q?3C$~4Qy z085Ua8qDpGMRk%w8UGmL1L_$)&{^G#2{OrCKRH!8i#-$lsrUJPOc1+A=6GHo<-mIv z=fl&gV;>&AL8|zR@4?<6&k__Nv7d5Os?iC!uu_`4aPZg7-z|y24iHc$hSdJmoqf^w zkkW9X$*DKUbb+`O$(=(P9{7ydAIOwir|cUVY~AZd0dPr}1JUkYu;Jre zscsS>ZTi`mLU^K%sQOdQt1&P}57%(`=bh#?+uo$li*2{@RnTa0`*L3}LN(15wt z{||FXc;@bj^8#TIA9b9kTlrXLDmRpZm%YD*1gG=|Q+kpbq13_M%l!ldGQJOd~$E!UN9)+-{L&%nuT@Ev9Om1+U z5Q=K25AF&8B-4jNvS>lsFY7XkP%nC5Av{Vct~xkj0H{!$-)wf%O33Hy`l6(%(*p za>MZep;6>OIQ9NOxHbp@IkEfRz%g@2|IPrOMt2m`YU0LvAdewcMa@&bhsXh=VB2Wx z@l(-kw)w-4#%sJ9i{ny^~4PSr0xP8S$bv(%L-2FJa#H=P5jL}e|bq?(HL zeZMm=D6P!uaV}V4q?Y&S(}Zorp?z*y0N|3R6-qu!-E$tt@`kB;_;}q+KK`Cfk1naYV3@IiwL?Fw@)@j zlz`rF*RHU7;LciccTsiBSlQI)jER7_`cEbFJ#lRZap>jR_l0NC6H}2DIyd0nyf44r zik7da)VcxZi(43GA6I(3?F4Y){`ie6!m*R<_3!;2kB&245uQHZ=;obwcEzP?RP4N~ z^vYuH`eLit`CL0H;j;bAPPOx}XNPhODE&f!wedVvz}kS_n>s$iSA}BFh+Px{0Eg6G zBDz`ynl)ixcDcF^fFD4_iX%4^+q8~*qMm*isC17zG$sWZY#eJEW3Qh6eGn5=d4j}O zvEp8=ds3(agFAkh1&|iE`1s{uM?n-)rRJc7;~oJxLB~AuqBsb8{EtevVS+xvV%v*Y zfW=pEugoFEBXgj`S^xV%Hw$7Ov%0vsOzHp~9*hLA$iYM$j{)(ERZ*F=hHV=6ZKyLb zgchnm&GICRoQ$=gW}#BU1%&B%OBb5ugHMycN2UbaP{_}95GK})D9s#*>z$Y1!M-5S zxrn+6NLHR4Um|;DuXK2tRO%*QKZca?Y@-_+enQd;M3b^?xG49Xxl3e!q_SfFV}abj z;lTgxch}UP+r)VlK8+vwO^bzr=O*Uueg3=xUs%)DKl)YuTE0q%HMyWk@m&*vBmY5% zJ)5QWK4RYJ*{-N$o8-e|Q(I|4xV0qYKORt++Il1EmF({A7QDAC=Wsfp&ym`i130-8 z_;4VuP>5vGG3vFCkp=sMEWikxe2ZAKXiFsXoc#4z{P_4~n*`y;FfEPn(5|Rb9SE@- zSuO)Qz3WctO?{$DuP841Kd5dA1_nq}=_Q4mTeuDgO5DP!+&ZU3UEVdv=>D@$ELx2iR}ux0mwK|JZB0nwK9 z@%jLKAOY5(Na&+6*c70_dA(-Bg_bQ462|YV>$aa1 ziz)%NlmA}d@^@$bDFC~3#i;=-&w0InwiUrs{AC2cvj~iULJ$RD3H$FqA40J5_91p* zrgdtjTF_s#9r#rS0SS=o?(P9Tn!r!y(R)FVPXvp4;0DV4TFnGZEEFaeK++5>eS-k# zc{dF&>tO^ofHK+yFI%v;d?eNZ^RFzxv)lEzv-U_k=Cbd?{!A=>AVdu_@hC?~ll)c=W!2 z&!uZz9;;Rl0g(FD@(=*2#<)c;kGVzIxtF(O8Xt;J-97alLpB94I<%2Ny!%~Y>P!K{ z(-Li&Mrq&xP31Tlp0=%*j>gUakRBag$=;rARIMa79$omoNqou(1S1+^Q_&Pj){W0U zRmB?fGCXbk8$ejj@wd;NrE@5J?+!j<+uZmaYe8V_k8eM2S;pVUBHYN%@KiNcv)nb@ zzJkN#ZP3%&@;%>E3HG&?Rmsemo#g;HdXWDG{vf;=xlLXT1{r%BUJ0OC`RA>nHQYJj zNspSz!XyWAMxLkfu3*eI=KjtKkd^ouUO9B^jm8(PpQ3wOI9WItUb*33I9?23a;RKj zlC@l%*syL*>DE;M8?TB_C4$+CrOX3a`CCY}4To3qNw*DmR^+d;PZ!cbM?KU9;th1KvzTkfmF(#`ltuGm9`MQ=P*M{31n7+F>+C7cSL*3+d({~UB3QJBD9nu+41fiR|i_KvdH}a5yG^-!itRHyd z)%4@NN)TP2YCcmV*}1jY;)XcZ_w z4_GSUYqcJ=m+kfutX@?!yL)|lb4C6ccI0+H)l4*_u-kRvdK=;J@4#!m~&hE)LWXWVl`tskgX z(ZW4O?7_61Yh3O*fahyX?mK&fa^-+a^Ga=Pnfq10=nPmvD1QC437%HJp0=+Oqbm@m zg6#X$ZB#dCc(+npQ|3Z7GVm=rXFyMOy9&_HAQVlfJZ=_P*MefxcUP<*2x&}Wg06pf z1n3Nb!nMS-WKa!s^ydr~2-$pi4<9Ue1ipQff}Cl@h^9W~AOs>nfD=W((3K;{|x!4;H2p z#DE}ShI^s{y3=L@*8;t$i|45GKOEfv9I-Kj;hPKj|MudR#(jx=fhS%`h(o|SID+); zzmZ!GiHt^qL*vKm&bwcpu${}>I3)nN=8Mb)Yrr=S- z^Q`#CFuZfemzdzuN}DEg%?qUQzmkssP+|TRos0}7$if9A7q4UI0LB1WqTN3!$2)jr z{w@0#YPN`(7a$FdX8fArAv|70K;xpsfZ(AoKd1b|xxiQBmgr(_|FCt2t)MLi zbo}*ma0QmLobRskAcDf+eqn`FXcfZ+t0-VG(;*ae?KAG_L}$r+&-zi^I6Fwye9DA} z9L^U>jblcCF!7@Re)BT(Gkm~KH2O2yhw~>ZqPxu+Hba{l(_PKutyN!nawb|ZDm*?W z@@0}4U206ELduF)N83{AogoV?*_F$DP0zMLZZTBy0BBHA9}#S4f)c5DwaROQw4F#9 zd>Ohw`V0z8Zmk+QoPQBHW5?gvcYFVgX;78GBGL)QY$vpT#*Hj?u?jN6HQYRb&zckS z`sGXDW}0ujO+gm(IbF$tg0~fTzIAffE*>I{*FvLeahO&wRgo zt=@yskMtf~d(`Ey@$|J((+Yr_MN4zmz*mRwqQlzDq@*Hn-%%P2DaqX@l%qhO&)m?j zWW~*tt_eOwfn44?OAW~Fr{R;><43*T35&=z1aIg5$fhx;bvMpz)bzdRbbxD3gW4)U zh)4Uvoj9`tEKlbF(-BVf8T9w0+KO!lpw^&faZIwZlJ{v55If{yavergL9icrJ;Sh4 zd{v>?#C(^%+e*et!Y7qC22>jpV&rerCdFwgHG_UIxJq4+)=xxkxrLOz) z?rBRxYJWM45;e6LP>}G?1mO#3Gs+l*EYv?#Di7)Zl3K9~iytA`u&ZwQpz1ucmu(j& zSLvn8*bbsgEuT#HRXo}@&TI>C%zHpYSI|PP@k#9|{>(}Xd5^#88|mPA_=J@aKD$&D#tY}GY*LeLyvw^mM3>*9 z{`b%-2VeG;bWj-XagSfFMeKcX^a%(2?zZHMuisM7@bVb49q@_xE!oA7lodw#*y^d& zgZOtQG|DHoo%>hm>)WKN@$(j^UafjXR8OkNwrdUOAgDbZ<5Xq$RN2$)iK3r^xc-nu zxxD9mAY=DkUIrcn^y4eIWZY>N=3Xf;GX|*^+990 zl+lkM=dGJ8JjxVjE>vFozwjPwdLu*-dE4dZz#i2O^MSjMvVzQYrxh!&J)BXM@A-NbO=KU`OxM+wVqr%9-#OQr6FqNv1y({q-(q^-kLT0KpG-d-X5vJI`3z zOg?=xoL?z&cA5D+d*wqp11L>==4WxzTTE!v@t_=0B^PTb<*|>9|5n3Jys0+1 z$Goz!?Pyphz0_9YT^L04n*Ht^PeglBUHgR)W34GB&AOWR%O-{6 z*A+~7toI!ow5BHI)Ep7&y*0*_4tK#pipXZg%GBhx-KI+bYh?^#{NAP`w^!V11*uNl z_rbdHZ7{}clzV@Fjj^)W!m^3uKHFyO#y=e*V-W4S|LQPV_izPtc=d3MUwhMqX;eQG zQ8gJ^n`CC7-Vi@{xx*H7E3Y8Gj9#_3X4I-2`=>}Ek0WWYFAc^&njd?;%;?a`AgSG2 zG;)g9^{J++ZZINgBJdX_YrHMWr?4TP>7svTlR*% z4J^!|t3O{Xr^}XIaZ@X0&|l!~bAzP0TX~%sgi+ql~_-sBRoo;y`5~q0$6Y zs#~TFT0uK)%U1K1bg_=ZFn$o~r+HQ$<|_di8GuR|P`N^=90ZlRqt@jx?Xt0asXWw` zx`%EC`1O1?jpuAowX59Y84I4mP@XazU~_aWXQS{DF6PwRLu zlrCWYEsxoJrS%X*t6SpW21I{LK((<(S9z})ra1;y8ZRVMZv+s?kc~kN7wwra6oBO|N7Du{?ffI)68HP+a;+7OghTn~RyXWOx%)H80ra_TLK zVj=0KyVmz73Gac5v~#TJN+ux?Vmqdrd*y%ki-rzi@@3VCO0Xmo>1f=%$+479JD=jF z3w|@{R#hXOMtl9-&{&z|$CnX0e6J}#6g=~1O(wJ&D9SN{XYk@jc;8&!aM?8tG}3OM z*jJDDh{Nk9+tI9Gz^9{Un-yXmH3eO(<%ox?qx9^fG6O~?%aawAzd{fX)tTzXS2TZu zeYHl6>XiRbBZFQqMG(%9?*I8|p>vhS$6HP=U3{xI78Mw4^-|MFYjxJZMP&nU>q;p_)dI=8DOqX0qp;yPV+k zFwHaW8pUXhpds_9kxkTs%l5`2OSs_A^Xy*1E9ijq_Jy^n-TMjylT8cmp2U}r=Bpj3 z|MsfE_v!|{oZ5?tF0#F+aoP6IPYnqA?C5>Ttu3?mJOB1=z(G_Q!gH6guH6kj9X5q~ zvYTAX>qo!6F^;D6zIBvO(#wE#?1gEvLYF6jdV}xWjOZ1G)u9? z-C6%N4C@Yo+z%i67zFU?FxmiN32XGqD_V;VER=TJMsd*Nr$W)+^B;57XvFkD;2Jr0uK0PQFh)aC|Q%1kWCpQU1 zxTe-9`yaNhb=V3b^h*FHwFRCi(?oBccvOe@`mEIU%x?UkK>T3gT)z8gzB?{~Ak5bM zy@iO6Q#GwGD}PIIc3cR#tu6GU{uXELds^znYNmZf6COk)*}hi@+5S7BAN+gjm}>HfGUbXJp8w#?XP=y)K*iTi>SN;G*U#7pet69pJVV&hi#)SnRTP?$+r|> zm)}wCr`NQDvZLUf07RM6glfoCC%rQzVQXqeg~lLJp)t(YxR{TDgQvhnf>{jl`QB`!{>)kB{M6g(^+uX!&L2#6H>4J3 zKjC{QZVGfZlMzN?`r{0ntjoo;%8VEY&QSWVGb{-2&mRgTIK%%aB=9!Cmpksj){&D^ zo|XUg#CT_$q?S@UPH<9{n7@k%Gz#yeLc47XQmx7j-0&W(as^Z(s($4UeYY{N14;(` zVCX-75bRChImM6ihk)|h!3dSWA9A0J=f(I8m7w7Tbx#$_Q zVA|jcaK$U{f&UUB-R}GA|Gj`~Ok%dXIKP`b2<~hWI{gcg6aYkG0JP^{h$L_4R^DJP z!3+Lpe4#3(i+}SQYwRET6*m@@UF0gl=-~A@+$ddEW zs}%9ADsFF<&Q%+4q@Kap5zAFRxyW85@=`W*@yh@$!y}^rWZ+^e=1viql7;t;^dfid4)ERGa??BAB8%c$jcOZCE z;EYPBRs46UGhQE_1abqnODF^ek3tV-{YAFSDxQR(l$_`YWL4LfA@I~{U0a5a_F}Z% zT}#)Nv7jK8>4F_`y1E_NKw-YfoizNJJl?w(@{va&rVB-iciTmd9v7TauD(@fIz-na zuP$<=6W5+PN(rWAC~{<(!h+3B!2JVm$}3kX?AL$7E)1P_%96Jhg@HL;_-id1jpXpw zVu&9w+ zV6|}|jGxIGuV#lLcnGYUJXmjJJu~?NZ;*%SJP?yW?SP!SY5~{6)-Qa zq7uOS|@-hVCo&(PO@s1@QXu&@SbzL@(z*x;6 z#+d#v_GB5)*dAVl_rS&jLyTDe!N3hr;e(ao!<;v~ll7lB;tqY)I*&fgEtJ*gJ7V}`xXFq`Bt1CMYk#1= zx=rJj>r+`%#8H(;v=Zy3TJvyh*eeah8GeL2!VXgK{fE)`3uiW_7A|h+UuW%lH7_PS zBHR;uzRCl40Jok^y{v{ScEKHIF+O0iH=@eD{PQhe*{f3t7qTVUz6=}3Ny7P$^xV6( z_uzvY+M`pn8QOI1zt8rHZo^R^0mEr(vEC41Uvvkf*HQ}Qc5BYb*Yf>t8>tN|*y5fO zhJq9K7@}${yH3sa8@V+7Ru^|_@9*JJhuh7 zkDbZ&+L%|H?sLkjtca>6DAw6B6(>P}AB!b((IOmlS`OAJ0|b%zsB0%wjgs`Eyk-@mLI z56|hf0C_e3Ki1wn9?I|iAIFeNmQo~HQc+n`k$p)aN!lb^DOAQ-$2N8)vXm6n*pi}> z8nVoct+Fp6yO}|D#>`}CCMNvOJ)>T)_h);2|M>k;50Be@pZlD1UDxw^KCg4`BPjyx z7);991Z~2ad{!={pN!K3r-Ym<&VO5~J+oil%TFW_FWbk+SG9nu9l`*Y1K&Ni-qxw7pUJ_pL^JWsWqMtwsHwhKJItK%eNb@x=d zLN_p@wi8&pg?c>Z84;JB{fM&vSP89CMIm1v^lC9_i%+2;=raB3HdX(|<^e(7?M1J2 zoLrHijTh-T@RCf(h>w5~i!OoHTct|pmErw1TB^G&p4P8q=ZT~j6ur@NlCR5w+yAlR zxpg?E>9FtOeuxHl=4$Dp8hc;qP*i_NyP-e~gs(VD_BI60~tGp*6igt?qYD9%h$tK-X$>F&$CS_bQrk?JTX}FA)1j1NI;MYd93orH1WvQ)1l7`>&pJc&3Ow)9o31*$FFGCsAu1>4J~f;` z_Yhpr_@X22B*xgp&~GD2C5-z{Nv;n*Ysb$B11s=4XJQ9oMQPM<%>~KakpRIn65luB zY?VR;ACY|oAH8PiKW#;Yk&DeUUFj>sl5L(zwiXP1w?IMo{sGu|zd{C)^_Xi_&dXgdOgS8D(^4MwVH_}X* z(+LBG)F+mHzegp91}{qZ2{JfVl-yIDh!hRcGZM=KQ1h)k3A?8e;98Hj(h$!61!8qZ zvi@~Rd3#2Mbb#pGK%WI++WS_ap@DMYXSWXy$z!Uwsy6ytGuGLAVDV|pc=*_bz5%;^ zuYbF^J5yI@R^%HP4(`rKala!Xt7gf?tD|L=LZFmz+->QY>|D+rOVgLjk<*JS2Ok1y zH@NyK0sF9p+pUm!Na!4=&^cbAcbO?Sui7^mq+0n2m4~Gu-G_9d_j5+SPSrovrGM(@ zhOG05Cuh*B4|Y})mSEBIJ2uM1a2mZPCi8$c6I^~gJ-%5$~V=N!@ML|!5H_csVOanfFUZIWf8ztN4Q6F8+4 z`1C(U*pJ`glBR>7xa_;j!x_>E>!cGrxjaw7JYzS5x3ZexjDC@-UzT>uEk`cewjud3 zZ!{6KP|PDu-z0t6KzZH}CSrd|v^b zBayJOzItP|^F?Vj`?jXMGhw~WT-CLF(6kRkUJC0a#;5D&oq(IfNnhNpW`JG+HxRos z^c7f_M8GA&%*v+fP1VlM>;@h@=-snNZ9|MXm*XLa&}a6kiQ&D?K{9HG#4)=LMm&k{ z<#t|gvNlMIF-o*RjxvHJk{ff z1&-n6dpXh%x9fK{R%EHIaz?kfEpt|5;(8x!*MD@fc*X#pO}Ve1st>Q_b{yd;Z{`C( zae$x7@=!3E0k}>*VIh5bx7wZ)LOGk!nJu9lj@~w*T%J3iejSDS1-XWn5c=TXKD39g z^#KK4$F~bdlfdaQo2wDkvNz_X*b_0snh4)N6S1z^`S99IhWEy8{AVV^Su+Vg0Y8D- zA`V=lh!^v`n7=wuM(qWw2bcczfKLc*$?8F&;X21dszTz*Lrx@de?do1M{o5&F3fg} z*qAB&qt}=7lGRcDYC`idy>amBsOt5OYL3DmTd1x2r%d2mwxDlU%kILM>cf|K{&wQY zgWl}j7}L}6Z(xsKYHzk2#?%D?DhNy^Rji90@U*sO|#WrHU5rI@B7Ov z8;r!*a%{IvI~LVhTq|6D?e)xk|E#`cvFIHZdp7xW<=KKpVZ$-_E8(BL475Gfhk%DA z3|0#_i0!Z#HPi^N-*wFEW38|RPyU`Y_z>EB7`Z`=TCA9UL&DW5v|6}@`ds(XxGwc9O+PDX4fS`_5hua1_^m4jK1#I$*; z3RyxSY!ol2x=xG@MC+t)t<4mKM7OjP`N-TdcQ-Y(@38RMTKe#cq1(zA4xxiG>!)k< zJN;#RY&RKos^Rru7%mJ($Pp`@erZ8feyg;ah++1+jY8u1yg(3v3i@zEqqbn{_})vP zC%Gr!5o*iNIWQYG8(1lyfGea=N{IdmqD|GchSBVq$@>?c%*Xo6XzYeTk1(9n9Iwq9 z8SfIuZ0P^ZyGL!xgoPw`d-uqxO&P#-W91MNm$f~wUD;I)tb}2C@-;9qS2!`4zjOTE z2)^ffsf63C(J_Mq>Zc6Qs~pv`M%;G6Vi=5nmS5Q)X9N+zw=0=%IOaG6P2lIVFj#cF z-9>12PD`upl9{YXWes89DKLb!Rt!kd@ioHFul*U605qsL0U>c;| zL$cEmXH87%AFLAo12X_|phl?c%ED4EK#3G20L?Av(Q4V{T@cU*vTjU^j=#Ohk04_4 zF`=kHZ#c%8VI_T8J5lA5KDbDPJIqNZh%)2H&?q5I_Tx z@qvRYXi`RaZ+P#e^_aB?KYv3Xu5jCgAKK)_XUFH3b+}t6CU5LgjFzKD z@N8r_i5L?3{dd_GhX`Bjak&A)bnL%|=`k;%V@7SAd#{%giv6>Yd5`BeTO7t$a-@o9U$cMgp}t0U$G~W{#zy85u*=6mEy0(4c%fz~tKrvEkc4PTZX}@zWB9Uzz&iw6FOQ!a!IuDP)f7<^n$8e?kAN~wC)vKkmuLC1M(ZBMP9;TUlk-!6nt z-h%~IWe{v&Tpz-Ee>p*{Msdxxzx#fy5$5Ls6psfmBbI~xbb_LOU=}3PBG$;L+^mtg zutp{t2K8>oc76D7U@x6$VRnCV*!}5X^@lasGwRS-CwrsKJJY3Vg&VLtEUs??5AT|S z@;ZcunJ~<3QyD-pUv|g?G6RLY&p#pm4~ki$LbhA%nNWjbbdS#t2*=JohX7yZ2ml#q zOei6Fc6_L@aqJmD8}rayc6_{L$4A%S&H%&Q5!#Rj$mVd&`FeJ!U6)o1fvjH5G7KrD zh8?hue*-r2tqU8;3OA#3b@bxo9(w-|7{vC!|BdaK)O(Zw$R}TtpV*y#!#9*Ct44T3 zBw$G!ZyJCYV)x_}=tWKNJWgi~NB&D7R`MPQbHRVTINs>#QSOzl?|PP209H@aZ=CmWOs1NB_vG0e97+ zYt6Z5-h3KD>A0G$)}LrotTlgi7F&`C2==a`Py|4XKUI^9%WFy-9?3VTvhw^v zb&i7;9POY*03A^% zxYgxu-@veakKxua(kBU0;YUToN>#!8S+J1`=*yaV>Obgw{*s)Km8ww5c7sQa{KB{9 zrPhTVC2Xj+{?idxs3Z6F%N$a7R$Fo!$xk|6>@_p-wSLc$we3d%6+lLN!a>5F#M9CP z%H7kGmg&+b$D%eAO$p-NV6(DMfRu~Ka&tczQU4e|?jKg~7Rn8>a|ehEK$|ZDr@zee zSA4+Pq!)w*5Fcm|6@SDB=D$(@i+{yO@;~AONCHTF)Ud?IpJ0GgSTjp}IRBv-f01en zn!EayJ; z9G@fW;=meILAn?T(Qe2heUZH;kVV3KJ2wEu0laOU5E{r&wu(au@v;Ze!5YL(5YZh{ z;0D5yaP$|}B`7Pe<={@>`cMNP-S+>}!2c@20O0}h6wEGLi*Brb1(*=zSRB=_0GF|6 z>2gURa$j`|V_tW$-gavZQvOFR`--h)%fi+q)C|iV4#F_67meVz)PVNkKFB7X(rP6? z<%B>g*s?ns$^;*`ir|dN(mCOUYwX&mRI&jC-X&{V-r{zA~f0@l1ht$`fvnU zP&)aq0+Xyh(GL_kumm_a6jJ0W;k}Qb31usCFujkEGHOn&S$A4Vj3^sLqW*@|S6kDy>WK!61r)uTHKJWQ!2FBc>gxatnuLl5 zhMq!-fUaHQR0f=yonJjUEDfUw?IIS3w`O~N*$Sq+#bDEBgEJOQ{ig+m);OpOq;L+_ zgRlol`Y?wji>3W2m}Vou|I`~$McKaD2Pq(Smaqe`>X&RT%W6lZ?ZP3$ItXk3EjS^e z03d03vxSd?SN(&XU=YUP@*8A3U+F=#Z3LoiYs9K=jaUK6%eQNbCO?z`UxNe-rF?)Y zGpv4LIN2iT5Sz@1t(g$8|LHF1f$|Z^zoBRX6l?1!k#AASw^{(nj`R@$)K2T3we zSAp0~AWL4+jzV7U%y5yGWtDUc9g{$H2OxTS>9ZB5?;YONW#! zo3!49#yK#1_@4?8P*0O!{zWXZ4SE0YO-$4DFLS`LL6r@nFMyqwM7~A%%lspO3VyD^5c+?H zq5lYcFWo;PmQ`tpPuTVl)NzNUFGJ-M!_sDPe|}&Wtcrm2$$Ag1{^6LY2)2fOt`WTp zluvG26Q($`F8(9&pb|`{;kTx;wzY!L`jh}rI|0OMe0Ta}#s4IO{#6l5{f|mjTw6P6 zDevFP6XNKU?S+fcayVrG%n9B8Sud&{a|_?TQ2wg3P@G#!KpNB{tU zAVqxs4^3ak_J$V7=_Kwr|KnKyDmbjsbUrqo0oMP=KRfl;0dfot?@wvy|H7e|{`oH; zKd6J6CM!Sy*8x5%G>oX?gJkRfQgQfCj?-c_TTQWzU1RD0KT0w{by$G{st_!(378|x zeY|!+%JPK@i=;=^3S&KF)V2UmtV`;>@y8KoSaUk*!;TYHf#+5x;0*t_F0(6V*Qkhp zMXL=P8ec<@_6O;K2Cf87`2Pk)Ya$Vpfgpo}8XOQl`fG^KR%M&m=I&#g8!DPWRq+3= zgxFxuP8DnA7*H7!QnCS@0pS8+++VT$PwKicy+xJiW~1G%+1&^n6ru`XH2^|sYc@&) z5(FtKP*q-2R68uPKWqM@%=UizD9P$HORb$H%tKXjR)D%l3$RryFcYL&S#W!#4N|S3 z7GvZE)(KcheHD5<;j_xWkeZbP0_#8y=uFS35$@5Qd6H1QVl@^ZZ$JNRHIFH^xh_P@ z-kU#pg{em_p3!48!4F(k5A1xYDp8^6h0YT4A%F8FXSqIawPP})T=K(4ml|i&&BYi$Gu|FvZnC3V`h707RsxTrM)|_nGR9sv?Uk z$*7@Zmk89*7EJ4@ic1RZzoM#Skk?L->;@>`bUuu2V1!L2V6sUEt3|uzx-h}Q)NnIG zaDd>iQtOH#$s01%%~Peq_fbya$ESa(xR&x>Au4DkUqdv&g^39FjbGpUKOp z%1a5lJnZn8xkYH)UMJbb>;3*#O?fl0Rw`kg;gnC_J5A5Z$P>c)TCT@A-N2W8e1C_v z+DU5Cy)!#5*}MF!)U+ZrUhFm@-7KR4Wpv9S#Zxdi8H;$7s|DUE2OjIHwF@AEQ<^Zr z`S`CRD>byLYkJ}CPO=wf$=_VWkZ*x+d7;<%~4HsU|IF!SvYVlj}TcoDVNXNiW(@_=V+Q(qkQp_sZ-D8_^ zoB0L8OZRkewiSCtm!fm#3UN=*b%wq@9dGMKyiiM`fMwCM3Al#37O(WE-ILDZe&e3U zGR}jY5(tg^Oi@Nvx(~C_l^HSSC6++%2q~N=E2$@{<tSs(uPEa^aTl!DKGSKUcT^Z>k0@y)m;uQ+%`C(n&%#uSABRs;0=m+4 z%hIVCW-g(1WQ9knlsS;WO(2?#J}*Or7GDfa(F(3D7D=Wqx^4|leyKD8_F?$87wxec zLmHGQxTSQ<8?t%|_H;ZHoUxeeFEyn$k`btdr^MZ7nNe{z8BVX|HKs+jt2Z^0BM?QN zR+8!q)??n)1|?~A%aN#|lh;=5?MCQ4O(;i4p?sZ|KGY|r!S^+LmKhbr3Zcrq$i;iO z#bIAY(H`aCT}C9&N?p%CJ4xu!P7*51W3=LJ9KIq@QI(>-z)6{}Wa;)F$c@{Od~tY{ z6j7c|iKg0eegZpeWN&MnkYkmaPXwf4BtcLO5V$dw7=;LlxZv;CqK4Q>hs9PwRnOZ$_t8e zi8&*aICY0dz99a7>SF)+W*dkw@dT`WZL5+5Bl!mLi@!uyd&-!8Nmc2hw_n2U$BiVa8loqZdBjI;?R`P-7(pR}j$Vs*xUKovXJKX4@{J_>lH)JwZ1N_0A;zV3~meOP4S`ZI&`+}DeS8wX;MiE|`>7tA@sWKzoPU5f8iqogTJBtVSaO46{2UP?) ziQKE(Qx1U&{(C>|@(dr?dSQbZ)={xi3>0i0qxspEOxy$@-Pc?&5{(mgL8Q<)!7jSM zcrYyRnzXqaBeH!SWfW_F!TQqJIQ)o?M~Zi+q6#9JvMk`E{#BJ4TSo!&K$yT$w5b`* zJY@%(=CeNNF?Adyzqq2P=*XALgd^H?W8^~DRS??W0}@APyfr7!1_+Ft=mn4k?Ybsl zuY4-CrfUQTYDOErJflFJREN0SiK z#=2)_UCp}vh_{|!%zBohs<#@qy)3A0HHj*HPCg0uDDZLMW0}eGSP^5)_CpF0PTYf< zad)yS6yGX7>gi4N*lR}~!C^yR9BbZO+fuNc9;sBbE`WHh){Q-^MQy4>GmjNM^u6<- z>nj;SJ6f7mk>8hq%JJsq5#w*m1Z3r8ug(W@x49MA>ATbQ8g?qsz3tsO!n>`m;7CHY zCyv>AFh)jX111A$ZuiS`NB~RL-Pa;8C3;@UPs>V`)Z+%kL6$>bi|ci{HfT%=lw>G} z@cQ;|aQ>4OEutlr}^~rwW z>mxo{ucBY>v=hMFD3d=Or;4$nFK*iUtzP7@J0LnI-qbf-nTvi_Y(^FUiG#fpC_s=1 zCAfT%RZ7dg0q9k8rEl90=gZ4O7}>yj!j1T}j-PG4WnjG@;nNjY`aEs-4#2eT8q>l9 zYEV}us=-+feWD-(=i)ubeHSIGz+sNHsH&OZCV)-&YSfi6f58vIL4tW(Sr3FYsZ!+! zV7b6Epv^+(t%h~3&_lLrNiI`|93HzFMjfS2G7g`@oPKCHb7{IU8h3u&?^bd=cP0YA zN6qYPw1gRZzrUbkof;w;3EeN5n1CPOuC~Ru=6*PNU(d0e8?Om|Dqv%2(xA!L9;ZrJKH9G=roTnB(%m0?2+8_* z$xXmC(z8&TVvW!&rvdg68)pL^lRv_mDbu985r}V|q7CQRsg>jlT!0$>Om+3y>RYcK z(S-~7aQ`?v``{i0ML8P`^N??X1xD`Y~$F7GnUM^lwdh8H&KYG%)RTbZCR2uaXJC1LYB? z!|;g5xp+Vf&|K34?kk$q<0DZZ7TmnRR>Ii9aD{y~F^ViGs#j*O3X{QlvD4`ugP5ULNQ z3<}=ObCWcS>@M%#7<}=bUIN$>R`FFT%rgm2)_(Mb!_~+!WAu(fEYpJowp)WeZOOy%<~&vU$B}!(!X2*3kXKjj@!;p;3S0gNp|BdHlxUUqH(Xu zj2g^^F($H8jN=QL~h=(O@Uln!*O+Pm$_ zznqnZ{C{Urnq?S>qk#&mA?k_#jAX|ZjvmV3jn0<^)hQOdEajPkW5$ywL zksW+0fxPeeCLccV3vTcb@Ne_sJ#5ZK014ex$@=m%Un1B{*aN09WJk^b%=>Esqbl_p z_;@m@MMiE_$Bz_$sHBO(0brgLMeY+3O@NfoVu#*9={&$;!Hr`8#<+BXMZS_&(<1=_ z&Fct(J-P)OeBRHOhJvk@%T9CXkBN#(&&1PZf6?T_gONie*W6ksG%g*mskTFls}A_S+-k=__sHEJUsvL1m< zhoG$?S4b7FuPRzz!@lCs0cfg&Z!C`A$+0M$UP0%BS@mj4<^rVsS401ATzzI=Q2I&m zeed&g;uuwMs|`5Y@$zcGO%2q|-BPIGf4TT5th}n!RBb0MRH=;DHp^46jF;*a1H_bK z!5DWIqS(^~2#%+H3`@xA4(Xn`L3>$W?7nqkyr6{p+wTjL%iI$_`K*u~U>Fq#zc46K zbW5S9M(O%V5TM{t{uP#hzD`iT=RGgLj1HF9`32xaLkbF4XY9^j`crDu3dx|b>FSCt zzrdE)$|0C{pLD@&1LHUo*K1$NZZ@k^&~9Y}Qv^^|!$ z7d~2dZ&Mn%xEi%iyWH&S2spP+{cHIytn3IZ)25)SUr0yps330=-?w zqiF*z9Hp>(^5oMx^Mdc&eSny5ECqbTQFwA3n7x+wmQ*e8^b=m)GYm`A;ZV2zbDa4E zZ(V?+U+1=9i(pc{Qksu^_p9&y6DPn^e29DQdkw*my`^``MA24M1)FO`s5myWxle`|Ss3RLc){Ja9lJgEf4&rTnji(UWA z!GB!KPU;3UU*#iA93`Z?rsC@e{il7t{C*bypeyi_ZjIz?BfyE%mt$CDesmj6W2!N! zl}z-q{*my0__#~s>BL{Zt!om=^sB+SW=g4N zh1>AF&Q0GXpD4!XqMJo!#Q$N0*!*|7gb**m8ITOXoqifbm@2}WyzjeYX`N~oBFb~Z zLy()4Ju(hbhbaMcqWK%Rw47vvKiy%ShNbdm{f<~i-x4WEkh_(&#ZolC# z7+2vC^-HoB9s5JFbfR_(^7SdT*i?^eTLxf6r9l`t(S$uQcwS#UUBts!_k}6Z0hlTf zZIb?iGrPH8AOJ6%LC5N=Pn9l0JJ}&QHNv9G*rcoS6s#k*F9f3zH%u9sr3RFL*u!)@ zZ2n|gEfIXAtf8h@n+YS-sInt! z&uWp1#-w#4ve@2`gPkpE)MgefgL9EQ0o(jlr3=1y+*R-p?VG^t`nGeiI zDo~?~#2v}}b(#1QJ1=}t2p`BP?ANp^(tuaFy|8c-rg384&z8D=K@BP8sPqPFQ^fKw=I6p zM6Gt!nq$X+JF+ScAsAg1SyJ6jDyjkdMU5bsdLZiQ zAnFf_KEeo|c-e}N(N>ho>(%`sPZ@mi%?KBYzekZu!KIxE$DMywzFx+!iT4<(`=ecB znjl*g0i})2^Z{zAWA4*E3TzOFL)rTT`h7Dy3Cohtpa7LD7=Kci$#c{7x`3R8dWgiwqn&KB#Z=Vg#MNoA?J7Cv z)=U$1i2L$q2A3G1y;ecWLTLSxjJjpM(@%01Bd%9@j&G)NYWg3ehCj6_<(&+Bl}WA; z>hs{X`}|aJVF<;s^azK|qW*5s`M{j@yze2{yexL5l=nKZOi-HPA^0QQHkWXPs7Qom znjLKdRXHsplwVf#sLL5+g7DAJ7hyjv_eYO)}dh4J2g0zGpK%}&y=@S zClwM4T^RHIRB+7p;Dq2LkR24|ObgT5(kAHscfU3(>}RfU{d%x%T2_K_s&$zMq?nhb zl|w322anIO)JANp^OgXy{rJ@J4#u8*bh6T7clFr$G_qO@h#QN0@rA_r^;rmuengH= zAXLp^;s5CEp4$LwK zzzOe@CE7u&{!xQtpM5WLPK_+0RP;Ty- zcmx7OvLci?kITP0GEUQUZsmP}^ND8{I$9Wj(iVEEi(f`pro%9y=I)RtyIo*2X#*?U6twm84q-8>gIh>Kh}eU%w3bY8b=*%vMQ|^cNtO z-M0?Vn1UB-2k2Bsz_H8MI*=Y6WOyBR)&Ay?jtY%wM+?VZ1eKxu zv_TjsC!>$6?Tkc7^(&EJ&s$HstlVXSvLkajf_vmNXB|FuR#J#LYNO@)9h4_++Qtgv z&`wPo*p5bxV}$j)K$T=bq>vv4@rzbtL4BzqeT!$jLkkMu)oy8-_08YfLy4CKm}Z2U zGO5VeI0DPp>Ru}*vr2e{jJ;qf0RvVU&@EFbX@W-p({C!J*zN~hn^kk|`xQn`XsQhc z+33`P+2Bs7=GtYeGSTIu^rXo|?6*?uW35%+#I568uV3))@L>-5Iw?Krhz6(QbgF<8 zZmudl&@2!Hr*~H+pAsUmtJ3eus4s+mCtc+$g9#cJ0$O_-8`0CY=v95eQjwYM zJI)Wr{Q5@E&Nwl1>3~N2U{MRzPaXD?TOczcjjwREN|gpbvs>9$KS?y?U0&Jl&tT`k zG>6h;uux}?)|EG~yleT_4;g4>Nc>20hzcHV6<7qYEwQ&k5AXc8jydwy)!dF!7K#nt zy}k<^V0<@QYzE}<-i;$b?#q^=pSFAwm0^0$onBf$fi=BHgmuKAJAZCQ;uj6C z>wT64_2@OeWhXzqq8BtJ_+l9S;v!yPjyswDld@!54LFj_^>t5!G zgAWTg`1H6i!ak@h;UeqlPWP&MZ&_YE*A%(eOX8qG+e_6nM7>vu^0`bzj{IkAZRH@9H z>K5@wFYoNzyTWnmI(dR8a9%2NN^pp$!$cj1*IDIoSP-I$Oz7EW&$^6^3iB%3UhC@R z<}fAJ%vf1Eoz_XU%|3GC#Zd9*>_|`a?T`}{{3E%SWZj0(jUqw^YcX&Gb&t82$myO- zdpq7?_QyIL=drPuQ|Bd#;ex+?!g{sDOpm8OIwR|*m`0@MF=O8!b9h=Z^SiIK|F|%z zcvD=b2fu*~&ZZF2v~ufDJGzq^Pyoj7UG`TL{ zx80~j5!S1ey>el?*eSH=`{~*$ih(-K^<$Hwj5?ZniuC+c@6frSuP!8q&TxE0Lv28x z-^*TQu?Ls9e0Os8_@2)qOjs^c5A~kZz?xihc<5N!EA8bO%s*G7`|JhlQADUiH;@}W z<};!a$jZBU&!}&}T9az;Ee-6E$#wKte&{`UxckiR&YUVrD^BkDl)j0EmJqL@B(dKH4mpJIIX?XuW0433WW#&8jUP@~OjDY* z$;4^g)eNHTb9-VnaYbECyBq>P0v$_lqf>UuYz9x|k& zklj?d;2hLI*U;(1(;joWG&1CBhVB@*dTjO$4jis~l#b9P*dqU;O@1H!t|3Z>Qmx`1X60 zV)8ZZ`}Jnq$%p37rt*gI&vS>z9-Fd>xcx)O5mZYC}U{NiZ3 zdyP*D{m@-~!ag}aZc>#m;pZpwS6YuVG`N30Pb2=!V-`wA5Dyig)|Z#u1wY|GDerI} zigpO-hm7Lt&Q&^oSJF_~Y2vLz*BM#(kNqAcmB3Gn5knz53qpbFr1Iqjp&M_Yw;_qm#ww6W4R zTT3}amt@dTck%qYvLmvOHi!ppBvNRE+$f#Nb&`FO5yumSjuR@M<0zdPZokHP5d64s z!W=J+=#0Z&JDA+(Kikm&Oka6}_f?+tY{?mTE2h=8RY`lH(Fb8@GxFd*v^J%KYp`TsTEzU}_6FY^hG-_%%C>0P!+7Eb}sQ{w8xi-cm=Hq_y;#Hn0Q^NN#UJBUypsJ#Ke0r2Ufw&DoNvn`M)=dmRzZqF3Z17 zkXA7)aeZqnK44|0n)_u^?O>MoysjjCl8+}pTfS#9hVo{n{+(ZobHz5KcCxAXZ$8NW zHYz9D%;D#-?@$gDRZ_|{U01RwJBbj1xPm{Rb>>!2lRb@@^SSL2}@U zC5S8J33ZMAFKBzRa2>#--R^3@liG*kn|ua;S_e6->`Y_%n>~m#mcLC(Ib#!EjrJfMK7wu||4H6(H1@yXi&(^+L@gJ=vO76$)9bZDBVo?0PexDpA?{}NpY!C*Vjpe`ztGj=+Q0Ye zv_&0Nt`><7>=X;6$ng4I6qtGaT4#Y?6XmM_+#yZ1TIBcAP;%LP4Wj2ywi&Gbl3}2Z zsmXo)1w|qG_;pdrgL?xtu>gsRhkAHRo*@Qa3OC(`oGu7BotBEb-sUU6TWdQmuFIjo z$P~oAXGETjdyqu>{nv``l41`}#||UpBNGXYUqzP^ps|F5bfb0lhJpHzkB>jyWL6oq z)7{UOP^8YWb9EKz;=0`>XzJ;;+zBO{PRN^8>#<*C!aliF!bH{j z%tWy!E?sg*t#^*plUlb$?^ci(R{OQJlrUI=yuwYY7OC_1#lIcD_;FdjlQfec-rtY( zvT5T_#pxMO$ab{&n?MeFZYaTpG#IzFZI3!--|qJE_Zf#bz5Mvgq}6C&T3`08d`s_p zDYcb0+={E|c^a8F@Mf~#Rb!2PV z#=kiqp59NKPViMNQ2cebe)n#{_^+HkMp~BW zg?q4+sd1FD*H0a}aQurmQwaQv=Cdp3GN7y`M5*?H5h6mPwPo%VhuR^T`G&pD8l|V+ zB8H}!C%8%=dhkW5zPv})qh&C30l7UOVHy0_QdueR!ZzuhSlcY}3}Kn)XsHP~Rrtq^ z&`=4g!+X~X>k0d^Q~l1mSi+!Sg}^Iu|Ht5gtQ*Xd@kQhr8~kYmA1>xSxKtw3p|b=o z0kj_O`EJUP+kMB(rFjLe{l)R&&;F**56{UyL`y{dq~H9~qXb+`m)}vZTN3+@79=($ z^9OM#=3-4q;nojNu9wqQ%^BxO-Gm&s>?ExSgrmc$jYI?vtG73KgZQ#HHIU_D-$*u! z7es8f*;tjEa-5_THC=-TE6HHn9eWd1`oIrm zrkA@N@M2GkT~mo*sDd;KFI9zf42gRB;Zsgk3t(wv;gkLYB)NqT)tFG(PtkpMH~Y{x z+!P-hQY8hg#@{v6EqzG~IwBf`BG@gO@hv1ufXK~ zoi$TSc!}-SG8~5-PVgo;eO{Nr<;P?iXo1W&0UoH6UAR9Y5}MNG8=r*2B8EFx5WLjN zXVgbuef6Q?&I4Anbo-9?Zoc5%`3QU6?LStmjT!2~4gAU>%x!Lyt4C9&BA)P92lPe? z+Wy{Tmbega__X&TH{`(;6k&S+iEdX_hFr^E;a?+YJs3j9Y3e3iOrg%Ns+L#il8?2j zHLq60K&}-HHpJ$8*_MLC3X(nhpZKodhSIIQQ7mPI(27GHUzeb`PU%~m$3~*t)IKj} z-ZS^Qko>=LfWE;6FxsZ0e*FT^8}H=4(Rz*0GC67UUao|fB!Qz8$5v6Ae3ZK=ybf1K zD!m{uyCCtzlv!7G)mBBvqEqc`DxQ@k?% zW@Wd1OQncackuD;YIzB~N*$5%WU#4nD>s6GU#Il3$QU?^+{Cj=cjoQ=ld{EjoGn(q z?{DIArs-F@4!Kq;c^ok(Rq4!Zj5a9ntFUAF6?FS*u0(Go4@S>8RBkcVQ|S~rtg`8r ztBb^yXVBHU`o|N^LDsw=o4+d*cv30#5%vm|j;tCq)pc1?pYIdMeV2jM@3>h4o7Fgh zP$w;G5;%)mpgUJ(YTIpBx5XS^bs3p%SD>hVl)>~dalFUwSoZJ5z0?&c>hknTREk@_ zAywy@)6lDedGomSVt=N|ZBO%NADGpB=bI_dW3ng?UF$RP?(d7$AXEu2G%nmkgyRaQ z>8#wKm5vNOs8ovBbzJiUpfd6^wN7;jW}nQ^@`*-2OJ01rg=fCw6}`E0@C>|X{5T@{ zAkSROPWRiYH`#dwWoEbm7vuJMrQli}1YhSFAquxZ;1ah2)GP|%^?O2njw@#YelpNx zA7QG?m$qcVFb=y|<&!ifUQq^!{8 zO0>xooL#Jzdjrm=x_$^YcT62OG zD|uiEE1#)Jn1|!<_6>AYzQOg(Dw-5ZKuP~Y$wVUB-0vc3@s~&&%lMfV`+|E8_+47< zJIZJ)vAX2xn%%s3vD~ttfAhhjq9CutadYYFl=#^+o8-7VdmW?`cI63Fu|wZCXLb{? zMf~hr31#8qHYEdLj>grU-SZ7V&Hiw@_Pf4(`YYp`jtIod_Cp@6+#mjts`hI5!7E3t z>w!Hst|?=C&RYa;HkBy(5qazF{HRR4dzzz`W1t7Qe{ncy+Hy@eDHB*?7H;$V;c>;S z04PmKBFHmtZs|l3V4?5dA_=F|uj$pXeIWxGdWKi%Lc!K*4@-DjL7&^af130*{A9cxNMAn4B0zPpr-h<*&QsYbay|e2rwSmNL{e!+5+BVNGj&Eb$guw zc>;95Y)9Jpk`z;^@dibpoVJUO-mJVcyhZJS1oFx#0{rvs3{P~`!*+%6)ikI-D0(4q zqaqgu!m=g6YeEjG-{?si$%XkX<-(>No>N0Q!)?s;u!@riV~L}s4L)*R!JV8x_j}ao zy@h>~Drcg#bIfO4nqztP%NJY>VR*}LURqMCh*WI#0y0Cx%~9`~Bvuiya$Oye_kviu z%=Q6Dhg#b&E2ur)*S})kE>6Zr=4 z3UHXIRIOoWM^we-A=P+T-o5wZ%eb-ShWy33+fE8eYQG?bLFrZDB~|qy^qWKm?6j*Fn)my6c)L!C#@q2L8tQr=R4gtvQH-bNZ1&N~r(93d-I86! zZsDS-x~DKhhdyq5`h_1P;zJG?hvz%6UhN0wYeHDX5|+vc{tSvG{4+gIyE`ca?NjP> ziKu&H==3=4KX@(n+Hs1o*ysoS}yu- z7sXEt98%@a*hcWyE-j)3S@N>jD`4V-^bOu1wX}NP_B)$ixTId%^JUPfKc75mpKpVq z@FzgBJVP_N@9>_vPwe(Zo|fm&h;ypbg!>=0#=oJPsh++@ckEF6=(Knmfd2*NiHKMR znM<9va{ol=-+Wwb@gx%A2t8wAVC25kbDoi2Y)QBP0Za^Zd&v`O)*6_N1Cso6gNtdm zT$xSUUES}*99sBS-Cb_qXtB20W(B(6Pmy0+JjqXU$AvI&LmY&(64EY5#KqJd%lHAP z@!Q253lp1GC^uGNbr&|csF8NW?0STKad;PFYsqI9 z`=&v$uAVV3_{R-(ZZe^t0gs=ge%XXyuy=czZkxSX z#?G^V|9T=yJ>{A5c+34idZJJ65njw(-2>BN`@(%6V8+LhG`g)@Q95yl4@{1rFM@@F zlEPv-CBVbp2yC6oTTtE7em%zJk>9kyfp{J=#`GRmH);SmF%&*H(xW zPlrv(QbT(O8QXm`g}1t19`0IQ;t`jI0!|!ZQHkxo(4_itF~>8-$LN>WEWcw@uijU zCZA_&N;j-Rw~L-nOOn;B-ub^+86 zt$vH-T7;v)G!^8Wr|)Zsn8}Domp921F6&Z%+V#kM*12EIt51Wa^QKHSYV8V-EpJLh z*L@YWEd3jN)cq}hKZ3)n_u4e|pi)H>fBE%Eaty4Njel~&QML4W2>6}Y8XwVV0VffV zdf9mLq}|;>TcAe7?7B1G(c7MF&DfcP-Ky-2j)_{Do>Lt^itP&k?Yai zR7Z)nptsZc7y&S6P=Kf@J$OpfQ7WzcEI7iocmgBUM6;S9bO4Zd3uwj2Q!QNUUQ&*9 zehcYI0DNfKR?E49R>xzb{v+tJR;C)YtFKhKA zNcn^UYH_oGcg5IqzRBgG!Gg&|Q9H@k&etNm|AbztN#XnVy~<@9hzELxZQ(anc!gPT z-SazmQSpIW|0`w$!iH(K?s$85uw@D9T&9}Lh)g*s{PjBw-3k;kD0$ofi&H^+SN|3}uBheOr= z{})N6s1%B!w5Wtqk(~-Hq+J>!l5Arq+0ts4WF1RVlwFvyWT~v#_hF2YeVdU66Jz+k z&!|4n@B01mJYCmxPUD>WzTeAhc_VXMk;-W1o{y&b4lwj8T=d8kcYC*{O+E3$oOe5P zX_QhLd+wKH&judF(WSe~WiO8&ZXh3;vrJVIur^mXApSDV1+A)=cgIGvPc+cslOGeX zwlJ=|D5(Zpp})P4Gmu|UIA%0HX%6o|5YIUw^VE3+(j{bm4}t>q7?Lpl9&zsK_ku;J zpS2qO3I}xqvU-0VYK3RmKlN}>H2rd;H|25Y6Lf0>J}KV$(Fzm+~|dn)jtQ^`Af|g>!hOhf3BqI(fKK=1zY3$;{zo!+UjcOW~$TEj@1gg^ft;n;EPpr zNl%8J_|FXHa}xT`t4n6MtCh6z*FI%IlO75(`WfiyPt&AaB_(TXlJ#YJ7ThuGguE;( zyZ7ACgFY!d&FVo7An#dnp45GtuN262SJ-DZ+~Lf$L6UawY_)pfLVnqZD>tT>IS}Us zK?LGX5La*Gmg(Ydz@1z3RfoOXO6L?L-=vR(5}#oDlkL3g*v(6ZT=W6ORjA@vz8|!X zIT=?J$c5n%uH-O~oG+0EHSZB*s_;fjyS0bGUp^IzS!m<=7ES;%v*gCfD-?n}oe z{a@emRpVYP=vFr7KazRt&I(+)@Nd}H;)b!^jrprqFiXQ&*|hp@?;`TAChoSP=<)fJ zY9p?vy9c%I42qJ{P#;=$FQlSAIF<6KYAU<|#4XramFD5qYdf))%0qbs_0()Ubh1kE zJ;IK>D!J@{Y8ZHRug+^OO+-Ct0Z^;y-Bh<7^U1^#$fp0LBJSL+j=X4ci7BzQHF+$qE!IkEv-OyK!9fTn z$DVNFqo^8xpe<-Y;`7+;_e^0pZ+XeP^i(Q)5i6$(Ou7dRAX{ML6)>}RJo|)E6dFE# zv5x1%CgpZo&(S{zf;rY>H<47d9H)@9vJQO*0u5DuGHUZbp8nr`*+0w zPtmu1a!8kQK243}YnxC|+{;DFlp%&{xs0xIz838;Dtn74{=#9NLE?^)J+IHL&=0k< zkHet;C`!1ukK7o7bQ&c*Yh)JLya5t0-XqjwIl;GgS!RW-SOgNq`He17SKrNzpzGdg zL^9-Z#Ifhr6hZ8RkKIdoc^H0ErMDHxi#eG$0YngC_(9sElyzt?W@X-l2D-bk#5ix3 zb+Gk?=eCo*PLPJo46~68Ik#N}3Q+wc1)Lk1CVkWaHu8YN^R)-pyz)$&+LWX{#|<62 z;_1`+#Wo`O?F}1U)B|R7X@><2T!HnXH?~!uA#4O?Z`kt^uBTE)6%tZ2p9hiuKGt_ja@7qVCxMQ97T0?K?sy{zTblFgo_Fy+~x z`fz}<_uw1c=ZhHbLT?Wn+rA*v!h+0`D~krCW{&eC#^iS7M@lR5s-toIJ=F4y{IbS% zLi+x-$1=%~?Nj4`Vc>`NuM~WYZ8|oF?}d3d@;U2JuqVTrj@jMh-a3{42&bpB0`=O~ zJZvj>6|8W+asPIGqlb1>XUem)!)1Q){7R6tg9Q3e0Dg&Aq3-ey{w9s(3={pIZx}_+MqT-D z3_2l**&7#g$U{h}F_N=y<~|J1GY`*WLJ#iz4bQW7d00-;s009*+DZ=m6l8N)Swocp zwv_{bJkQNm$3|p5W~PMrA*~t5*}ln;iOJhVb-sA_8gZgjqp-E5(ZDBGiMl!Dexy`zc+R?NsP|Hz(zxSK*mt_NUKd19$QO)tzr zVNv4IH;2jghQ&TPdUS0DdvUkk?!46;l5t1eZ&)=RwVjR$$?WjHl+@j42>r2P+=2?{wDW|T!)eKNnZgOs`0%H8y55#FL+J_~-R9T4JU?LdF&CN~@V*{#H7q0r~< z;bU~$K-|%eFQyOe1J?9-xR3OtO4QT8#-#w7%i;lRo%TUbO;5p`tR&?{EY=S3hBQKk)mI# z_}8X#=khtiQ&6b`6c_33tp?Zd9zh6<`7#xn%xNguHYo=>Afd|NSrzwzG|&;Wbo8e{2VSaIB=S`Qf5?o9)D(|Tdd=b$ zfOCrT{m^-KYJK0C{B;p7CM+V7Mczps093HL1K+sP7p-*?VMTpA?ddPiAk zzYtmhK|a$^k*!r64p3-z{8ZcRLV(4W;P1`f-=)LzLLX% zT)=@W+e%HK7zdw3Qvdr5Ir3SB^!1(YRP_>vc&UiPyat zPoaEuo?9O^#gK>N7M!g71L^=-NyyHrmq)gSyKgwgKV+jj$TMs?#^u`n_ zIO%4xXSGkTdKTn*i$d}L^enRyqq;eEYNZR`^7|Up8C9xPcvWlr)z_h520S5i;fUm@ zePHG=8VTJ`bY=zk@0VnjawG}ns|0WXLz}rA!1V_Yw)GI;cPzl^(bAEG^(FaX09Tx& zS2pJ6oO^G4pmjzjzk)_ULD_98Pgn!*oUx{N`;E#P^_}EP6*8Ut1=9T0?s7T;weKh~ zCXOK5N};H^s0=Sqb2Cwy=~&v+;^T%2&(niK(N8c&*a?Mv76onkwWAYJxB#4*dISE; zdm*1i&6fALEl^c8au%yN0!<6@`QQurM@1e{Qd7*pzm1(b1SoIf>?Kayh-1tFrN$p} zEjOxa(g8x)!Q*5ENASB2QX+6*ygHaQOWpy>onPf2YLM^KC+;$dCG{@L$(^+e8V0Af zP;Ckc-#>FpwwPxJ=`@HF92_w_uhO(5#e+;+!f8O!k*OkQ)m%<_S<)vkUW>#SEtj{{ zA+lq3m=j9>9Ujwf)!1CnLcUH4g%jRA8gB=X zG@r1BwP_4!ws?spP1Qg_rDCLx(eNq-6lg1*jKXIPg;5Us%D;)3AKvC69QpQa=;)m5 zt&^_ZzcXWM9jo-$+i``~HiRj7M@mg``Tc!^pSY24GLC(`o8%1$x5 zJ*m82>^U2BG)e!%Ar0NRGOkR0=33AwZ(neVu;zT3Y@0l`dqE>YVr)RlI$fwBdbq%% z|1Ptzx>)mbv{YpYLUtjDBrqSWZ<^>dz@ZRMEoGcKCv@kDUsC~FAlj(d~b##ow4zBIRSiO`<^1mPaN zLfBk1o5Tg008)*@^+mcjC;tX^}~8Db#z7TX3%~ zV%9?|(nwna)LQJHHr$$}+M)*YJ^8TQMR;6=m$4exJynU{FX*;)zy)v7iq8#mwGW|= z3T{D{Ej$rFnja}O;PBr^fu%9x5+>ime>7abBXjAfFgA@gVUm#EfSe%XiB5IQF;YOM zaIMuMMF)`y)hPa89&MDkUKg>NXeyoFJ)$IA*F}oovn#6elTL0oHJJWI{Z`|3b|QyN zh#Qah336e6$FOP3ADSpGYb})QQFWBYrO*CA8#IOz#co-f$Fle}4jE2*cOgej>86#p z2Zh&N!|B-cB|bljT+p2=j-N48y*6D=MvlZ^nYa)h$yUYSQ&4!>56K+^k>kz%Rx^m^ zhnRCu7lGHO=gcbP-vBd61x4DJyFEhZHct25M$1l=T}~p5vY3x%F{_8w%Z-(Mr&SjY z^IbHOnR9v6)JP;d=Dl}>9iZ=Q?7!RA*0O8uWuu)Ah+M_j=ScJ)4Texg};1Bs>ibBva!3UIMY}#{jV+yX~-AfYf)=YUq_j%3; z^LB0bE?Fhp7i0H6S0OI|LC92o^Ys)@G0_KbBPTx@=sX=!l&%!xpL&EBf8KWEdyT|! zPX7;jr-E+WF`6mL=kMD5LbJN82(qC~C+`orRIdw8!8bXd>#KX(S~eL3k34`c5l&(F zIT}>SOLvKWyob%xiPwxHW#+SFZ?yR?PW^jJ&V;c)s$=sOd~6-k{?f;s)$(1HYTzk={z*2 zIH;^CRk z6<(z^PV*Q^8=mb%g-i=eo`O`+6^=AaBWw%cTsr|_u6#cBYE`RGBgbL>Pt<9Wse`ll zk9>mYE6>TS0_AQh{g{179KkK|!A+~|ve`U!V{8nM*MCR!ANj_8bB@n{^0PqQx6fQ%{WDBEEgL4j&kZB(9Mk_G`Z} zT%#Us*X2twP|h!ybZ_SV2cUk@&mLQgbCIhf0)-*c>Fi#jYwg6MUx-ESw*F(1Lownv z5Kv|s=p@?n^eKdJ)0E@kpIFt6epVo zgsxJRLaZ_B(sJ~891;~C+OwFr={`QFrCt1-R6REIEWj7Yz0FW7U$Tm^A|(^&b)Gg^ z6g!KW7ftqj=)T*39EfoDDm(dXLw6c;ob8t6 z;yI076XdI(Bvm=Ft;dS@&fxjh26w?y{{*e>?98X`$Ee! z_L+nBK<4v|mnrc{EQjSD(O?T#`Xpb1^$2b~AI|7V>bV-}%1=ylb5Dl+mGO>u>e=2n z8JUeej{G(5ymOl6pu6xwS^_zl*9H4qNeRSCmmG>+^nva|srKS37mL&}q#S+F{aezu z^*HG>&oRKMK-&u8$KScn*=m`ud`W0(w_c!=(NFv-lt3%aH6?vGRNQn^>8_~5D_m^kOb0W$;F}cK@w{`3@ za%;b;_D8j1EWIp3za0(nKi+tIE2E&fI?UmHU4+@i6_gPcCs3^!QZtd4V7*BPsvMw| z5>;~B?GZw8aztYfOT)LKQJI4#Fh(hYdGXjDW&Ui5oT~ECFp=A+%|Uh+dQ&r&J0O0)L4cRtqW)FolZCiMXw#d-!C2bP~o0 zeOM9tbEVmshX<~%ocPj{X0K=H%ZU#jT4kHM@FdO@Sz0V~=PLNO%Pf3Rk8Zr4UB$=M zvpc(Le`E4btDp!(pa*^STuk$JN5cnve455xq;GI$?&*Irci~G7)M?83v5Gdqa3@U&H*mk-}1&#z=jL{K%c&%_G^b#yWCr`OfQG=V)XkU8g_A zDD2ss?+^vMJ6&rY<~n6C7$EC2y-8koa%RG>zB7xgdj+q2C)-uH`hrn?1 z_V*O|)vkO8N!t8~auhkABX)o8&?M;+$teV}!z#?0eh=A--b^n28O8^w>)dD7j~VtM zRQc5yQZ$EsR~# z&mXNU($NA~Bo(o(CH3DNbENdY%A$}PQq9NL;#U6vY6#uf@l@Ilx0a5Cn(m5Yf{quSl z>@qeG?tZK~) zXZwXqmIU*^IR@Kj@B@9pf$@Y2RBq2lL#LlXvVGWc z!JlRkDORC$AB<@}?Ad!SoEFoZRlIca!rm9V>2it9lL4!&PsHl02);iVv)x{@aqH~l zNC2o`S*jRv+_9aIX5zQ+B+V@h9Nyw&)^2UK=Dy_^V7^7DkqT~Vp3{U};z~}g%Asa9 zL~bn5qBmBO=uf&_gNx7+uKU?WPnw*<#_WLvAlP}y+dp%8ZAK5RS603u65avJLlEFQ zwttRkpR#1Z=#R z&26_s_VlM9dRjh7G*ENVSVVk)KgV&;VQ=r)WW zF^n7V{of{|+Uv{y%o>TbS}=t8ymlpSg3cI3*7qQLV#kIH(r@k$=l!wLf^cu_ny~kR zGh>CK^-{)f)fvu7((CLoIW9$>H>~Y#ECDX^e9eLbeJ9G@chC<0qo|RK zZ-eQNdypY=%W#L$ACRh{&fvYFCp+(oqQ3rfv2JT8hV$30p(*EGwR!JT+%f=F zT)8+tM)q~h*og{ zB+Q!Co$t)7mYE=m7aCKqs%It z2OeMOSD(i3nj1Npp=g2<(A`6VA)chyz|CJe%`L%W= z*UFIx$rsYtQ?PdY8IBe5NA7CV`)((>ne17UkyMp|R6(Qh4j@u)9ADLFB#xsEOuJUk z+b`7Rj7-b*Ps+tPoOEkA-e|;2oS%Lo&d7<9qGPJ0TRn$afHsH?$|n-I;fC2@vlm|- z-ciQI=+Y!V%Hr=Y0I7_`%Y!gsLk}>X{x;r+-TQKB@qrPgwXJh=H8SCnZfcJP3!I^O zLW_&xw=3vsD=uagI+#sGPn{8Zg`nApdrYd7!xH-FTAmmkB2g^4xV`j!p|#E~Fi|0Z zB;(F(847_Vqgj5UZ#!WYu{H+Q>NV zy|`)8moOLK`Cm%@e_@DEsIy2vJ57qknU+aw(Jzs3uQiF+S(+SccNbgi7zP4AB1S>t zvijocHJpt;T`7eA`iwiY6WTbn5w|dsDgAn?fmB<7D`*69Kp`NJ=S!@DB=u)(Z<7-xXo&(i8ctXnmQN z)9B6oZb7Ntw@UP@Y=f`KH&bYoZcLo{1qvJ)IrWMfC<1R=kNC@F{k5k6PH-I{ZR19} z>*(5ubjxwW%Oo7X!@1o*1St`;u^2_)$=?2(2O#kSm>=PFs`T1vbvdK)jPd6AOZ}_kNCAe zcOex(1Tgj&L-GvWu3>xYib!3IQf6Q=&QDzZWsj>uRx*?N!|>HZtnZ~NdRuJw6?yP= zdOhuzFGks7^c2XSek(ut3wL2SA7lD4Q=cmQ5g}|sA#(i+1c9j_t{(Z@K*MH(md0QH zlIhi$C8S_ZeetH!A>-4{6sI>21@m0_?vAQx2`{ai2QH)7m%(YKS@13>v)K9$jSMt8g z^UmNz5)KDoWWaURn8~5w9&upV@2P~l{s{8fRzRI1D!s3Dw6T)v|77{yw)FKvRpimy zjGb2nsnHu?N3)B$&F=wf=8CS7%E9YtX zUC?Vtwww%pd$Ozi(%@|dw@zIA$-jUAW&W|Sql>aNvD##$42q4+57c2NBl7i~V29n0 z^G*1N5yH`dHUsNW^>9b0NX6`@V^5?xQVc#v-?eZv6^;3rryDBc`;9OEEPti$E@9_; zM{I|_%M7D!8x0R5#}0z$Y{39@%nchmOlu$6&qj`yT*FHYHOsWt#3CK@u_xZ*x-%a! zX<>lIvC6Dv^+j({W__K*@3IP$b%Q2p$}qlfF|zY$rO0WSTOjj{aufFst=ha!ze}aY zy#O!$FAMx;hhr)!e0rCgNHI&(X~RQoXPr{y@*Y;clOFqavH$@+J-!}{7~5BFt7|nq z(kUb}i>2Oac=e4()kQ?(>t*VYKEXnzAa9D+?I*Fc_@$V!HCk$6$3KL1lJ~paCgh-w zOyz1bdjU^*Qdp!-Zs}O4v4*mzB3=dIZvF@*U4Xk8MDG$jMXS5d>n(9)s}k8iH07AFwV8* z1_J@!vpB?*pd{q^j~w$Z=@K=zNb}%L752G4+X&Q-nhU4C8sHsNKdm*YQf>pihW~N; zg{^L?h1Fq73w03;`oCt%KsE;cNzNBVlhr;T?6HdR*dy4RNad_KH2c}-h`K;qokw-eoWcY&SEq%QL$(IYQJ+>Iwvik|e3 z_!7i_2E{@V5ykiZLX46O8m>`L^JN* z(WHGgEDf{R_ex>?VaE^M_Fyh{xc!W9d+CYWa!|CC=fJ-hHwOdV^kt_#x9EP#N(9JV z_Bx()S`B@WC777|B?1f@%8%k2!TyS;|nTv7c-|H);Jp@|HnNy9z2tl-`2~?bj|6hyTB==)DPFT9k z${Ro=h?h89oDwQfzwY$-7L<dk7ubnmtn=%SpYTR&xg`7nC=ugS_iEqSYx}m+;{$7{15&}f z*Q=Q3@t=};*3oSyt#4Zhp0Ga=7)kcaBvl3L7wid`|Fx8LGig!XLmFCH5CeI{CkdXM zQeOIX@-j5rk|}OBv@684kW@gDKQn$K0Q`>7#RD*K-+Ec5lgZ>CcyitK@iB5ivnpv zL6u_odbB)M*1>DJW~qN)Vyr*IpMyBm>T=;Oy1avAidz5TyzNEIyIP#<7iYV@=K4v~ z)B`xKOrDJLVdyo8JN}B0W|#V5jXG9c#Yq^aMq?_?wQF#$%_{~sVm$MM}5&u^;|bGx6{SNK0h%;kFcyCr+$~2M%&%?ZT;o_)L|&)&@fhD5XCM zY1F803z=>71AFd1A<^On`K<6x?-Oz3Wjh)8n*(^D$CoShI6Ed7^}n$gQaKOeIU{u< z)McZb?FovLQGK%fF0}78`eHhJuHCn0Jx*aqFZ+g7{3$;cQPa6OPb>)rCf2?69XqYJ z)DZ9w1&j&b6$@So$6Oc1jU`m0pqzhlOdRmZ6{s!ln1CAn5vB<5?8tN4Jqj~N(x4qF z&uVuCkV@N%-m#Vnyw;T!78_xew7ph&1XnDrdZ-pb=R6hv^VO8@{;zmR5UtEFCBp%w zez_9!oX!~|J|Y_>)#zOLR}euGSy$NAnCrjxsz9nPQjLuA`UN_yYADvn8yEE)u@4;^ z*6U^QB1rBNb6Vy7e#2bn7G0uXr16K3HR})WnwER&|9F%4J2p^cHeQOcwlNw^DxnQ6 z6|S`CK=w?4ve!G6vPo-q+qDy<9-XLD`3DG_&mIC=MBB=ZeGhAX2HlJ0_Rkfq%joxT9AmHDK_ID=yrNU?n0OHiCV3t7ZKr2aC>*vKv4aTpX2R0{(Ss*&^{W~Lo3{FIrK zjan6cok!)0WU5dig^*5H$-AVM`(xKb5Gy}u^rJLQe+gq=t&4C={TFYPETx}dgn#CF z+_AhBxm#{774ZipJib3M3jnVmfgANVLojZ8__6#O$ISHZJ>HUYIlBV;nr0xQd-y^m ziWcT`y$fp~p^A;k^wUq;;qZdcuPxl;R-QUOhhga3qLwPq- z?A=h`>ZsFh%_4{JKxMw+-X%F_pwbyMK-$MmyPcaIxV!k=#yjgvRy~azbPkHabwJTN zI<>jeG?dL7J;W_Ch;}X20Yvc)LTv{cDnr|Hmwm<~td9UCL{BV!2k-YPc~+ zNrn5tafR$$IKO(R(fho?;GwYM=gkTbkV4vas~ubrB=3 z#!=cMmql0n7?G-IeE*R~lieeOA0if@e0&y|Xx)Z5?E9z=XQ0Az(M8TtYCJOi20F_O z3zk3l^Y~@XPx@a!IH`W!Um^c;U!3j#*H~sZBWX)&qRb#@3`CQcpAThoHC z`@F&*Qj^8AX?YdJVzu!>s;8m^yvta*`+)tAB?+I2ZxXWZ4ZqKuqkfJ)u?WRD^hp~` zhGTyV?Lod_pVt+8I1 zf`mZjp@DF$*ELR!Do?zh@G-lbUQc{k`MMG({G-6L7_(1(UoApIbYNj(1Hw-YHK@D`pRfkAQ^7E!q(T~=P6eSypr>ov zr2p;4)*`$8khVV(Wgex%un#PN4dnA%^Sd0)K>l}+ROw(l0muJd;Jr`VPxNK&jI`b# zk@{@wbK}gt_pqyPpyhOThjeT|VZ6L*jpPlkkj#v|&;JsN3YNzoQ$vE<%dCzS=u5oA zWU%faHXgd0p+;}CFzPeTds*nj*8sV9i50;r8r~k>vTYu{nc<+{<;?7t%M570*XB*k z*)mb~y|CXxKkfBbvkC<6{U@7Xk^c5(%@8Vub=`x+i5Z59X6;~KqVU~7$BN;h1ZG{I zGd>*j9vSq&ie^y27ue_Vow2-Z6>@KI=dDzBmoU^m2~l6%16R~$^lcg_CqEqWlW3{? z5{6Nx4FwVZRU(NnE=G_bS|9MLnEQBZJ*s1$E9wy?8WB?YS>tVyE$|=7#5sk&(q{nP zh>s+4r@8B)@>QHP;eXSbsHWqIyu2f}uVy~5M@#8QLw6>wiL&(~;{Zo!&_vyoLpiB3 zO}53lqn!FW?Aumrr&68Tyq~bTQ^z)M3V@@fsqFUFcO-mzlL(@X5~bBy9T#-E*v#*u zJc4r1m3LID-%4bxLJt;HOF(1){#ao!2o_fy6`|EIx+!i>h$4C#C>V4KQM9?GqlE<@ zsK+TlV{dsaafKm3Lci$No>La;Z}=*N&4#0IbG{UgI(xCn1%!&ey`cF>_VrQH&>lP= zuyeQ;tNgFN2|RlQn)l$N4$F5Lu5HNJ1Kh}2v<}OiDjdHbA*>L$Fp|3v@`7-L>?zYT z_m+T3QG0p7L)hB*v&)uquTLnbpC!Hy1Jp8F_U0C%_6bfrE>`O8fG`4&B9kakf=RF( zn3Mu$w(@uDdh2&|!2G@HLnnVT1sf((Ez1ED5 z;%oS@bAr9tGN@`wM|sXZQtTP{tvOAa_+;~tMwAL&v-S0nuz+{XX46EeJCL1+jb$~q z>Zmx6_W0UZq@Vd^17gXQo`|pG+e(Xo{$b7?MhMdg;yZP8EoAzrW?yX`De!|<0sGip@B>{swYPA$* zv0?7#DJooMR_DsQasb_O>8!PBTRiKL?Y*My}UWO+DOEVBn> zM+e&mi$3H}*({{VP$EndCtjaziMp(BmiJL14-qzLtl_F~@@nP5>`*XFOBDIbpLL0S zt_8)GhgYkOmoxv0!l9RRJTK4@nJM?A$ZRNULeN^W^qmkVp}IzNJ9<+2Do2l*s011lxg1@F ztn%ZhYZ~`BaK);evca)7!}?Z#RT9L4elRp!OM#VX6W!ER zmuZSmz(R}{Qg-!y2|}p>DXBed53yPk8wCN zX%FFw3SfZ6Vfh53UG`JD>NL~4$$yiD6ocJI6z9YXcik-84Uu+0TkG57wI3zup;+i7 zEWmjVOU5FJR+tWc=IW=!!p*U2+3MkuT^+yd9(WGt`cS8IL0^_zBX15s3~y>VpPr~~ z(Hg8;iR!D&u#YPxLj-fR<7XVk$p5gNtSTsPAFulelPkf8ZQZE|lB65%U9}>tp?-fx zG$5M(yM}h5&1b(#x;joe3ZI68kj)BL_yJ?eyg3=c0Ih1@c=D$rauQDin{ehzfdacUNIH zb`F6{aT1=n@15rAEjit!7 zJYOGLMhX@GWg{15OmQ7NUsUDZwf`T0QFQwfB2<4DwbiF9$zRLmGGZM5wnndS7>-*v z?H?NjYy_f9V?K2;l{C57yFubX*A8#vtIDR|9W#5V8=o?5812{4CGbF3>EG82J8SH+ zebE!`Nk0E9@bAWn{{1V!Zleia7yF-O~f zS5y%ugMC3{9GrLF>9fF8U>;x6&-f`6n2Hwg9VGv2%F&$n0C2*}6LZ(S!UNQvNWBvx zWxFjmI>$MJxug2mM(vuJnpl?{P8gT{u^*JLlHYmmA`6|!)Mc9lB;XEB`LIkGS z*C`VVd93Y8(9Hy92lW3CJQt{N!^~jMD=$malod>k^)x?!X~&X8D|%qhgDmpt4g|TD zfN5)i@4OYX@Ez%whWl*1>=8B20{g^yCpu?G7?I5Mb_%PUTQ@q&^MSQ0{pSyq{DMyp zy4`y@HRu_Q`21Gp;P6CZ`rMf$k;#I|(DEkt7{lHPLW&FaYo1$6g1W^TACOwG+%t875{DT|j$0e>HQdt)7 zf!BYJ6t)KoTlskJA|x-qVykz0t#+DsEsTr9IV6T)weY<=`H%??$e1-wr#E+6Exy^P zS*>1mL(sFf`tz*Jq*9e)g+;xMnD-{E6+6E>!I0OIpN(yb8|!z_ci zomSZyxgt=m@z553Yq11RyIy&-<;qCo9?@#WBf%z(T#B;DT?`jer-USMjYv*9;|jn*B)6sydc zzQNW#xbe)OOzb3Cc4xqnW8!K&N8m-r-utS8{E`iVo4`dUxCzFk%sVE2ft~<=4X^Vf z6Mjh&YiP!p#*=1km*wK-M=}d8z4s9x-HAOyR8+dCpSUgli8B3L&d5<)bM<|%@-1r` zHZ(hj!TD)S+^oCj4CbJMAys?DR|08J=Akv>20_i35B(as1NljKNysiiT@K8p9qN1C z<`D(he=-z|aLM>M-3)M(;KHz}cMXw=Drqg=N@5afAN!}KhliqTgNl*}GFyc8^~B9e z^fiN50{JaPyzanbOJFT=2~!u**X-tHQb9tGK5pNAOAa|hP#hqv<&8hE>Hv$ibnUDt zDy-@D?T?zo##xmlWjH2J(RZ9($gUlBH4;1~qMy_iEQ)DenDa)1K97;aO4m?j8mKkcF=6^p)C!8Pi@(ZEdi`kdf>@M5QB_88!Ocx&+6TfGGZ>E1_qW{zZ$;ib`t!V zFc{C4Eo;^uaco*m*$;vh^g04b!wgC25Y-hfP0>@@xfqka606Em<}TtM25UvE5oRl# zu+Dagl35?d3lrF+Ht8Q8RUJI9`(mE2^5J@9(i;b~eV=BSKsRo|RhX(&q+?p9ix z8x{usl@!nitXqT8OTwD?T)NjxUg`s7@LQEjgLQ&)oriG6U#?;-NoLD?Wh%oY-!3!B zH!Wowf7g=u(yH5!&tVVDrDn3r*64wO@Bud%2nF2{jt{5u5=46byLaJf)Euua$79s5 zPL$ytUSHm-^-+&Iu@igyo&XX)|Mvgk6uW3xeq&j2Tz;!~CP|Wfwm*?1w|aN@Af~as zqqau9@cyDYDJKKoOm91yR-ymumbUYu}JDEYfNvI)fK_B>py|>pQH%0_|#^*#wx(yFh2>fH^k?67r zrK+;nREv_ww#v2tL;-p^FbL4hEhvI?{E2emc!NU6%}FBpRwaTS{4@n=U1qwQ!5>Ky z3j}@WU9LJLk>v-o19mz;-R3fJxS&PTrc3WzkavniVwb40ZmYev0`E-Nsj*b(Qd7eN`UgS%T3j@4QPfgXtVOR>?&L?>fM4#{;rv2D zi%8()kMIqD&5n5d0F(z{f>8~$dLibof2BxtR+AplFegam*=r7MEs6Gq*TYq zzd0#_@wQ;%$MM|V$aQp|*}--YKq0rR%%6}Ya&9g7pNkmr@ZYT;rDA(k=E}O)+jdXc zN6b={Y{ZYM-MaqHQo*f+Uq()42Z$SnRqXVzn+rH5cE7TT`Ycsl`wqm7$Smy>i7<35 znJMYgMa~+r4jsGLW+I*6{2F?4lI7dOWQvYLtaT#OwFLd8+wW7Bvd?$EAZWcjv%xMA!H3_Y1n$@Xt0R zriZacj@%V_^lzDLKV{^o#-!Y~&#+|DTD=(uBU~T|Q?e!0^{4=HX z6L zm?G}qmrv&9<#50Li6Er``UhXJ^p%%6GveiKJ+5+||G)sVUav?3;&bTmmTr*0w4ld% zT${v91FMym?1buJUYt{h_pjv-48wNsC_ngb{4^@^!2>74OxKRd;)h5%zBwmhH0tFLy6pqV@u;` zBPXL+r!u0cvYC02GwLUda+^(K*WzdJ2{mTNIz5_CinsCZ?$AN~_FCjyUUuiwqAN~3 z!q>w4$Xs#IurtYW$E zzREPn8!avQ6C6KwBdchqKl_2*V|yyQM^YlUG zBW{%*be(zX7BQW+U`mv~Uj(L(vxWr!)CZHu+)+kojk{ENNdQ7!A~%#Q# z=WIfxzLbsZjd^<@NVOpQtb-O?I7N~0Ouf!=P<*=WbQEg3g!t@)n<38oQ><*Qv#`}R z+K%%9Z6*6YQx0#QxL1&U?B~6%4p&iUfvMeggT-2-1m!BKS+C*b4vMy*$dkFoGu&#) z5jG-sTHX9+N@>I&#FI_4b|xW}u2vx?FRiLXg=BuIyFW_R8a29FmQpN54;bB$IsIJd z@kJ>zTXdzH^wb#K5ol+k*|$kcyz&?mj^Z# zbXUe2?%tjqo#g!+rA*ynH*1O%ic2bL{ zKz%zyo`$oawr`KK)(stLq9v%Li6;oOA zp?cVD@oRYce1F}-_5EXn{Z*J?6=ue!ckm5@L^q#9<;3lbwKUw3t8dY?ujiv(Ps@-8 z_;EX@4t*}xYRT3PB8^4S#ay)H_mc!&B;?&T~1S zJ`&!twGVxMe%(K$#7pBgJykU)hrGPOjlNX7#Sc~tWy@Qo0UinJ$}^^o_q8V))pdsh z)eCdYbzj1U{e|3DGpZdiwo`;W$+^TqcP+YKtaOcK@ zw3JC2|4uJ~-a{Q!o^<18=BD~0rp5M@r>0EI_E&VqjUU?|mAl{Hex@!Uru5dcLsg5< zgtOUBPB$ImOaFMkm#Le2X&=@V@0YycchdDf=NBc1+_lT&Cw9;cJvb^piZMNd9skb0lnaN=?H!M$Hj5+HYz^7|MlSZGWffz?!5%vtdJJnmpR1* z-GC_11T%P)-wvC8j&I-Pp?)AT%<7)%)X0Y4Z?4Czu3N~wo0jEcm3lhxBIjt}7md?> zk}b;(HduB1T?3RXpZOeH)u(iB147$z!?eQ&f6wb!Gxhbr=iS8drgP}m-@pAIRo@xb z#P)qn5x9zohyohAA}XPZK{^VEh=P^gt4KoVy{R;%Mx=u%3h1SV5~L&2dyyIlB3(L> z8iDsrfcyWw-*}Rl40Gn3z1LoA?K8I*GF!{^oYeY??9KFkG7M@42_X>#9XHST&Tr{{ z93ACVHq-<5CM5&554<=}*J4mY^A*=*RQh`D%~pm7Z3J6zdQL^pu|-08Jx)cGGsZ?B z_@oq4j&3VUtYQc{SI4F_8iDz9j5clI?SU0o6$s&-e0X4Tz}Q(h$uwPGJK`+GHuH!NV+C$FO$ci9sqbEc+S z*X?0Xr@VBXE{_aMlq=(=*{~ySj<8@0mmCsI4+@{Or9*!|Iy|MGO1e8lL5muuO^{?` zHZYRF3Fp!`j!DwO-w}riv^V2FnI*F0E5b*Mo-dT^oapFEifVEU^zNo@{T4S(g$s&5*XKJUe`4o*->ixySni_|qarueIk69D-q-Vvox4CS^22;^5 zU&i{FNVX9;wcA}LE}33#+q$^U4TA!%WZ%4ZNxoCFJpqniNr`SN8#ej0{$vbgIQu@a z%t%}HD!OqnoL%|92Y#_3p<>U}856VW-hXdmQNZ8j)YIxNNkQ)u1eE@F^^;iJ$o>ky zNpY74N;r=1^m_SIdT!BzZ;x^y9kRfC@0~^I2yoJ|56MSvp1g(4B{~){D`mm*eqi5N z5+XBmPtqc<5uO~(tTr&SzG8jS2@}r*?cbWeK@~sE$X=Sb!>suH+Z#9C&X$jzVLoOU z%ZNre-nJ`1P>*P_1YTYN#YB)rRa9LFyooCLg=@(dZao`4N!S(&v0aBrQ==Qfd^E{i z$*BRY9=08@G7zG%`0E`5h3WnV5-|k}Z0d=NS`MjKr_PQlrp_23ELcb4TaC0ojU7GX z@WD*kL$)_Bpf4a&_=1vpkC?IwF*6vvj{KHlCJ2-`v+Jl*jnKCsgh^Sj8rVu8=m_y{ zXuPNslBYqv#`7pz@R5^vO8CHbD=9NM{oQ6+qr+x8>8PJS+GqT}<%W9HOmjK*c8e>M z0~_NJcz0uL13*0^I;DBfmguDK)hAl)K}SChD-NamJ3%q`yBtjCK=yiSN)R@MpwmHW zUk8}Li~i|K{=&%5u2(4mhG%5YpOj{bTaEu6!+Xlz(820d)_DnLCQtD5KJ@OhYd`!@ zo|^5fr*`_9Pjk%EgVmGGpLxzrYq>8ol^?}V#dv-)Ij&eb%GiJtx}nHeLk)%jqSknY zf3b3nDL4bVoe&?tRP7YGbe@Os31kjmkRa*F>p$4-_>{-tRQaWXBd>tNgchEG-KF39 zV>8v>jSbl39j$R@YBuLk8FT@2198*#SUHcCqu?NFFoNy!QfoPCR^7>Qbl|L;#xlY+ zCOpm1(Ea>xwM$XX=8tN@Ec|KNd^`A8&}}T7g!3JhYRxUQl_p(*H!;{)Q)fw2f1(c& zFCPh>S7G3dIdQ?Ra=G0hS{1xX4{N!E$E)Jq3+C~=rvp@m6c+2t=+PYihS*L)vm<8V z^Nm`~af5i*O9c)iqXRqO*3dWRjK3So@i1=KJ`4YHCEH|4Q(A2ztS3qBl~6G(MBHUq z$@ZJ#7qht^UILz5#}DJ^+(;n}Au`BQ;#$Mwr=zA|4k9j^8(2%=ju7BwLEV0+`m&>F z;R1Vbm343xMBXyT=vl*x+wDy(HceLxLg^eXq8%ozWz3EjOc#bwWu5OBVN#pDV2I_> z(BnQapd_8uDDlPhsQ|MSt^?eaUW5zYJp>t2H1SHWo?7zk(uMMcREjyvG5{O{%aPBo z8~2D2)ZFyqvmitVX1$oO_C(Az#`P&h2kg=9q{Td(`g-Tpxw}&U-xd( zJcNp}YyeYqdwI&tA|F7stRa$%p$J$V?b6z-vQcQ;fl@P#^yC|tV7?-ZH*n^K6fSlzBL4>Ofs$u(2PV%&qm z)FAv-xbFPy8B(f%$5%cM&q8M~HS!rE8?+|{^W8r>pbKhmyR(j=FyT59b79HPCCu@c zO7kvTSE?RFjS&zv*qC#!ww^>+@#5*W${ldA8dmQvX7`4~j+Y>P_)EoY0f4N%l+QpV z1eYXEd)deQy230qa2l+!7SXZG4ep9K##L3kp&W+`GwB==x0O7z`V}j=9Eg1BN3R#^ z$^^j^aAR{IHElnqjCjGZQ*A8lxh0*}$6u#C@FU5`d+N}Q7 zZkIcGTw7nR9g$JF5338Vz1EU=GE4hmB~ah{zGdw3V9bzKe6hjllLopHh_h%+0pk&B z1PrV?MRHot2E#ZYJoCe-s~5u+EjV92v#uK(Xxu;HT(Oc}YMGlp)2;@?Cberz1nCR@ z04qiprcxQ*-?o4DHP2CxYj>f5I5oOZbn#Ym**|DKqSeSVR?Y5KSbl(1@IYzU1`9#+ zq7yR8tc~E?Nqec*>cgw~h-S`keSx`{&yeJhBw@~|f}4jTJt!{Lg+hd~VTnl+Eu2(>rUfhQt$+sSKprE9 zDbcZb$6c*E9ooV#WsoO3;hXL}{KtufW-+zIH}5V7*tWt#oUDZJfeqrgd1K@r=wE#9LmxHVq{@P?Zf%ZL%URFD3W`Di^w+H836ADJ!oQnPvM=n#{?dNX70B zPU0~$mXm+bQcfR1O~zMqcEWN_hRJjLQ4_28!hVGTUO0{Z*LJ#XHP87SZU-+Dx^a@Q zdoSpOS+XDbR>1N2P*>)IdAx<^h&NnUbPPA7L?7)v>i;E=#Njg zaGILNJOAZ}@XL;|p>a7+#I~!U0;ra;i|)^7G|TdmSP1ZpD&mXpjc&#E9rW7#>uJ}8 z-G1%7>VE;Z8uEF(xaeLT>-G6;PN}q7Vft7LBI_efG5{{^&9C%Zi3+t-XC_jLAQlNu|p;3s_&*3-xLTUAF?{J33w*^teD(_R4#) zh#M41zmZ#8%KZhCj?SL{__TWpy&h1tsf^4in9TYpn-@GO?mMTQv;OluPP~nk_zoH~ zXe}_d$H35yBb+Z%h!??hW+n8=DymF3oR8_LfjJb>abXXt*w|@67VO=C4NN3BNt83f z@pSGeSIyEUo~4|CG&7=0(8sLvTAx4>%gYr4MJ_eeJL2R9PYg73K#I434G4me#x-FU zu{6doF6&>sv~xox=k|FhJAp0Z!DSqkK4^mlnqr10hj8UHx;89fFADpPbv?MJU*_DsH{ir*)<`JIRpUIG=nZ=Nmkb}r;9`U)9?fflY zUZr8x(J)&Zwk)PhM}nA>GNVrFCBxk5uS2Cn6vXzLG9#36Z`scMA-8^#q*P4GRpJ!l zr^V~=1xJWCQHBk)$`=Hwe~aGC{TPp&88Y9xHCQ3=t`bR`N5J z#J}v|k-9=N-Kx*S_I=_c$C>BSlIQ-VzRr84AAie$jXP-O^XUlzE6k-)@SRi+eFNaL z@Crg8STk?$QY(VF|*0ZMo#Bnz>#nt5psn&y>gV z-6mvH(2KVr-cjz1dN>oPdIa?p-Z2z#b?!T&;D5tULs=oS!YrFUW=C>cPDtKrXzmB% zC#fnGwouP2NXm``bShldsy!C30FT;Fzl`hQ(Go4Y?A~0lDOd3l{S~h8(m~t#~A(uLYyr3 zjzvDQyJ@byYT34xpE0L>agLl1(BAi30YtP9QefFk02sfyT+pp(#-kJ~3ySjVJPrUi z&d+WGG=>wtF7Gk5(^N4&IGe??I94#+8dS~ZGWzjP&!>hhx>F;Jwk{g}&P4?6PlM9p zEp=Z1D8U6|9V)#TN_puLAJg&maXQRYO_|41OI_27Zub0Ksji2 zE^>U|;7O%?g~WtT%`6JPsZS50Ry+MIyEH|0rui%O_W5TKjVw(wHSav$dOc{+BBLY# z;o9ldCEH>7%3=v0>3lH|i^}r%?wjx(v=l@K3cl$ISb*vaFbx30+yPYGoWBJTw(&7v zqh0gFwDejlytq!(^*ZYvn@>&zL1W3bxhrszzlibGdoT=|z^+r%Vt!CNCyPMOdID)7 zKdKYzI!3H&>IitF?g9U<%NQs{g-$$q3mU$?Kl@;I3z~7po$-h-&_oCy%teUI=)W&~ z-qq4e`)Sk>-mMR@9mln0tR@5ilhAYkfDzTBr^njzWgA3$dTHYT)+Iipo=BRn0mwdI zz9INtv)&L;JfMS#-~t<==eFZ+xe6*RmRk4d(O14Cs%l|&U48UkbeMEcLfrG_gNam_ zGV8iHx`}l>`9EB+bhZeDoUB9yKgYJk_(;4f!;a+aax(qEDL2KO(g#i^AuB>qeGW0g zo>MzFVAqu+_O3|QZ#@{~pyD{;u@sWNnLXKl>Tg~G*U_2ZwyZHd0p2S&UHFxl)N)>5 zHyWNUBja9x4~Mi+ATMxGbva)y*`Se7KpLGPOsTwZ{z4{d5a5hM$`OR=7HA^GJucml z-3mWCVPUgdF(Z;9L$$+SY$~DhTwUt1l>vUT#=&FNfWA?7nAskVYH_H%p6eQYkX5sV z6Hb9iXR|9iCz8UpGkwZ!?!JMOF;iZXdw~qHP2MzUh4T=Nu0l26eWvizpP|=UdQbd$ zLS($gpZqcXn$+!vDN~;`r3R+A$6KtkUcOFRIB8PbJ$Sl3wgWU@t7`G--$V zWrUVVmfl*;ozmN$CA{xckfH=-PyR3c7LH;E9>4FFJZQE}paDFMjqZg3r4Lg1vE6PFiGn9JN=#sCy zuHF=K9DJk0OKX%}AkQy!l*^Z(wL^MoK)A{%i5Z)|`(Y@HA1-!A#3D! zFs+BP6)PQ9F; z=5s@i1`*CCn7@S?7~G!3opc!gV-U9C@^r*7=y#U%jyFJ~8=sXB@~2qWk0b*rPuL3xFp{1SkXyQW5PC+p(_!Gz zNQuZ>JM!BBaDC4$QH`Rn$b-z%_Wcz;crphpE!h68bEC*S32Rw0Ft|E9I`vRmgM$0P zDAuS-JS6k{p>2%hn4r^Ezoyr@ulc7w%~%oKq9v%~TS%qJ{ytf#6ijSXE1liEpa zFO{-IGL-Z1OLK8zRo!DR0N{JbJ{3|r@TQI`$Lu67+A41*YS@Z6$^6W@p%nW&OgW({ z1WuBWHJwfD*jjZ1%IrQI&1QTJ1b}sPY1x@Ev>aY5V57XAI?Q(x-~?j-NagJQBAq?Q zn0Jp-4+3u;!S8Bocl0m|i_63KdaKjvj@^{S%SR^_$16?ByCV_#7H zfHxV*Vyu4FdYhWcH{nFg#3&d8KfC5#oDqEM#DUgwNb*l3W zWmN@SgD81dj%CgLu9R+Bqsq+0)jmgjg1pn?JGH#Bo2~GcDaY%kmwDmS;B^w$Vn6_& z2GcJ)qM028pt%YG{Ls$bdg-H}?oMedVruxB3k6kK#O+tg~j58 zvetB1Hn`P3umRUq!mmAXu=oHR0h~pY=dB6F-1z_!;zAVHg|c}Il0IQIeU0O6GUweUUwal0zW~=JC!s(;n4i^rUdh^!b+SPy~%KgMLV=!U{&S>;3NHu z7f5+Iu`oPf>;&U*Xt5A*Ja1CCwT{f9t$@IZ0AYl$g_ zU5HdaRSjGH6T(L+9kyXk*p~uvR)m!Kv(B%JFz!o7@{NT4CD7Vc1pQsQnJRk%O`WAi zs^@Jpqlo*3eCi|LJz$t9*HJgw>&Jevsm!eTgIx%%R?V1C_&*HFcmCF>rq*q_FW zlL0a!L3E0r9sg=_aa=0GM6`Zth3!J<2t1Hx?vkZ)E$@gM@<_?{S0Uq;A71nk;H9}V z8?l~X4Wk>V@opi*-ulxk7fzfn%B4q#D1Ix|K|_%B#JE%g;BNzpV|5PHk*2}bTWO|k zkInU#I9e8;YYqM8%sd@q$1-FZg$*~&RfczK<4+Ivc?!d~uw!1#B!3`t?oWk<0x3`< z&s8+MbCzYq39fQ9_g&9C>FnB6Hc>Aa#3NfR*bwcgVP z@no9V)0Z=^$4vv|3w59);X#dTk4sw5Yx*K(Dybku+Ph|lWE`v2mdWKpp+jp<)#+bH z^otFoy{^^&ns?iby+y2-nVQ!n=fakHR&1S^jtW8ZU`o-+vxDFnCcm=K&SEr4zfI*k9jAtV~7@DIPdvKw6xUyIYm3j$3HJ{EAFnSSjF zlgeQ>5SCz>ZL(VB!4LkV$45=!x9<8}I$%`sBpLy*nh>a=A1i0U-Nh{T*(cB3DgioH zAkylk@Vp4&f#SAAwQ20GUt0d7J#sTQK-aXuNNTOH;AAx7-0#?w!41Y9n zxaS1qxR6W)ku7cHX6caMj0dn{v~Zkj#Ob)1^mKdK(~%CzU^-Makfg)PmSq4}%I_L9 zFZtT`ElipU9n&(3uwy&BRKAGdmcr%MB9?1OA)dDc9xO%Kj|NVfx(1{R?%?jYKAXA% z2fKwm?TJAvORR<|OO01Dv@|Uqqd^WTuJXO|nFDG%S%7ozn0aeft|R24^>nCPSo9$P zRIYO!9}>3!j!U#C6F3bLtX8EFc!3b8^dY_P32eq$5B9!z2@O-g`?q!5es?_0A;ggm zNJ}GY>WZ8oL}$lOqt$Gp7R;CfUz~&B-U2wT875}nN9v*NWIej{CzB!g1XmUiWXIc9 z6=ByyLpQd;P&cd_pnksE&_Wp}sow$5VZNgZWn6e|(DJqx?Bqxzc+R4Q455AaQBX}h zjhmoP8P+;Lem+r&`Ud2FQWrE z&Y)>*oBI8W!hyn?n(h9&^ADkx;=^NIBV--?s9d$PKhS zf-Cr6S|wa)3Git!BSgdq-ME|aQFFWXzz&mA_`GNje{|YLS;(i_SN9U>@oX}CNSfKz zfGeGIRGkm1*8!lKHda>m`BI%1vTlS~`c*M_KmCBO#8*S$ZU0Qq*H`fTpbW;Q;aT`DC^@=*McSu9pq2}GW(-q5dQZ0nD{2|hKYfkZ}Z6As3))zvw1WMlk z*jpaap6^RRh3mAU+G3HDKM5o+G6grOYyTU{m(Xcyp+Ds0)xHny+%XD6d^%2mpA zPX7(M?wvL~%9%`8#X5dBpk8|I_6bpiuWSEv-~7Q?azJr1jj4eUr0Bu%e}#p1k(%Z65~QpElN_&7OtgDmnN*(wwA^DO7=xNnW_D3%Qt|(8Za%V{~s?& zDuYwulh80FoV~%4%iYaFr`3r3t7`e_A9g65t{P~o3+wE057s*~sfkia8ijMQfn`9Z zoD7t5QI^fy=mPt0oH zwq377vpMGv3bdAueF^#lQ_*mQ}{~zQ&by6!w{q6_eb<%DleSc z7?gu5NZxG>e53u2iXgIp69CQg*T(izX#!L(*aw=*w=#4Xg>CGDp8$yiCl3YY*1}Q{ zuHS`$U^68?Kn^wrsvy|(7BRG%w>O_hgk9Wv0|f~()eFUZFuzVPcDw`+!*dv=aNs3F zSWU9JoLd@%;_^P*!b*G*&s2VF+$<)Dp}EoEYh_ea;02|G3kfZ7`CoJ#TY>**tGCFU zav(l82KyoaEAN$<1C6-Fom|IpA})QjiP}~_0WsuUD=%%==v%DE+8o%Fq;O}KE&>Nh zy|b?gP_VjaXZX^od>*&UHEi^I#PI#K#7sZSy*M|M7N-4)xg$!I6F@n%(tB# zD;Y=nng@jFHOS;aJ|!XN1pN}ce4*ET1W68UB=%A4<4?07pYYG(zF|g$H=~ zD`;;f&z0+rk3ED>huqsd6QR){^?K|K_BPSc!u@v(7k1)JGLd7si38$}_R0QBvOrE; zQ=YFIZw<>XZ(t!T-efCHKtmOrhWFP0QsYph>7uh0A{eCo4di=IU&HCrN*W~4x_7o&eqhk^{{RwkSH?7PWeR-* zPS&~sKN(eE{sr3;$rYWkm7G2)JknqgzYxdnJ3o*GYF^l6{fQ7}L5HhVJxqGVQ+g%g ziicbTiW*Y5eKO{{i#!3kyf>B&j!&E<(;X7vaG+Y59bj|F85wr8!~DW*T;vPqJr3p^ z@6u~tQQQV*H@lKgz)dCFNbtyhL*EAf5ZnvN(SS02EV+IK$tLt@m_*C?QryW8Edj1g z8*%rYGJz9@pM&|GHEKutq72BDgpIgJ=R@hT@hoBJ<$9Mgj)KaYkN#c(W3u`*8#kt{ zrKTm%HU`X>)(s`xdm2{UlQz~$<6JS-{j5Jo+qebfSR~~UDTC-P$ndAx8jglFd7#9u=y-`?uCVKcvBAJJ4U9Gx>PpjWV}MYfFERY`FZA{lC}9oMB8? z4no1~5sEr(GLzx0E=Xi#$}m*hqdiHu7`&bY62XBKLVUplD)(va?aCnve8%{OeG%n3 zywLy)^x>fk3c}Ma0PmX{`W0k5FK@<8SQ5*mcGw@J$V>$&nZ->_2fzRLeH}31UdLbQ zBYjx0B{r2`J>3s^wS_o4$XvOCtw^5z(&pB(Ir6LLRZa#}{x#N3xk6m7$-#qf2M@mJ zxu$MEqVo=yFJ3{wEUzsRAfQrWk}$kG+XnWu(0VMq#cf@DW%{16zPyN8BeG4$(eSC81%T3wP)fc?>`ZnvfSZ z9n(Myqo}Xtt`sx;keQTyNe)?uFxB&gD+x~qvsDmuY)vkL83|#mM5M&;gvZI zteg-;0EIFKGZ`>WU;?*7WB~WXVH_XHCtd(hO!7}%uy*l=QQ`BX-9%^mlz#?=|E7J~5z~)Iu`1Ew z^?5{c?85Y@H{9{Cueh-ul1>?7!nB&rE+P8%mC0571ncQGLNSUPl;gs_;X}gA;J%is z@6RA~mMli}p;#QblWJY7J}#S9;TF$qV(<`DpnItdkCn216`g84pF^C{mo<~Uz!m%mtMPKgqzy>JX~0gtfk%t>TcfgVsfsv_1o z_}ZDgCQ#3MCG#66uEZ2!_wfKAqjQI8_2l>*>QKpXW8O0q`!=1Ol10;g9YmEmg;f0Mbm(c^neM?`8s@f~5{uO}g1hm+;%} z5rXC4-9@_BT+BQH&sFaX!HUZe#GCqx1;Pg%iktt~+ecz7?bl2)cc&R05|dKB&L_h{ z_C6Z5MB6>-R@k~p^|M5eFo5`a%#sft^0bI#V5Xq@fhsa@(s!GhaYLas z6sb!oV|mr$->S~bk16<(^gmy)=K7^Vu4G_ic5=`0)6i8Gc6xO(H~8ZGj+}3!GH3f1 z;*pdEi^=BDsRjCJ5>6+v#t&-p{SalNxMRcCg~xu@=1&jx zybW>;j>qU-F_v)8%0fzyJl%TvnBvrWzR~jR8Id%qa$`;MIeW^PpF@OHV*KxnDYfn5 zT8`!u`)}bezOun?q)E28%X7N{^;~uz44AD49i80<^@u{(J(%fP_U{gr`Tla576pHx zZ2uLNCWY23@9)qwx{Ex*?a+^y(r{xkW&0^KG%49N#;?Rh=fP8_9cjFJw30M*1mkqA zYo-FG84aXXb)^RT^vsyrN}BlSIEy7koaDz@@scJe~gBeS5Rv6pqGi zm%B`LIydAU&4SMlL4#D=qw%ZdA`<6(Bhgg+$hnml#pdo3KG>VzvivQWvR$fBX9@!# z6Sj57lj3Ob+>&%D4LCC|>FoyCV!`v2ZKNkuP?bLRV{N^o&C)eqLRD#fCgbO=4Y&^+ zTPm-0RNG;_Q&N-Sl-ahU^XBp~wuh94%bm0?AMxPE`n0Nd2oZei zfALy>t{TTo9bfq^@K}c^EWVo;xOOI^1L;dTCN+`k-ru!Uc@rA=-bLZypyOEm{xYgdY{TidTi`NPbT{(Tt zDp(7ATN>^2VI?RjEBE?dHaP3HjSazip)B`4pY5IsFySj3je#*+DbD3K)uiMb^$xf~ z+zn_419p22ZDb$pPSa?ICr_T4b$!awP=vLK1rK-0MR@+S>K~2iXGA8juk+s;&72aT zI7*-G^DRV60ds8mh0f6y-cnhuYAf%$3A?umdD;nM(aEQ6q7sP{3Q1!YT6_WcubrDO zv)t`#epwqXOTm|<7XSYNgMYSkvv?JER~qLV*8zgcdX{x5tDYA3*3rf2@ya@rrEv-NHQ)x>Y{8qR+aZ#62Q$%2})uHWf z%9WoX1`&5u62S}NHTQII%TLR;O{O2?i5Dwf?n;q!G+Ni z5eA2@8KXsyADlpl<=9ijn@mHM;24T7Mkna0J*2-ivb|lS&R6hH@`a3slV4WalbyX`cS?C&K5Q}Op9@#Q%bl=u`~Hh|wXe=tSo^KHj#AO+LOS!L@(ZXo84;VeWGi!~YkH z6r7G^Qe4303g5NQ$Pf@geu8)0U3q2IjPZdwKrW=`3Ln}0b1V)lBoP5H`njNQBI}89 z^{~A--zd|FqbZL~U^2=Mmr+2h9mo$0YtI%f*DR2J?(!5dI z+PItf#kqZuZ!cDFmPh}d_Q&p|)%#OFglSffN5)#xB$`53lJ^atBN_UgCqK5q=< z=U~r&(;lKfgYnL*eKkd5p)|~xG{|r&))aY*)KN$r$r{KTW zPsOS^>Dq#jGdFk!QlZlMQ-i$X1_OoSMoRZe4#jc@q&sK@h!nipb8+ckv36+yQ7)FF zyc$b~ZILGc1EN|J&{i)^wle_F@fz7Aq(kKDYWU;LuHZ zaG?wVEk*Ov@J1GfuI)cO;@e6sg4d5}`_OL3^SxHU%+vDC0Xg@rP~t~p1;g#1=VOHW z94jpxP9GOO;J}KRWS{*s_@>8IgKeOmlr5tA!#2Yc9cY^l>eeI*Gxr7SrPbqv#Mp^4y8^Jo6vQVK0Q2C} z%gcdDWfd*>3JKP6gSZWFerL9m831h#SXXzNrM7xl@tj$F=PU2PeVMVx14bh8;*SP& zm5#jDIGQsoq4p>1^+>wa$k|py?N8V}q5Nd^e+FKBpL#2NVLLne^1dMjkLUz($VKG& z&Ev0c1CG?kNUyhnw=~}t_|tgsAN=Y6M~+*EJYDqlG8(2Xtr%^D>pzL%=bDJ`^|%vp zH1wknFEafucbrA|A6xWXEtz#*{ zS0i$_$4-O3lzoQT2r$_UgECiYr?KfPQ;aN7bz><_W`KOasQ-a|A_Zc;yV1ewx z=>|FnS+@MxIGia5b7WDgg@TVNQe6~Yv~hlm-vU~V__ix%;osf>)IDNd^UNXpT8uIV z1tfY$3i#?7NtN%twAI;PU~q*vprPVg@AAGz#c3 zS(&rI{s_!aKpPuzb9L7!KePm-gdWYPE&9t;l7Rnlb$9jYaN$I+-4$k1ZiXK55}|YK zu1&RO#G9u4l4oH9Vj&ZHcE4pHMVl3P^6{c$5Qik`<7lOJ?d;MIr}>L>6SoEksCm!=QPx@-9f|HoI=7Y&M!*IwnsIhwpnTu+Keq~TpH>H2_&_LJ;rEbqI{8_rl{9L%9eF=+HZ*y0DokgXtPT&^G5D9%+=s z^WnQ!R7F(Y{JSR!Yb{~zD@X?_idXG2+MwH1%8U(ryTJ8S%t*nV>Tdh|a zYnbmN*&|8opp|sB!y5$)az6b{+!UjpI9(YTn@hYNvz{zzM2HH9(hJmi=Nb6v2!W;? zm25S{auVo%uR1&i5GUs94Ov;NL6~T#P(td~dy1m>a~R+QAiEGXkB$`Y-c7@8_6{T2 z+w`f+-TGDz{sbNHw^JH0L}IykS39TOOgwwMu!b&&^UY#nbPz;RwUlwyAa22W&!bCh zp8~%AfI%=%F=ae}qu!HjRz2X&cPJ`#oWvGYEa<;g#7Zyd{SX$`P0<;)5_WypP-+Oc zKrh+|3wn~b39-)$EARW?Rpk9dU$0u~Om8-DI+nWvy3xQbktov_=0NUWsPQoZ+RxU! z$-ZA;4yvS$Q3>crb-Te{v{yWW&4Nli(v6?Qb<_m(4Vi>9a`aJ9#dOnyR*Bfkzf5Yq z)muWLuK)Q$Y^WcH6YGbiXG6Za#AWi2C&#(QjVlIS^Yeow;51?1+MEMh2(VK_67Vm* zNV@D+v$nUBmU9);%xRA*ZmF2_-_z$wt`yKp&Uuyd2c~Ad?gj^P$}RYdF`m)aMPLKM zIdaP?cuAFbFMX{BQqB?MQe2vFx5}UA;a~Hv{kwoR9e{LchdUo=uKKfK090 zWa+$l_oHIF{1yB+LUITPQ`-5FM6anEQr@g1t^EjvQl%U{>X@EoDgJzpi-wB!Db%JmyhC9MdRu`Zbf2RH;uF8?z?baq>_D#Qg_q@-VI9q!Z!eDe zCU!JFhV{QXF+D=XV!dmd2X#1jdPp?IlEC|c4~S{k0WI)cvL;k*ahhbTxhL;I=mzvvJkuKdc)a1|kM?Il*C|)6p zZyq!UO-WuecOB)*b83ktPQt1|Bp^%F=zcmJ(Q?pWwnr@J-GV@UnaI)?psCeYLQaSI zMbdf1Wpw4XH+i9uwVV6v z^ernwu#MGf`aj`7QsORXUqrgnYU#SvZ-_huO=|C45OL0CQ0^$m@`HNlEus7RZ-a;j zN(=ccl_5}%h@1Fg{;ae*QzlRHQmo1f%g8)%CVCP4Enr8K=2I7*Oq6X7D}%u&H%hjw zP$>TZa~>}-^3fjfqG)=w_ci6>?SN=;fZf3e0`zqnvj>zMM>T*BKMuR)_netknQG<)!0!&``wad)ilCf8_CB)PP-r1}W!H2nQV`@S78G zX~LxlGHYE%+6`X#KL_Yi z12R0aFiANF5LgFo2D0IWR5C|8y>jGJlq0^2bGv28*iu_{oIMNpVm52K2Tg!M_e=vs z#{1+9%zNW$9l-qCKXF@};FS}X+Bxf(RO=WK91V;%^Hwj<126`<(8(xi3e3RJqfJI5 zXP@0S$kUGs(h{R{shDb7l;Gy@S@ctPvO5OLdn+_xcG^84Qaw=ck$&-w)KQN^j~qMm zn?$Mcifa6Kf%s7=(@*8hL`0Qi>f9XEl)3tvC;6{F7HTAfI97Tn-A5Ju3VdikeaxZA zE=0QD4ky#)VPW#B58-%SPrdXl8rhsA+;>+McQS%WEk&}uI4>dyN|8|WKDz%k6!2;U zIX!Cz9mbRWU7=Hw&`^blBbidFeLpwWwh?>tKQBT4Ev4yr#Aun>;L>px$Gd$JBbSx5 z3)(gqLL^z3Ig))Nv_EYTI9hzHL`-MZd8S)782)n~BdI6aZvc<3D{aWud4Q83I7{p2 zTtEPA!39X*+y!_XGKwDbx_? zs(Lz4GS{abTWjmP1dG0|51YWHDe8&qqq3@o$x;gI?v&eTujjZIIIMzDrR6ACpXKkO|u^M6_R&0*n1w)tZJo$Sii4~ z+c7F#PVF!o|Mz4v-LHQfP(#jkUA^9B7+QG@YHy<^J#EW$Y4fnURpW|wif;hL#m=Z% zgxo`ay335~hhys#OJ)Bcr&Dd*0G8-~OZbAK9`4=7Y2w{)KGa%^$8}XP0Wr^>D6d zOQj&kfe!B83%`3UZo*?Ii~NJ$H68i9XE-0@qSa2u&TCAz#q_R<<7KT<9{pg}C4E0F zxfJB%H(YY3QgUZsVbWaKN#(jvfOmk;^~Ya(XG6+U|9Th-0Kaa|?dMNIT~#4@>RY;l z!F{h^J36p9HqZxYM%t7Ke`QLnE$5a~>FaU~*Zw3LerB+Kopn8QhYzp^IR{dzPZzMW znZxi6)Ar!8ldE#_Fv0S}7KFhj6-7IsP6whZ#H82OT5`SK50Kn7_9fl zdxgCYZ||&MxzH_xFqI6QqhcACn`o&tHjAI2k3orL;Yq8Jm9gMDDt4m(!C19SGXjAc$D_ zypHZS-7j|qunE(I`K{s?jtTmEkwEvAj&+_ek~fyjM#-G-4)E<^NW2y6)e{-Vf7s$) zY=8G5NSa;|T%JgQ>!``(i4k0dd{?#?;LM0C{*4Z%FeLVN zP5e7dlko9m&*x6b=TD7%2FS2>oJIr($jS-ysk}}H! za^*=vDM4W07R~ROK=KTq`P=Izaj*rJ3vga#aW!67QJ!a@uf#tG_RMuJ=jRsab9=v2Ey>#eCBUo_z zV0bT;i@V`-+N%4_MiwR0ez2`n^H$-4E{mFzikKd>u@rX*i0`{rz@vFl#Q1VJY`R61 zV0zfsLXd>A20ibMmGFh*suBeDo?I4s;qOuo581#r43>lKM<5>@)O6H|^$yPl5q_5L zLVH>Tqt93AuL<8Vi}erA7H7>4f&UMK&QhwLz&;44YZiu2)nq=sw3d0dTh&hOJLrLL z@CPtj#ycFHGiCQlZ$8SI$&r;`%0K@&ge};oHp2n#ybA4NQAU6edx6jUK9d~<6!8Ps z=dV`x7I4iRNZ(kUSja5l?V6`Qq$q#ivER#aua_}zf13fU8dA z2NAP(wB#xFTaADg?^Ynw3@!`0%7|L>)ur)}1D>aNr<3tMlD0`}on@cdf|B4Y-OL*s z0U2RGeH79t$YtT{#kV9H+hGOBovbCe|B$!diZtZI};)z^l}+@C<5;Pbiv=+0pk%) zSPNFxUDxC3|I^7>_~zQ^8{akRPI5l0nxXSAZk+qTbsfYgL-29dfpK^N`h~SfFN+k( zw>ov#7NPD_=r+6@8{|qGU1+&C1I|v!pR0UCONu$MYp$fC?gTL2GBcn}^tDSf07Buw z9oB-3UR`YHn2d^+MU_g4@sUp{VzCriu-W=>z*Z(xe$D%zZ3sZysgArz!3K4K%o_EC zOEg|k)m6TFKhDnYRNvLusUFyt3*9N>@(V}Z0bgxZEej3qrQ3>{btd}&pGe%$WApiw3UK`&~@6sn;}S6@0;w~qBA+NB@HkAzb;O(yl{4! zl7g#n!nBfA8h$YJ>KJ!g^@0KR2%J zw!;wXbeo=B6y|872e5HX@eS}<$Xz1ZDB4K~tTT+E`oHObqHnSg%mdmNOrUH&0~LL> za$pthz2}-a8g4Hl7bw#RuSP_z$6{B5~EFLNM`01$pyMN zlaAA=85Il16Rf{9#$f?$ZL2%S>@$@mdO!nXU!M{u4{T;eKts)1Ms{X}X=mZ#&6?ok6a4 zc-~GIT}*LQQhDRedb?kew`;+{tQX25XVEc=3Or|^ZXvObURRw1R$ARhh1)o4l~Z*+ zwY>EL=`kxrsXfbfb6IbpcK@ouV^l1g#&3xCcgj8!vUvQLFg#6~G-)#E4H_P}^5u`u z>I$&zZ!h(-$P}>B-p95|1>XfNTly+hf;3eM`Ga-VcZ?d=t;+|w9)NwG_V-Qb*nUp@ zkniL7H)nT&PHJ6rxw4s4#Vz*3_cG4B+btDLjU`~AetA~;Wp|P8VXqH2@FYTsilHPO zL|LtIK~LRXp@I_~7mcBPEdgGv?u+97f1JH_SX<53H+|N1gAK`B}jt3oBKZJIp_Jl>w5oquPZx~J$q+n*356# zUbAMcwWrVC7wII>U0M!RC9bBKKF&ZI^aDFy41=N1o^&?cwuJuFH!2# zPYi5xRY60!@Z~b*18OdjRqdG3s;P6qe$?2Gcv@N&N+ErR9gG~ zBET{laG;ZdfZRaMKU;yI4#ZNw8%8cSHZHR--Ct`j-CzHSJa;it`%SRXURW)l&R!T5 zEdh?+f?iqwjsylfby_GjPkKrywSDxBD&8IQ(ygoj;@QhOdo+6$5Ukov1OTJv15?l5 z7b57ty_g8^FdKl#hPlkTmAdAR*$4&RqW#x&P_p|T5F3+whH}rdqC84cc?X_!YQk>P zd+CUE1RsM3Z(N8Kidvx}G zFIW<|5CVimh4qsf{6hmu(^WoDbjfdWyPkP{tl8J;_~%I+>>E2{==t}l>{6`&j&2U? z37(iz_b}7`hNe+~k9Rb&SWg~>j#UZG{yG=G6p=0!A<&Z#fNT9mvm%I0N^#!I)LbTy z3Yp>VT}sStyG&YNS33B_JS*=cT{A`FxW=g1z1xTqUg!d9>(iclfjI&pKEl>O@%jozC8yqaMaWY3Mb_ zE*0&UmiUe&VKiRyGb1yW#Om^Zzyks{e?r_5m%Fo-MQ8Y+^bTL5e>q+Nc7|X;)<7MG zcg$$|Dso|GR8)~i;rg9d3`?aRFLDFd_TTo~Y%u$BM3=yxyOr5Od=+E2*I|Gm^9;GK zBH)KLi98JdHFl8$zkZ`eHqe4 z-s$|$wHg{|=sx{F?xaBM1_dCR@BPnHCpo~%`IA~`#flmA?da8>qWYk_CLoa-s)&0$ zmuqSshU8_xXMA=4wnPD9zFoTmJS92~Tk@_0j)TEFHDgOf_Dg@OD)zZ4%X_^<0Ow1G z`9Jfmk)}--nvT~o<{B4g$cz4q^^3SplLtuH-o*fwi=E>IST(0_msR5vx1hrrGDbAT zPg+|!EvY%}u=@_t&lCX;AL$gg{J)fk_wRNmliXiv1Zyvl5b$3T-3)KADM~HnYKu9; zAd%&1W2u67?liP(cM*%;?<(HwXAdG$Pe&O9!PtXKJb1p@7%G#fQgrYTF{R(KR+rT$ z@*e#2tGrlQEbZZVfa1DO;uVJQ@*?|Lh>gimZM@_6iJ3swYJ48qSKMI~=^|pR+a(BrzLZ z={=Myv@+4!MUfUybcMr?tU{d+9fI|_R-a~z4iTHU9>HlO=Vp9w_AT&-w0L zDxM;AqAOoo5zd@GRGc@aR#g>=Juno)J>kgG40kC+K}L0bD=}WW&*C9Fc~A-jq0m<5 zC$)C1J9XG$Y}I1}lizbMR{ivn5WuP!^xzztwx)3?y_gWU%Knf@*1Y&vc)g8#7O>tLf z*A#KHdCWod7@&L(@!Q*FrqC-}KCbD0$ipvd3_{-RPa1GD=ql)j^{+@tmHbxS8J-;Z$HYq6GlPqE$a~%d=zx!8z(WrF zXuIdAGT3u|w)zqHJmR<2ka=^!rWMccPayZO0NZ}BMQtr_KgNQt_?I7FBPf7dbK|Uj zoq6frBF&oJ%~ZzyGkX#9f+qElN*^P?Wu*4-x(ZUqyW%CXr4CB|WjmW6-x5Fjx#>*A zD@rneJBp=lw0lXb$FS;f+K|X)dG>pe@F4Vj`2^$Cku5pKat?iyv&daBX_UHRzgIOI z_;-~c&GosJl8`~GXr=FkbHdg5_-)fh8nq=*N|*cIqZhkZ-V}4Su@vc<9sA zh6qd4PAX&BH0m+q*U9n{cdncp^?T6Q!l@HF|E4W|J8Fy*|7EIoCU`DzVptAx25wH8 zPDCbf9PGGat{v?2mc9aWj|pb(UD7uQp~7oqm$s32*Kw%SK#B7ITsFPQqjt6^LilP? z_fS9%Ud_9%OrlKD)1n`|*v${C#Y z4y+?ZvK1O^rZq!TI##dtQ)fI(jo!AbU4ky@)+{NxnLWPR{8h3S z(q%Q|_4{8H`W$T zg%^2XZqSi3)e229(_%bv{l4hC&^5G2Szj4GOM=2IS|RV?As$BOdNUSAuooP{Xs@Xy zyFYSj7(U$AFE7=jCud>_+;02#?IAvjz6HrwQ(Kd|W9Yi$srwr1CGjFp_NNj8 z+63G?QjFW6Kg_&O(QKw-#Kph}>32XI{-?43;O}1gm~y6Q6400bLTk}5eDP%e6}e<( z((IxmK1FgfX|3)A80oTC}P$S#HD{$MM zkk}+t4!}=VhW}}@QuVGiqx9i#`3|?^i0}ZP=+raCMJkxiwVHG4&P+;}y#-0K#Y@ab zV}R;Z^-Zo>q=&$0XJ&k9qzs#B%=Ao(Sm`Kz1X=*V3nz^nOQ}Cokhqkw4=A5XT3@drNVEDnynJ?DKyQg$Dq2=sH zJE|hO&9}qzse|X#q9%~661_6)v&-H{MAmi=R~#i}TU;$K+I-($a_3-Y6ap$$Gh9+L1~q+RSlY9(VhjzyZJ zTlC{}j@7k!n#l!AWt(MKjhdf$CKi@Z{!o9sI1;c#w|RJ4ELnb}=B(!5eZ8H?09P+z)KcV@J}X0uyTT zOt%B7-W~W_NL~aVnOID8K*#*7#NM8z2E>&ew&};&AFJyYHIaXWA7zPW@RHSUcy(zD z!yXG?DRgl@;R#sa0D5LDLC<3{X{C^V&k(r}@Vutv%!z~jH(>6_6@T}F{rLlSR5wiznyxTi;m72#Y%`yR-;x1%0M$Np?GxZ*k2?lRsnez3OQWMvHS_hFPlu5vY zb&zyY7u`w3=Oe_knY_waR&&#s<=?!QPeZ@p4*>#$N&=&cG%x|mu)SsMdGz*062f!5 zIs;Svi?G6V?m@e<3d8CNtz9|ixGntghoaTp#U`1%`~1oid*EtJ2C*_ndbOY^>z8;- z_3^~-dT8%DLZne0IfD6>80BL3xHPaD$L}lVDBLT8fKLy>qM8__ z`8J=PkT_PqEX_+@y5j@&2=Q1Z5QL^inGXg%FT`_6K-YKN;v|((W+dH@3qR%-<$=2@ zUnQ>Rc$OC>nJLsb`3RY?_Ig)ft8o>QvfI5*L(l{F@}-=#31y^G#*7s-Zr?6-N$eoQ zqn2tyXL4>IO;(Y8!#f{a?N)nv|JFdC_N>q^z0mG}ytox^?p*kyY{RgFHxb|6n2N-{ zj9Y~2=7vN(IB9*vEfxr7yFFv~06qS1y5NVfcpW-h+mG;Rh(=rf*^i^D#?v`wLQ%y4y?aGZepkT+KIV3cagW}?P!BT^X4j#M>Q4{&Awrr1`(Z)Le#KMn;kEe$Igl5Ak#9s+?QwK8)Ed%%o0dr62g-y1~e3(Cqo@xg|ufYgR&XnvERoKXH`C zT|6tKs=N}-k;5~R^Z-<3*3NV@fBd=b&+!y&jhkZrcZmtcwH?)wHFEuD3C}}+l7Roz zAPNIqvrZf)4VU)OPts>u>={kApVZK-cDd{LyE4}_iTj@Y67mVRur8ggWA9J;%gFtm zut9w}C&kx134U9{3=Os@-nts`CT;Q0rFO&*8r{aBPozGwkCW6np6Q;Y5*PXq$LSWT z60eYH47Gzy*}i*}MX%=*mOoO7$T}Y~)Mj+Gu!3>j6x`mbBPJi|0I1&GMUy@HHo+0Nsn_kDNQ);-Y35H7}e| zO2FsN4+fsahJxn$KkmP{CoFWqHk9>-i8EPC9%c3jtdt|P`9~O7XEWY$WI}@>nPv^?Gz@X#aVDadizIY^Y~d08wB60_q$3h8gevMW` zrzz=q8ogS$UfjStV@GCVYqOk-5&6D>O3()`A8 zi6z+URKtN0CSVq)!U{Df=Uky79wscB+XH$MV^x=b@bpzH(K~$ zU*jm$ig5yJYBu{8L`mluNU+gm3J9WfVlB=Ia!Zg@280N80CQ!fWoE?K_8-I}g|?=7 zlCh=iHUG>lry(Y=N!2sQ5rwuN@ib`esu7}p7)X_L(YRfj>{ql)`Q4T$Q? z4)D&kdMSDsO zE+$JaW!twMOiiM8k4aZ3SxDjWJiako)CFEs%aiHu%15bC%>H<)+Zmpyx;Ey`L2b-? zPkct=$jWppde39YT7?gihVVgF)A;U&2>VVvLc>X)_>Vn3nshh9Zqk;Xdi1Mh`qktr zXM(uU)_?_kC#4ykhAj-yNPHulYxu=xL()4`zxVB1AV&jg_Xk$uvs+xo7@j#FZAOuF z91D(%-sLZ<&Qmfuj6wTk686z zro;;H*?+~|TZ~`EJ(-50D`s-tn^h*g;?Uj^@c56i^pI0o!tf(t_*wi7+0k%n7Jr{2 zG>+XLsxrahrV92R+Uem&3-HO{Oap8tF|C~FQRb!&h>!o^vU(kXs|ohRMuLxYEo@O% z^w8uhHo?@0PtFHP5!fVgvG!$?0)1;uKaFJ#*Gjs7ciDwnd+e(L=f@=-WvJ4}SAKAe ze8@KWT>gL;Xo!y6u;XqJ1^EF5x)BpnhDL31=28<%TXX7eGf|fBjO6-67{Q-z>*$xv z1P~30a{EwAf2rIth`GJZUfnyHwRXW`pT?g?6UGa@s-ebvo`i>914#z2^KqPsqZtp4>&hC|*%{$lUe}JO* z=AE-tI>V}v%OE~R>WQXvmQ5ff=)F_rsu~EZl9J39cum}UhMNzIm(NJoHjMODzICfj zESElbD>|{Z3Fp6}pz6A)^PAZw1KlBeO2*au6F}kq*R5)5Ti^Y!Nne@J{4dXsPjU!k|{NI zzxfq*fl(W~0DFMlpLva4kk-R4WKd&W{a#`Hc8?!=zMgQ<=PE>S9LpI-^g_R&A3T zv{|_m7K4_$U~%MQ+}C$ewVm>gsR4@VHK#p+Y~ zDBKQ*Pk>2e4H^B}!m45GgPJ@{wRA+7EY!@DI0MY?D|Jsg`h0XPAX-}JGtMAYIWw^U zcVH+fWrZCSXYqIJ9-Sdr6haZ(U4Iwy^!I{En6j&XT>c>oqT@&eOAAGd&E}dTR8AJu zUqN%?c6lh8$o50FK1YEh(FYfSw z-SI>>WhPe$siNi`x7;Z)V_y?}@h|2QBMs8Q!s7m6E-wf(u>}a_&MhcyhPQ#w;!?j* zn4CuDW%Z}0?#d@HfEC%IpxQ(MFx677-f7}UzEj?RnAHEToYU7H%Glkd;eYsxqu$K^ z;oHJq`yZaSxmI!!Z2f5=(b6LkznN~!X`B!2-;FH9`_yZ`r)ysl56rEkY!2NP&uo>A zx$nJvf5n1lW1l&yz=`oBSJTZAfA#n4CLF|ASBJDGLvA6| z1`Ms@OmaR1gMB|PW2|{AbFS|>MgG3-HdEk&pTFO0)>e@?PWn^k+(elmydN{fdwjk! z8$4H8cY6gdemAw;(HCcyuC(~xMdEGeJ#;+W6k zBXKMsy!tgYY-|RthOHf+cFhL!G{x{Y^$GBO8ECaPk(hP=LJyvTSK4@2TDm()b|Um) zP=w6Y<&%(hh-Q{ws=aUe>@s8PbVoz52c(J0twd*RbvQ)U-Y_Q`Mw8JB;Q)QlU1E^c zCvZ7X=zI%DUH1u9f^(}te3ExKo{hRE>&Mg2XYye-j^uChmkxa6)R?>Pwl-4rE)+AL z^H!|M-c+lOdb24Rx8!shbReCI6h))wA2l(Oa?K0!%^#&DNIPnC_Hd@fQ7cz-#!nC{ zO_xvj{;0bCNs+I=+n18t-zbW4Fv>lPOS4N1d!=H4AEzJV_x?M%gl+16&hfn0bDRKU z5Kg|q1>r5Ihp@U*^@W3|6h5);xd0jbR`#?y(a2dEo|o^l@6S5J)dX$cR+o_F>KRi1 zy4qK~)&8Q91>2HRhK4`biGe@>>H%3`gq0|q9d35S z@cTUveiklW#l!zJGX9yP+atEBGtGw@Nes!YgRAah5UoN||Bq0m^__!i4*}f9EqWVo zj>y)@BcZ%`T+1u{?Df$kuPMx5&3PKIpVOh9>c$-qa=H7Ja}B%836KizZ~Hj|@#%jh zqbv5mQD_d8WqYr_i=FsG- zwBol?`89^TmhEMl3K96pBu|U)|EiLtoUF3$k!FCRk!C?X{1SBCs*f%%jfR_Fkn-w~ zr-jd*mqa~ZAyxxlJgiCXwGmfTlhV_~eG!=Y!j$W3q3EjEr+0OzQ2?QsPipNjW%e2_ zAS@@4opC)sysL}xxw#v7@G0qL6*mKctMkEm8?(0bFKS7BsV474;qZZ z_UQ=9e>BWX49u^(npAWRM3Fu;^t$(Tu_rAit5)F6>gio;Md$qOu2Ca*8OUD>46pdKpy_Yk6 z#~?CX%wb*lR=}HA!A4p%PSZcVqE@))d-U@i(VaZjt3;o&xVK3Th|E1KJUp#Rf4_q7~?4Xt-K&`+k*zCebnkvBS173CgGnL-$etrrGaE)SQ zeD;3eLD&W3ked2a9OE%~3+!=c2rf7uA7*?t&i75TODX=9MhW4OChv#t$7g+yWQ-1J zEDN1W4Ez`xeuj;H8PgSB|nF5C;x={$NlV7-X7C~;6{$hV2Kf+bIJj>bS+Fv?j@ zuTelqgKA0X{gzgEoA1oS%%4R#dzt54c+n>C!z}OHt#QU^j!k=wr3l^mbjixuGl9;= zfc%}b)OpvfvGX(u55GPJRHkcZibx0WZH#oQ{}T1E>2QP(e5hr$Ec&~LV)>A(ZxiqS z05*P9_e{E$i5oc6rTSVAv+bEz3pA?z6%)#L?<>8MK=X??+J04wYPlq);?{{Wt$TT{ zRwX}kO=%^+M7{UJ`t5W{+W~p(K`O3a;;foqd==Of9b8tkc$t>A&vsQlY3qo60u#M8 z^qzj!zj%JTxdiKK@dJ5cDAasn*b8J)0ms&MvmO*FFs(nHxyHA!;Ffc&b7tvh@k3_B zv_mN$uXAR%TL5zp__5+ui4=Hf6(IX7spVGNJYT{wzo^cc0)^>K>l9>@A*i`&e);AQ z3Zu@4yfe<^kLN$;Q`+rZ(w$%67ZLeN%Tx~D@7x=iHx~oWG6LS3wM)G_J{c8S<2&e> zgL?7i&2?wQAlj6X2j=3Zl<*AVi)qo$ZJMyO*2mcKbA$`e%D9JO#XED4KR~s;o4b9-+}5T=Uo)lkkJe1_c+n=ZWLhMcU*hnTz`Ji4i=AeHekK^XH9-c z^4(MP!#NT5#F(lVb?2$lv1s8|Y|l?{u`u5YVsh*YB7)gV?58=w-&0l26Te?ft`?UX z{Ve3=zj*IN81AvPx~}3@Dg|I8EYpO1 zuEHQW{TxA`e0-=RE#H~)sw>iECawsa_0po~)Ryi@t4G$R{ZUR%=j=mtIR9+;pXJ5M zNq-^9uld$P0p>rdK}x97U9u}z1$H!k-96wG7y2vw@l%f|TAvw&sZgjbK|b@rx|pMX z%fPsroY55FV-8%n^Z-3-JDWRA{_&W4C!oVYowzc@{^YSMUBJco;Ur&gCn|(rre>R` zQy#m-fBohVKd8-hsWV>NZu~$n(rUEpz?TSH(Xpqq}M%ysIPW_jf&?zxmOZm-{l|uU?83G>xLVe7$x_ zjX8}aD;NmxV$v5+mE2nU=UeX=7aVT(ia)EQ{hRkaANShGY1cPQBFM*;BVdUVj*jz! zwwp~X5yDgff}qZ zEkAL2$Dfoa@2p@ygR(>2ha?ntNg5okA0AMaOP>rT^3>}SqYSS&;pLu9BBvN(9U%2&%tW1P zu+?cd`Pzn^QB`wM1Z}(6XiX#IMzMOSo1&5FLd#E~(yQwm9&SR*(42CdasKpH*WH%kRgP0oPl_yNgh3uAanvVX-GeSO%M#BBplk@H) z;vbx^TdhkNfZ9q)=s*ikmm8I+zdS69m25E1LFqRcCa%nycNg5LvhXN+V*L`5&0TEm zOr>hhXe_qMq^T=jEHit5KOM6N6?4rRYTfJIJ`#2uSlzqhHzbk4J^hq8GyCXq{VS$~ zV1``ELoLU$)S@>5xcbV^Gg11HgxF!k9M^`LL&%sqsO|hKd*z+OOnd7dwbF9Z^e~j&5ejQ&18M}Q1WWOv}*J)24WiDhZVS=y}jm0^1<;4^_<$J#9**} z#qb6-Bq{G*$X6df0r&X&UqlU}I%M91ywW3_!$*IZ zyd6VA6ygI@a8;Ou`RW6Q(+FN^;cexyiX{7s*2XV3Pu!ga$=!Fk8gJEw^|MZgH5|vo zPeGHl$Hw5Td5k0Tg}2o{kMNH>C_5D9v zf1v)hl8ddsvEk;MVml^GzDFhHMwIj%$>7O7RJHV>^5z@O5oxC@)u~4La{?bu2$y&@ zRLb$LJbC-s>P+G(usrDC3;1w6OyTiLGilK3WN(lyCFQVeIqN6|gyQ{C#R+OGv?HPFB`l3gW!)saByDrlEsC`)S!~SlXf=d($#Bmsrw8ocyR9^fojE=TM8<$xqVv zgBzwc`MZLOdK*!q?v5m4vCEQjsW@NAu3) z<-8M_`>SWhR=L!}xmeTbF5vD#U#{uIS#IQ1H6cfBjhJZv-;@QP?WW!kZjr9kTmMtz zE<<8VEz&W_F?LL60_VrRcrLZXtC`M z-kxr#%QMid z#_RLECBpBhm694wf+18PZD?>Tj&&G!b?BN?^{&H4wh2UTIBv~KlR zaJ^eoUP8j2S+>?sh73QK+WcF_=^uHBDl zk@if|ahGCEIo?o)je?0z&^HfPRGCcvB|`zIa<1MdgFVcq4(0kAd!>zDv-5wHK7HI^ zlJ;*xnyU|n+V;j_d1$;hM{OgH(u^9Ug;vrgro~#*!>6jWy*y~J-V$z@t&C`=)P*{C znk;yNV`FNcVo11+NksGW0#dNJ(ItTz^5a6-B-2`x(khRS3KAASAP%u$ntEHcWG4&w zE|l%;>LlkWcEX?&GI1Y>Y_eKi7(Uh13*q1hs~Hf9+1K+k;e5oooAkCj0cVwkHVd(# zJjt!e6+yiU_$5*CPUI|t_PeI!gt#rUX1lcQm2x9PtMz%cqk{(|%mo(C8czv##68a}y#^E`f-_D=;>i`RSQcUv*bmg!(m_-g&$orKYhQlUKVp{&>T z%AVU{Xns@VO=>cdU(-^)hH^9AlD-DxiqHCWt>a8iClx)bvj*>m@On0qm?BA2d>)D* zNK?FSac&16OMGq?K$miVv@oeyY>j;6KGBk(lIrt7A7N(>Rv{W; zra1Km&)vM&nwTx`)iCbg=rtyKtXd&eRmsRSV`5Z12w6l;EtOLTt0Etfu;cfQUUt=} zV(_S`*hpHl2&gVGlJGk5JDY#WGFPQgTdLSqurP}amlbCNIjYx4W515aupW?q;fp^< zI2Z=;PPtFc{^n;#fFUZFf*WSz4OvOr;F(t(u4b7@LcU0&!t+nxX=TEx_W3$Z@bcFm zoD<$oR=m&9l4~}&d7`P%b)00be#&Jh@3tOLes%`pZ@mZq^%!>gY^x=+jpS6Us3r60 zlq8rI(vLv5SD}kd^Vh%|v@fu~#q@@-9nfiEk z*xS5=Ht{*Pp>l{Pw}%lScWei)##SG9`$tQpEhqn#)6M73hB=-4vPYd+V&IZ5A7mw% zIV&p@G<*C4PUdb9BZ#%BjiglhlJY|SkMX9p+S?hwZ0aum?kk2K>GyBE54eroEwDCJ z?&70fb(TZ>rC;BbxYwHiJG7eXg)1sGy#jy66y$l_M%HJF_W3#9{}xUD>^rj}O-@w) zIyt5fIju=STsP!7#HcZ9@piDT6Undm>aXL17QNV9%kW3>Vb_hajO+cTk9ZX*F8pRr zAbHRn@;Pd0lgCes*W#?nRZCwlIzD8YYFT}Z#SfLZo4Tt^xrM8EsY690L&n zLu>9RbYnnTk8^Fwh4$W^*o>zeb3oaL8$8NFma4sA-o1RA1I9A#v0O&&ZL~7$sdLz;N4Z#g()MtoAYxmf7BfRu?|h14ar4jXnVqDIES&GEw9xb z>h2(Y@-(>dUy7t?o1$pfJpv2bk_l}Gu}}>a%BtJZeQ#=9v%CZj_h{1H9w=6-aF8*Ig{0}8^(3z+$5~kSY4@eEHZECk zNfo9q;qPoQ+hd1SDk=rjXWbX4pknyy?#CS6W_{|u@=-ODexluo1cO5xO3#zj=`~ZN$9jVLBRv6$#v$S*;{YwvQ?XQ zbQqu34;)8{acTX+iT^qOcRr9>`|3%PFE{|N2|h7M*-Hn1a>)Sim*`k{rn>}XiYpL? z@zWp~Z;b>hJ@v+@WVis85CB-r>Ql%`Kq5n1hU z0fy>i=FGO_t)*$daU0Wk^@iMA^SB*eEVHwZ=rl5*vsnO{|4pQ&g^Nntuiw<=$B>@| z2}JIjZ90>u&!e|ESwhI%C)2bB*mrNTxa>0)dV#Zvgn7>@1&`|AClh(==Uz~+Wj2La z-p!NRk8VW1{r=M>uM;BV)-h@G0{)8&@wxX*-1)@qUdhd<5~!t(9BCiu+q!)T%G;cE zQSGR1ZFIJ5;%?9cYiS4*5||Wcju%!tL!L=1Awzajk7{d-COkAe1Lw?NJG$Gq?P^AT z#@;a66-E#oU0mAo5Bxz{9CU<7)W~Kux~WC8n&m|YjLyC7hG1iHMi~zJ!#vP z=u|RpQ==`Dk;MLRQP_LRYfEfS^nwJcKtXQfFCv-4*1v_!B6m^Y4vGl>aZn>6)$YG8 zq~8O^J~%{#aI=%tI&8IEc@K${=UhC+oOa{jPD^pkdvw zgDv-mghv=bnKxMn`2#w6w^TGsC$}F!Itx7&)#rS2Q%*wCm||MG`jr zwN%x%}WA`!XxVu4H zY1mBi>a7-DETtJ@hGAobc1vRIsYUa)ZF6DJvQAy($XWDekJ($UEhVnODDA1J*lF_6 zlV!wXZ#>0T_O{L!01n5ZQy>2g^8VBYGF?A~)~ zL{%q0XeP|9pi~4aW7O+~4^PIIL18~e+*<27tKjBrV$XsS;Sc3o$ij+J2CJOf-CmF| zaX4DO|D45ex9_jrJM2Ps*Z38|E28|41fH!fo~Ji~MgHAjInUD3CPr>~+22~hLsmj} zOC@M-wdG9Z_UYVB3n#z!QCKA>oLTA~*VE@c8w29O;pIN>UmDqK8QD8s`KlKhV;)PF z4t1h>$bYl=vE{GK4n+IcC5#ffY~t=Sin-49EAt6E5>JCa*B{g}5{!^0LyUy)@ga`E zJy$Dff$Bw1z4d?kE754o(o`28tZME{6h@8R4E{U^vzT}v{!H`3%T#aiDW-yl_!LlOZ?3;7PO|mgEEmfE2u4+y%HVua@bI zX^P-~mRXZ)%^~eIDROjJ2vaGHPpMZTHLxjzq~;G!B^RlUhZTjDMN0{ z9bQ&SJy!yM>dJ$FzpJ92gLSKTPH`=!KP6|LZiknpJMDG7yKPG%7PJXk@o}zPNxF$W zYwvA_Oy%zGQ$$jW*uInw6!gh#-9FcKTuc5>z56%vq z#4?MN|M;4GHm-wrCBKX>%qJXt+*P}mjC8VZqn7zC6F6%O_dd&gP1>Q_f+!5JwH#im z((4;R!tl@7r{Pl5qrv1vWV;lwgFB@=d}q-QTo!LZW(~Cdz*&@1)qG&H_>w0ToDrEj z`l9Ql{<4tJ`C+x6BI*nGVy!K88JXG6+CI0JqW(~;uO4oim)~9|RP%L#J^Pm9bDdB1 zN@z4}3BGVDh3j78Y^|nlc0-3mZZaB1NA{~+fUKu@RCwBRu?pikrEZ*^98=<8p~QdV zvkfdX#%(f?zyoNz_BQ2qC#4C})TSX{;#$2R`SG% zJHUQnlQ!X_>uN!KJcMoq%B#Sn-hXzmJ)HOXa10`VnamfzkMt$JhN)P&E+?Z{4=!PcWbTJT{rZk&sNIK@>TZlEbf%^IW7gL z#^B^TJ=zX2%6wC!*yXG`8D>#Y883aCP0icS&+~M$1-1T?H5%xC%WpuHSRP@Y!>`e!2V1|?EW}#%(wt)2ax{z{J&Bg%|3(9aTf~A0U+WqfIqqZ zE#g4Gdqd{n8ZpxDFn^T&cX#qcr`o1qjidJ)NN3cMBpKMiLy!U*7qI*isy=audRj3X z98=TxHqcT@zV<-aq73J}J0TfzFEKq&Nz65}HY@MI_2Jv`!S2YK1r36YWlUb>0^=sv zwGM=orHwZrHyW=zb*X|cONPYcM6j(~CQ@uGqJJmWG9fXQQexKjN~mfdvWIEg^zZEh z8dHkX5&0RP2w6J0n(3yqd%*tEr_M=GY1X3D^E2qwUd~jFm$K;2-dGQ_n5&fQG9gi* zTEN-#*dOKWrXRRIV2_`C9paF zBQMU6nxd5KF8pl|X9|!0*4$|0^BLh!tP}VT@p0>5!6}D}MDU z*bO1ibf2IhBTc`xQ^4kVm7sY$pRe}R?soC^l@nz1k_xuTacl9CrK{p;%yrU=0 zGEAN`jz6D(YexoQMa`Lg0FPzuf1mOyGJQK#GGJ!xc??GcZ`L)3wGMK_3dz zS58tO`ekyBE4Tfl&tXfrZ@}xP%|F%Lo;HGJktr7$kn?Oc za6%2+AfX^P5xs9@f0GtLsnIlQKX{rvbjtNAnm*!DJ z#$QCEM|)wETLIk#GMS4Enl`F$1I$cNhi;=I9u_H^@rA5EOa6>Fz>Q6WHsZ|#O(R#bgfdEH}v($ zz4$78I(*}M1LVibivUqIb#s1SSlFVv)Mi(s27`0{KWx2qR8()+1xkZ52q+>$!$_z! zGIZ!5AR(o6_W(n8hXP7VcbAmF&{6^e(j7B|fONN{_wf6^@7{mzS_e35!5q$cV?TTE z=Q;0Nn8;VXC^GwQ@ww{vQ~4eG%Kkk+eYd0S>JY;u-CgvHF5r!x^NhLk`MiCM+xX9i zv*j7Kg1dR^N;{H;_SKU&$-u#K$s2xkchp=RHtfybB+uTAjM+9$8WZ^qZ21*`no0{| zXl`H-ZDz1(VkniC)NqtsbC9%fl-z4^u{bxSJU4xCZkn*s^L(!-b+2b=uV*)^Iiq*V z(rn9twYvsQ)N$U5=($O#qdTwN|Gv`Nr)`{SGaGePF~}tav)S z(D51UNN>y#br+4bi|FORL=UBAY?cf2uiTW@Irg8Kk7E4>8{Ru!B&!Io`x?JMNz#s) zA+u%>&{5R=gL&z)KA z*;Lkq*w`oiE8jXB)eZCf|B9IA==oFVw@IFFlaD#=esQ*Dq}-F)i~4iioap1nW*PL4 zE=E6T_qe&q$Irb|-}{qRo6m!Sf^H1mx{6NdobIo673-V}iV9O#NqJYv`xe>pMbeV; zj*@=@Y&kRLqkCM3_d?f9h-+W!+PP8%G2ru~%qey3@B7(iktXZ{%joz@yw^x4CFhe& z91iU}xfsbydI$Vw^O%W~GqF;LVNB%d^w~v>j+O7?O+!Y5$g+NF>A$b*6g>&)K0X7# zdU}w)K8m7I(I0<%>qtlxuCAEsRJ9eYnrd1*y1YC5F-^CIHjmy_6w^HOTi%(8oxP<9 z$_srg@UI1m0WCEZ6V5Gf{lCNFVbau;Y55c?M8&(pkuolHn8(iYPf+akn6F<_^YFe? z^l|eXaGD039$(DooozW6&)v1f|2HVkg(u3_mr@Tli@Z}9G||@$V;!V241ZGB2<_u; zBw_nR|B2i;l)3+>arypN>--#;^ z3mIucCgi)+S79(SF_W!%-J;FiLXR+&S@XKHYwliRAqz^+2KK3DU1vKh`?>t|?P_f* z$+q1c7wZReemsD=*|Vg?$*y~9F7QYPL|3rm$TN?q+>cQ>+!N_<2)_S? zk75hwj~WH^sl$&_RpHd91n;1q0y}e#`j)gVNck?o$7KYxn!jl^?PkIIFB9p^!WD)JGi|hc$_z}nf{u`C`=|- z;kT7RKKZTsvi<#F&6AYSY)Bx<)5n+iJIS?mWbw!TutS_mF>AB$TEo0$z*w+CZ-b0V zU>e(;!ot4nhEM57yI;TpkpJ+n+u8etEK~w%Lgjr=cf^g}=nM?yl*b zZmXZXe>OkJL?pi3 z;@JQ{h3`{w-r*b6vnau~{VXo+UbH_aQaCg{K&$C1-tJMf9FA?xC<=}IB8Wr9^=XLuXPgD$kY&jIzp%fP3AyzZ`kgUjRY~)& zH}8M#G5&RWv&F?;UjO3FD}I18P?n7S}2?#eAl8+TbHI$vAnA&xgEo2_5)sg0z8O)g2JWqq1dVMvke1MNK0WLwwiwsl+n~J zJVHmxm$`u2OHO`LT;R?|A=0qf{%>FUB&l?ia;mEpjd1|Flk)H3mwi(uED=#=h zfQJ~u0`n`FSyWN#mwp7kW!FRyuGz^KK8c|bW(H;7{ypfYT` zWME_#(YonihIWcVPe3zEsd3HfQlQ!6)<>3QUz!guJwGFOER4Lu744@)@=lA(J@;J+ zfXXi@|zKx)sLv#xJ9tKBKE|xbT1{+6A7d+jPHb3l?Xo^>YMKgo?G$2 z3hDbp-IJl`OVQuh%{N<_&dt^eRuq_#4vb*cC4b@`r^0K_!6C_;jr!M}cc zYH?Qwf}5|&WQEJeY9L$_(BD&v&^Rs;M`3O1KSW}KaWMq=-=BZ>7Rz|P?(7fiI>;n9 z1)MqYx%Uex1BR|I%WeM9I*R)o>An{K64q$9-Hzou@ot*HEW$5Z_31gOcZ?h9ZT|cq z)H2|A^t^K!=Z8wRL5h?VJ>AI6T*dxa?APK#!M(A(kT(`jr=h0X8@BpX11lsY(5xOB zK7zbzrpYyBhLDp>JOVjgHsBsD>U)cUUYyKQ8J^7bK#_?aMi}>Q@rJ}E=A58K|JD@N zx_15|ii)T*z{kT-`k8~^l0=}Gv%lFSy~($IJH;eKnjI-%sev$T$#)>=O%{jbosK+)dnEFHq+bT+4F8bVYnln#D=T1C zT)mRM%rmYd2Sz~L$_5T`!;hO=teiKvH4Fp0c8cR`6r7RdDN8GX z{1HFxO}8K?9`Pj??2jsj5p|B1V}o^OyBg;YGWpbqaXPJ%B`5uZd*a|7>N2Panz%TA z#}i94%6tmW-XWt859^~6N-jzek7-D^wnlMYTLqhQ-p{|`bfmTqV!>Id zxjMM+WdZ%W6)s-s0p>xfXP^$C(^aBvfYDdit9br0k`h_K1iDxQiXH0%$`cQD z#x`0)en z-gnQ>G~=YEnqsx5W{D)9im7|2>!EDdiv;85BglYu53ctNQKZSlCP~3ZVfh9T+MP~7 z83&z1>76W1{7uaU!pifU%`I#x5xvP8kntUZ18pRe)-H%C1Nr1!?kGA zy!k_O%l7aA0WRs>*2BF|PR$B-5%Vlk-?LAI_9#4QxKa(lT#I&Soe>RFWsb?KH2#RM z=fMwq7HHz!&5q7gg;&5MWLut=4c8GjBK_kze^MPO#*1)9p(|Pi&Q5QqXWU)rho}22 z7DCE0DX$(-)fJ*zaS`c5j%7jkdJ?yGrhCJXB7P|p(yDgoK->AJH}8IM)P$Y&lCM>zUp&7xp zWmiAXQL^}(Zt%jRPfoo2thJA9%aNd$Lk`#gtjzVVXQvLKGJ{L`>lAR`fUfwT;0nnY z7ewsgVq-gx^(1(}+kFe#To6i@MLzz1b;xMJhA5)^kqN?SEv3+Pz8vzrM&M7a6)v+y zR4Rhc%A8Jg!O^<}X=5OZ;fp`V<_yx+ZCv3rS!vKS393LH!z$sqx{qd5f% zo67wzMU_IXkda(cO)5xWzXk#aAEqK}Igg*eU762js%=65s8UiCh&|?|m&Rne7D-N= zf8D2=HW#T@#0wNy2lBXBTzv&U;U{+Hg=rAnpkpS3CoMw;pr$~-dL$)7<tOgeTDe&JvYFO@NTUo}qLNr&E9 z0>;koW=r?w4^HWR#Lx|kxXI$E;6^T@YeDpN8~tCMcd7*{A>RFY5k9L+E{liHPj)pc zDm_*&t5rF8q`sq(U_So?fnQ|E$m*av)kGk=j<9)aJYeYw04MvSML-nc`5f)hJEkWR&+)~ttLQ$kJL#x8?7hW& znZW|ViF{N)F|HX%XMzmbi0H4xnTAK?knVhh!ccQJs>ZEp@pj2MI6jt;>X|8sZgt{*n?tG#v$;_~@c<|q2IN3RG0VqGYx(nPnfTk(?Ytsm(+#=c za$~6m5QK9D)O`j{3l*2B5aN;Q%6|-I9CM2t&@*v6(|2jPR6_fb&S5qZHHp+#IA8ZTw9duD4WaxHVT?4hZG851~By znFItIkX>VR>tCi6^QR&Ou{0$k@013P=*ZP|%>ZVQLutQF2hKZok}Xu49u?suj=;Wb ze>X1EX|tAKH@&hIR)-BXMK?rPX z1=yp46CPsYb(B#czZApFJ%m8~k_ScuHA2{U(ozpGHL7JY>3w%RLB?Pv#Eff3DTQgJ zPigvL$bc-*=Jt(Sky9pfL6PzL7?6}ffr}+LnxRkhvmiBC|MghiU{O=?q)SYUE{XI& zm6PrOr?-yMpj})5iGDoLj*8&*4=AIz2`1MG_2nnn2tEs_d)+y= zEZ7{pH&Au5TL&@u^IHvQZ_>aZv#dWK*o54C9G}1kiNi%Ih6rpWI zgg#0gh3NGW)Q%%vFIaz+y&1%T zxvi>2X>xtCu|@}sEFQI-f5Z2A19W1sfIB8Ta=cNRHMBItPE!<}xRVq6PMOPVWdF2T z?Sw8JVtNo`z7c$MssA%p;-!dbSn@(JFfZD1V1-Jimu0b=jGGe!b!Gb=w6D^5bCvpt zoNJ_}9U?`-Lq?lJ62WU$S3rpf*XU$`jU@Ve{E+s>AQn9x)&dmEkIBjc zvq0qP?q&hWC29sKTWF!kY{L*mOe;K2WmRpxRU6Af7U43pkeZ8#w4#aOEkqC?M0G|k zx@@a1slNa>^CP-NnjkEN4&5HZi*BJysq-9X?a=ZD-;ML8$(q;VR~o|)PIuCvaNI-0 zq8Prq9_ESiv$UYL^Jj+;%%r#I6jYQsWKDDkiyS5z#g?l|4Ky}`D3F-;n~T*p0*w&5 zy~do{*qji28+-uPlL2l9xs z?>ofADI7$`TO#ji9MG|Cr$RvCAdZjVF)Bp_!`RS9qO2ZJiXbmn_m?f;_ z2e{EKiqV3DFOqVi6MOuuWv_8K2!UBrfQJZ)2*eM`Cb8jXi`39Ju=^sQp5+U?kHZ_3 zACYepY5w=BF`v-jH&sy45LOD}QeYu=GR~j!wMARkfmK?tyxMW?)YtsCB@mPQ_!Sd` zII?{d9F%VP=)NgIH^SmITJIR0l=k#y3{#Sh7FLdOvSMvazgh>4RecAvqb$PH!gnqv8o+=1` z2+P-q4S7iTv^L;DkqZVC$cq8_BCqw+(5!H+n2kpoE8HuoQ?96}zgk&fDp=P@w=KF6 zXta8_5XH11N?L%C#T4|(dER77@)0)JIQQ1bS|%3Ct!&f;h30Kc>XaJSI%88^TsZHXQ55OxA&fV%$= z{0APrstU*~2$rm!Pf1{|`4dCmr0BaGg9qyxpaf?}Pi+EfwQ;sE)5-I=hm02?L(p5M zftVJOHT?4T@qBJeZaQU16bu)(S)j0;Kd@ZjszxyIjsnN#?FqLCKOX>ABfJYkSn$QA zqn}uT9n3VcBLt;%9e{S1ur7l4U(-IL{6o#jlP_+zBlg3PpAU66c)3{tc<}MfoeYt% z9-N0-t+>p_KEu?mrqx;L-p+!}?S~P;ZWJ#wPv15%YvnSTaFGB;$6BiW$(IlTyuziE zr?8PJmzG$F*6)FxAqUTlQaceYu*Nu&i${uK@KeHcR7BupNLUHKhjm!8%`F8LqZSq- zJc_4HZ1r3`y+W(dD2ysqSQNKQkcCbi4&#a8)zUKRMV6D-mM>9K@h9@XZ(Rb#N_U)Y zjim84Bcj^p`ooISOjZaiEG;*P-dP6l*lKp=3I0)R;yvoDvPP1Gg?^$3Gin*3H`F^~ z_Sw=X{YiYZ9nC-{w=~Ra)fT@MVj*8z-!cFjMoBAXz5*zeQ6OVv(y*JCIE|rc7d3~t z<3~PJ7(t}V5XeBFYOLk%V1N~pi28$4@C6JQkPk5M zazGvBM&u}4&DSW}2J6G`8~!mvz20WMKP2+fY=9~@cKr87ah}1!?9Y+z_d2S^5lkjW zL}(Dej`4ooK!Co$;9!Ld2#NoUNx+84^~q5e-A;^=n|?@+Smc1AB4+Hg*9g_v4c)|L>^bY`K4hvONz)&);D45!8*QCTX8WU~ESIAg?X3!Q+}{P3fN<#orEF zuP@{HKHcy%3P_$+1;UC2HbmSvW*1pwd@q46+3Q$#m@dcM)qI765>Qm27|&EYM9gcN z_=hQKKS%~}bVjra>)&;2B(y@Q4zbZN0%zN*D$$m+*(~CU)d!pJT=_}M(MF9Mm5w^cR z$<8B|{2+w;(Gn!;KNQ2iiRwLmO@jCxmaKP2i;|(Kq1l0c);2jq&kt-te<0 z8~4m>G~f2?fqSXaXKcc#qU^$IB7hg zk$}f#&6=$9@YjR{2gvGOBSA3|O89^vO~#KfqvUi$UzkPw-i&wou0%ETVP}(!$UGtk zi-5XBJ%zsZ7n+unR3;`q*5ztebUVrPx3I|NM)k8cz2hIFRZ2r-$vHNCbO3a>Lm6OQ zy+}$RhL*lTNEyxHFj{TT^m1$ThbUF4+e2iHsOw^Af+k6f1wiC51-c9Xm%L1f&;enM zUTW;Pc%>QGK}CSXMYmsLS3}ziKft~N&Y>3I2>vcf!oK`CCEni!O{TyGGweO9{^&op zujO+vdSWFU59ZVbn+oB@h<#J`;sjGMn*42t0|r@%(jB3 zg+-DwxoO$c{3Bw!{OB95c4zx$8Fwq~XuXFHqbbN&zvX#SE&epAu~I8Da~kxWWM5d_ zHq$_gee2k`cQmslcLXYp`gF=sIwIZh9zj?#y5I+o6lz1j5f7oecq8;bv9t)jq7-?p zVKs6EsvjhynwBwtPlNdE8WL2}34IF?xgT4M-ChA6lN}L2|G40#0#*vaEkJSVN&b7J zd-UnI(!`YWiRQ?@Q>!C(c=^AInt$W2^Ki10oWSMPT;RZMI+UDrGf1 zUF#8ry#s$J1AuUKzo=h?PX=NQ2!UEzgh@vQ3!julEgbN$;(H^O(chmGjNnKJ?;=J= zckqi^gmHq^b!ZQTyiPw?Xky5t^MrxHr3aKR5a6LWjV$_S6x^Diby8Nx?mve-KFC8TchTUnId`{A5+^2vu z(#LT>JpZahGq=W}ihqEd*9EJZ9}u_Si{@f^FA==};QZu;Spdlah8Z}z_+0*d=^Ji> z;5&eUa^<1j?t?1Z-j{kN6vFwtobxHcj3zoH2*Bq{WQJ%cT-4>&)wL)ZRw)_|Ws?9Q z@tCmpnwMY$w^Hdpq>q8~mj;$ac-}v`2c#Q5BM!PBE#-1AC`i5>Y0dh;lMJXWNlVeo z>>sWDPSOJcZUh8jQARCIO7ag6j;lo1Wicj4FDLI4gar7;~7QUY^>mV0v}Z+8ipFw^!3pdSe8d>=WKxGr!G zp`5ehOKKQoyW;Pj#VG+GaNyuY7;GeDH{k!(%HoMjs|^}}R@L+P^3n`c29*ZQ!ZWFJ zy*yeHYq4XrjnL==FBE|C?a7mBGUW@S3|{oj7E#ruXQ@Gzk-pyn*1%^0W8iEl*@Gy(_sQ(PWwdYJBw&$dZRa)u97y$stR#0_B}hr&{tp5Pd_2XqU8|NwWxvkFit(c zqGE(XS7K=!K0(+@HQ?CyQq^GfB5TQ$8-wb`Ouz=lLKW*&zgX_FEd)fFCK8*yXsl6r z5U|@Jepxy9^pr{X&>E-w3lxre6UG7%;((HH1f`P$oJYNf*jd1Kgy3Tx@JR%)`T%%* zIUyLx>-@7dyzZYf9ec98U7{J(GNPIIiP)-_iueqtD^U0E0f=}mH!Qi98P`QTV9X4S z6y}rRRCyXbXtG{T4-V>1{hTBLlovYy%!-F4O<26|uq4H0<^r8PJ9OT+W8|%LQ02K{F zVednxjp64={^9KAXO_$^@n2b@9pfygt&ITD65jK_}czDxfNQCwaKAXlm@m#3zzd+~7 z30TVW!(bMYQb1~YS`*!R&|twyJ(1Wt@#EjyV`?9iK|k`XmE1ABynz-H4{wc0KZij! z+1gU6(ufWRYF|` z(9iOmQvq+MO&8{>{j#FyR*^hOFHkC8#t9NhvD~!)h2DCsZu(b!@g9h*(mPp|hK$$d z?VT7m63v0!LAy~PZwT_d3A*(`1h$TwA2catq^Em4m1FQYd42%;E=>~1QhA7nAVYyv zRb{#jKOX9u7Y_kQa;^WYONYPCHQB1^Z5bZtrg_mt>6B}!q}1b^aLqOiXRwS8D*4@P z5-PXIgESC3m(NBPAvN&yS*2nS^xb}br5kNYosmF{j!{HjG=Oj--6g;E`?^jj$_dn1 zf&DaqJOfdnb|-R_qrDcawq`(p-YCtys)6c1($;elZ#0DK4+C6~7qCMIPR+XR8dQcY zD=M3$a+LNzz4!eQ+HD&(66(zjxQ>lu138Mzf^?}NcS;N}KJ|v9K!7GiOu(FWL^Uiv z@dfK>GQ`l5*Jv7TB>b9?XcCEJ14Kqx3FlqNsNY7?+smaSjJbrwTI(*r0w#ihj9}Nh zX_=*OkvW3T4FL17ajg!D#fREcXEaeenIJpQ0AsM^IEm2gzxh$Y)VdQfyiGJ{^7D+x zr%-U0qn!+n*X86Xi`Fp z1uDiHftuNDi0Obj;~t@~*Zr>3onF8$hy+5XjAYoIuSPRsEYOm!jcSqRY67S-Xct6{ zG?RMSun=efAoE?fw#(Wau82t|MfG9%B7b<_H;eF=?_r6L@~%jr-4mAa$|4|;+edKO zpVz@xPUK>j1TS^`c8U?U_3c#IcP4a`a>t1Hx;e-M*ZqLLh0u zZd_=MmWeVCI2pPRidl$!1q8S?(ipf6i?vO6KjT3%lWEx-;KhYn_LvJ(;64qaDKJJ) zEeCKI$1OB)wZX>}8;^9(IUZq*Ug~79@#12&?~s=*fSwsQ$P0XkxduPA8i08XG4#Spcw$^+_LB^@4o= z-~oe-95yPXPji=&ODUg5E+X|gb<7LuwM@myd;2^Xb`3(to45^YH?{A*r@xw^%ZcDG zd)3bq%tC{VY>>})Rk8u8$ad)+4*(b9w}J%k`MR4$oZ$kLMgay$RTOd+l*I7eOJEGO z7^(Z^f6X3*C!tKe8c`>FoIo1X{Yk(d;D(ql?LLRKb_d2!OXZ|mGFb+njF3@Xt(k_w zc1M?mGs=XSEv9?1MvG)5Jny3cZOTmJ>)NtjIAiSOC_U3U$`@n zDyC&GPqRVXzA(kVtsiCKWJnlCAQdP5c^{f=Pz6ce<8=Y$yve}kBbGsmknDdh=^m97 zXhWCO6_;P`D;s^~w^a)FB}Ch4a6fRU7}d3!?yPIzl0xNEfOlJhJF`QljPp-{cvSx2 zKQ;fc3Mi-vDvT6Ocf-WT>bZ9Fxq7BFnSir|_0;!9R=^$OV9N)n5xdOQngDZj{yTH0 zT!&Y062sZqFWtnX*5tf@W2NL}Jl9fvl)JhO1r=BGI>3L4*c12|KKB5JZWYFL3HjDhxs1$i1ziDhr zH4>Wp>9n1SdF|*xUiSy@8x-T045snIt1v(%8f}!}^XSpD71^SF;ir0}5*<)Rlglpv znKqRv4UDK_hf5U>USpfE-h5se?b;5U91?S33MzCyfs8Gm}|Pz&JOKP ztCyX@->d$pu@RgTP*MIKOR^tQWSl*8wZhJ2DlMne*s}C3j`*I#fO7saX)Fax>?#q&wq-lq^{!$!;ao>_rCOvOzagXW9{3CWK?m+JQ%BpDo!IT=et!~Rrm`1Q;hpW3EK-TYO3vXG`4J7Ud_AZGig27DKI z#IDsoR%na!$IM{X{{7Q~2MJ{1w7VMW_cjF{6*HvA6}*c5O-J=uK5w!3$*_jkHL`>6OKL6UpH|koPxwz;Nl8gUJo@{VmbE)+v{`+Nw zbg`d9e48Z6<9x9&ot8f@@co}9#EkI==Hhc8>U;SuSyf*Dp?S~(d$W9e0lNn#Wsth3 zEe`yDtj3mVZ^q5bYpF`hQ}Ajt(BDX zl70?(^KG8>wSnj(_KZ4BC%B4;IYQeHqQY1{A+1xw!C4j(g#Yxtq#c_=^FGvLF<-s@ z>!b>!Dq4tLDTy{_^zX3e-(~;eEoSlG?)1OEJ(9IcC3ui;P@Jvmt=b0zQ$;u_fYkJ~u#A2p z{WD==(^-Q%GDP4ZxZgrS-UpHyDsQPUrI_uKx!qgvDo}AK_0wy(F%1O=5zc9xU&r~m z80UzPoq~w>MgBvdee2k(A8FtUWvWFh~Hr>JbUoaw;9xM zpH0vPoz_Lf%rdM#?zvX-%3|2eEfFgFwqS6n|Ghl(`|ptjsG3ag1H^o7%PDEbY3hHM3tn6ySMg%CO0ywzjY5$`XVn!hP1_0g z;&17P_uJUy)kR;~zP1<@ORea^=&>_7c?+l8-e)mOl5TPt%9Lf*mw~^3noKW$YI>pj zOh8`!O_1R?{=aTjp7986oqGu}EDZ0-lRw=zGuA0zt7`w0$;B3DLc_StA@`7ds3kqR zF-ap8eQ&IwLpiMy4j)Ja6_7SJ<)qdNKlh#B_&k$0S=FNsE;D7dWdF*`4?Y`#Rw`!8 zX;DwCi|JA(D07ftHqTW84|tQedXC3&o`wm6nHLOQ66myN~vUdk;9zA5(f|WIX$HO_yw;()pyu=T2+ga zzk3C^xO6gcF~cxJ9RMw2&OyfgCv`o#TpV7i2Q z<~Uo{l$=?Km3U;h+_u4s*p_9_*!7$-YCir={xGpmZ{RA7MXPLLT8tN+PM(>u-vu>mY>g`u5ueXNs_ZW|8L&xq0stP+v8yheO)Ry}WpZxG2#^B7- zVig#}f*HSG=5LDUxIFVgcQH~BA;uet8S`3x9jb8fXNC3!vpmHq*mcqMvp-EM(57B5 zLC9pru}XfrJj~1VoD=n;Aj)b#U0ea{{$s{HJ4z!nRdSP{5ed-YdpM4yXlUAdP-_2) zW1dum#dFbXlP{PFGY>}(##LB)5IPrCQJS7I+bq=9Xg$R8GG_d4cGJy8-LE=MOjElU zjeUgE^EyHxBlTnQd^LN$2Hh7A>VA;fqpX%h66XC|?QxmJt|uofWU2HZUN^r;b@2$z zDkS-=_~Fcb28AbkX-rj6-(vO`c7g=4)#KeKe}fW-bT{Vm6mAOmE@0y_FRjPSX^yNw zZ2yE>%^ch%AG#fPR3opXS~WMs-Y7iTDG+zXZ>eCOIalf%lldm?dsrNnifa9$o@a`_ zjZ{C62hNWo_vc? zvzacx1JA=MQOFjIfcOuCZJBLnC?-;C>P9)s*qKz3mHxMQA>ZaaTB z;yK(85%+tme_hu8Ghe*LyYQb9?$waBA;X5!?gY)Y57OzHhT6mH@S(ayx`Qvf{?CoQ zUzSTx3qG;wNVJ=~Qg=hYfOZ!_6=dn_2d8B7*tm~cj6EiJ!HJ~OWO3Q-Gm={4rrB+Y zatE^O36)&-tx>~5hJ3$Twc{z2oU=_cJ-Q=!#nel_J4@h;+Xp4rc;thTEw>hu_4VdX{>vOk0W zmXvP3z{6<2sq>+pbAIDiO>Lc4B(Bp@@+_q7>_fyJcYSRWw+%)q@9b*+Vq={s+?7kc z;e}iCe6?ZmzRME4>u-yTNL7!lp>VYHZnL)>CP8+5;}>QFS-#1I_q}}6PMVr!a?Hl0 zwit9}OYt3Zgp4hG^l1{R0C{>oMYeJ#A_XaQpeu=Z=!*HokYCkWBfpC|bIOtb*Kml= zu|{qfd&^i+*FZvKr1mKNwM>K%hM#Iy2S@7?K4hLv>(#)^sKW^{FWpF)yX#mFjeNQr z^941i`_rQ=s$z>i+S-Msmemi}omNeaAI2&Kg)@erN8&lVUz2MZ9~yOM~IIL;lRD z^{)fcAFaP^7%?d4oNO-GpexuBpaM4gWSBzgm4G=TH1M5P4Whb z@qO8o*i;+Wdc=m?xR!_2I4ls6dnz$mH~caF<+PR+{nZ0g&x2i5)UmKl;+lwvuI^%T zkv`{Y)dpW;h2Aq(&jI^HLcf}o`!WJw*Szu`i+0oQP}}9rkSHWl(F+D zPGIX*4}(wJe)U5R;8bbp<%+NZsb!r|{TJ)y#*0%!F^p`TJ z!tSempuhekil{TY-1FPfLe|hJX0<^ZO#{Xj_lO4vB5Gv^_J0`us{1 zOOVsljub8YrAcmAUM7CmiAnD~;=Q$YqqQW!l(O1!iQgy3>3u!oA6`6{tg~lh87H5e zS%rX_idA*2GrefOBO3OukBEitsRcFD`FD*G!nlN5xtZ4X`c-)m3-qmptFd|CXRmz` zEUoaY)}wuiGH3lb=Bt;PQ3|%xT?g|%Tl8%G65H^I#_)5Q8@W;2`jKx8V%O#I99IT) z)7b?tECy%!OWug=YbuL}OSl66`>pNT?}Oreb-|3Yq@jnEmQ&{ZOriPS)l&8S0r=O_ zY*m%MK6D?(dzU00>n@~n*p=r&POK*l=pRm>8Q3d((@YCTReCTsFYGm9QUlrh_?u>@uXk^!>NK{u$Vl@0PLVH@obc;k4II@hG zlu5PvVaedUfe=bn-mJOL&ih@L>XcybZfpACAOzB)>Z8gNHP*)xecwH+I5LGrHhL|X zkiMYcmvqdP+9GIr^%^!o0e&MngJQYi$zb-j{9L@3hJ869<5?x<5tv|^-`yw87 zbZZa=ENsx;@2*~#j5f4234WWBAi9I^{-<8Fn9F7a`#tUBf=NEf^-)(?=lQvjU$b{u zW#axm6L%5xXV$Nt2xHuzLeKZ!oGGjnGy45oR(&;4C-i(M?k#77|F0()lPuPgCkLN= z87Apl7d-cLf8A{NRTDm?eN35Lnbl_btFvm6Z6$qYB=nO?*wOx&swyO+)W%NsY`IzJ*GC0A+inVMrWnQg zdJ~r_B*wZ_p?xo6LuE(vB)G!26^MCER#YYUc4&PM*=wW{;{$)Q+prvAEq#>LroWg` zKgI7151afRaIt&#{bcg|La&i&c(T*rh}0J`2f-q03zV%ihR}NAWfGBmwRoKVVFdz; zuI4?s;TcIAf_Zzb_gc3#ap~IfAN|#9VmCBDcI~oijV7!*9XCJ>Nc$qli+S+FGsZQ5aYDrc>=PYpX{i z#9oBcKZ@P|Hff>rtb~xp+GYX=BG%`1-}By_OO+IDw`IH++TJf(k>jx4RiOg@<9F-7 z&KETEnx(F7NoCF5eNx?C=6?j4{Y1Tb!t&yg;WahO?2vNCe0|#0;M$O+Nw;#NG&{HT zVLjbU?+fZH`bktzL-`WdA@vpVr{+cUv0_@Ju{c9?^^(2t;mg9xHwPUG70-U;W#RmN zt&nwc?b@g({FS$U=)mkB%D{Gxu&-ZVzoI?Qr&lldcQKVB1BNR^_F3%cD$@Lv+k`>H z_8ETk7y2oQ&l1CVf9$e%Zcf=*sy}R2Yr-7kPy1w}Yi2Ek`a+IH`mIn!lpGffZ|clS zZl614Q28t^717z^TCwJ$t|bdwq7Vo5Qg;{j)1pyFi9F#8Mvdx+8Br z;v*NiW|iw^GbpFDO53=Ly#BD!nL|vpDeW-s8%4K~qL+z+b^Vfo2kb0fpQ3#kMVcHH zlvix(IgcVEsEK{sNBIrMnsGbbr0i!=b zZsG=$a5}AQy*?$+b;0u+`==wtuh#1oqNw}Jd2ICN<%bkL79Q)3{`2&41#rJ@eX~at zWVGfQ{m7)%ngV9&1@^$ z6sVmh68qv?Ss{M=wrvoVCoA}TbK{Yq#Nj*7!-`q-(PxoMJv|&7KRB+5+Cdj&1xiQguVk<#Y50k88Ev8`)C2bugy^GcrVwe>iaY!-+v-b2s6# zV_9L#yQ?jNV2^JGS5oFX$^Q9lxBPs2Oq;nhB8%va=0azmgb$JX;^=(ML)nmPqwi@8 z9HfTeZPlsM-XW5+8KmnPaoZ8stUXTiz%sj=MoVPr_nF;x#YTv@420Aj-T4*>z^^( z!yJb`uD_C6Wk1{HJxnZqwH_l2Y%W|u?#u|gm!iq4BKhoJJ<`i5v-MWpQ+|m5Hc#wt zfv)@Q5w<^jy$E^n;$=X=WZdz|X;z=QQ|2L?=a_3t>-2{e6H?trhAg-3zWAjV!_4elDn{1ehRV(WEF?;O~BS_V|zu{v5yS^r!z>HKW#AQg@cq zzW?yH*rm}{mt@1IpZgsHu_{k*nV(X~|39R?Wn7e7*f+XGky0rE0T~b&8bK-P5Q!lM z5NQFWTaa)D1|(EakOt}Q?h@FFbV-+hN_P*9XU%~7dEWDT&!_W&mMP`y#xsxuDSnL!>sUS~-{C9}*Mh%|^dF0Gg`LGYz-}u-1`0}hh zgHgn&cHRBdI@|D1M~a7k(#s8Bqt+?o^FS zh&f;OHSZ8@k8O5bXv5)zr9gKNO*#pYb9Um-gBm|+AK2wix$Yl8&Y`Nj1p6P!jCOHu8 zA^J79iIhG-%!t$;I1ap`d-`+Z^T)f!l3vk7qcdKAh+B)?aPYXh%)#H7>9+v~P5I~?wi%66EsMhdf6EQ-&Zo$iwyQg-@94X^T!{r&|R97ULZRpz$OmQXhs zw>lnO$c?gCF)g!t)LFiSOqiFEm^wfxcX8e*8(}wmZC5$_sLJtodSyv!oC(q1dCg|F)q#}Pvui_? z8dqCOG){UO(=)AQScmDUoNg_92eRZPIcK|1-s%7FgeZ=OJ9WnQ2X}7I>P}PbVD7IQ zp|~(X6(c>Bl9n?`)OYz5crDs$g;H<#g^~8@rdv%>1&4b9O_+sfAI$RwYM1pDDm;96 z`5pIDya(a8v_))tG^Os2yPEHY3*Mx&srm8!E$psjN(Dr+5D_$zG>N?aZWNuio;$xM zm0&qgHd$Xe{*`X}`#da2sfa2M5nj^iKW|&ozLP;;y_=(vzP!ERb;$E4_|F^hLd^nk zjUp$Z=r7CFb$@Q;WY=XGnx#EboSU+9)jFQkC@MW9GUJ=j;-u|+8=Qeyi$X?Dauj}w z7#5^msf70q2fDp{xEDar4U0WnU&Nc;)0LNGa7aGRSye!9?tD^6=tYq)ssAgx!1SoM zWF{T`^n17N2*umG8_IjET;-Pz9Xor|B;7L%%_hQ#+=hJQn=1uU2c|gpINWRG_rkhf z{(jy)n710x$wu|6HpO-6aZ%|z`j%ss++m0S$0S7C#O1R%Qb&D*cGi@_{83m(ecc~O z4w|+;o!{Ti{ju)jvB{N>{EYQGsfe{iWTd`qkrNe3eaAXEg<@{${y_h~4in#-@fGVk zo}Tu(wf&9&j|xRb0(z9nFF!%IJqpG=J2g(k^GynQq=DyG<@%1q9PKf_J&rqX!^l+Q z?Xzn~hVg4=SjXcH!eYRkj*_EC*b zd=RT0@87YcK|~b}m5Qy!H0>{Px8Jj-@OfG4-}d6f0^aW?9hZ zlS=6D9ZRvkzSG+!p#!dS1=m$?iyi;+C6^eT`{f(%@uHO2m4JRV7M1)MA|9L(ll7L^ zwO3-4+r!vuJ`_sV#@7?M9k{|9oSB5+QjVOH{)bbvXG#ij=KEUa2-Wpazhaj{Wo7$z z(B9EHyAvd7vU3};^ zghz_c{1>MylI!oq4wOw1at92VLF10UF3V*Vi*b99ki*uhH@{ep;eE2sMOdT1WdHI# z&WzrPnDyP>lqR6x4M%;qIDrgb7PW8Q2tX#IA{Y7^-XqGrw#9}W?gXQsB+4J>#$-(} z2Jh*&rTrgg5s;NUuHd~V;p88Lc3w5U5z{{S_lRRY=hx*8@cY|ui1Uk{Cqg7PJ&C}Y zFehTLCoIlS&|y!gVowOS#Uqtnbd|7Xtd?qJFU{R_jEaL&jD_lI2nu>L;pbE@upH zYG1F`oc)Kk){Ut3jaK?}lW=3HW z(SHMHFr)O&;HLBss@-ZD34d?{dpjNwEHcde2>F(HzDr`$a@P~}vK}p_ zCxX9Qj7D^FM}eLm;VkX5(8s@1zUe)s#0V#*2Uiw?c^M=8I%W<#klF|e&0?mHSiM}2 zmj;Pny{r#@=KiNC{bD@?p@sfp@awXY(C*LRVhW`?(O^2n!i;|O)i&m%#665nbmpmlU8e7yKYELtd>L0}5lXSQHbjXG zbf1~B+>>mncXSvO^8|mNg~lDX4Cwdex@W*&lY1IuVP8&VXNnE+!eLOD8Jxx`ZxX{? z&FMk6FDH5zGj{WR#Vg8i zMok^=8(*8)dDR;HLE>IZF*p-tVM=eD|6}`A>vs93bYQ!Fpc~g@DKRg41M%6UbqE|f zwE>6Vh=XK5;^&{!_G=)$v3;s*Q9L`-W_RR*y|V>+zI?af8Y}6mVjZh&g8R18i`Fc8tuZg#0BI*GFAv}i11rNQ9t)2 zr%2_Ep8T%=WSBJab&Gn0{|LN}ez+Q2>Q(n!JSk(WG4fTf#lo{cuFdWeN@ZT0TZz5f z`C^_A|G3WWdqibDLVajhe(&ZA37P%nOVh}Dl*>6arh9}uNeuJsaW1)%G5_+2(tkGaGQ6gBu`x z&oj*q>KQ!TcOVWuP24^duaZ~s7+?XgxEj@V&Ap*ZaOLFt;$GDQk z9eYAyxI<`GRlG$+o9{t+RO@puJ&3G0dd7OT#d}r2#vR|GKQg*DLbtasm|< zLhC?kAQV9GFtB7y9?45>d`)taTK0E2d?Eww#1 z*K3PI@w$PVyZGGnPU=dDN&d+1bglZ_@bs z3J{An`h0G0>~<^Ww%Sx;@kRzemf0+CCBS-2Tw1r2*GC<-+{7dWulI{-$#WAgXVY1@ z4DR&Cw~qVFN$VHS>0TLG5=_gW37}Gy* z-P^o$OwtMTeKl{jnp(ox$P5tlH7b>^3LGNm?!7@@yiqZu3Jw*`Z%H&vFgC4T-k9Nj zT1tFk_#7Bt?`b75FEEyI!Fwe(-J=#TW7=HI7@nuY?gj2Jr~87fV-ZIIp5;Y9g3;6%*6RN9l_(`f64P6XYzJ;iZ;av9za>U? zOKiS2i7Fh!J0+ywXDirdJzYAI6BKkou#Xi}vkZ55`y&pIn;oQp+ z?5-8yPPq~{zjc&}(3w7|t`;h7&`kscMeP9S%y)>&dPj^ozIkaSZVvbpnA_igBV4@{ z;F_|T!dYKnuJ^iIZZ2S~05}8y`QM}Y*7J+->5Cf_8@6Y6U0Tf_ovIZ{$n>tA2K=0oldc_LkBT0AP#gQ45CM$DjdJ$p_|e!D2FakS{;=R)~c%!NBmadC-L z4#BtFC$l=vq6bNLuv=U9mw1JsD{-#vahF*3$4%N5DeWoym~TOEI=<3|MB@q<4PNFP zSyqt9Dt&CYmv>^b>|Y2i6Dc(l!W||R^&KLGZ(ui~x9-Ax%3-!LhF1gm6{;y;DkLOc zKMhfL?a1?t^irWy57wc(7o7aj@|!&|a>(Tn>e||edQ#k%kx5$ZmER&}QWViDV|i#E z7V9}*BK0E*ucUIV+LaOhhznBDz5U6q%>=CjQDW+SvP#imM#}GuqC|^1nNQpit}Em$ zanbA09%eGq4TTdc9}fF_*d)cnp<^_`9wr$cE67=W?G7PuT9kd-GT0+6!(&ZsduTR# z2;!-(sFmc&-p;dvJa`1vMI1B>dEU>w*Gwkb&Vw|5&Ug4mR4%1!Hd>h8v+oq?BYu(^ zmM?j7Uw^xEmAEmR*@n2Wi}TQI_??ltif7+tU&L)Ii@Bxfu#>N9{fQ3H#=xD;53tg` z&CXlxJSLc%ZN*ORBey$m5jO^go}}W@gdgPFdeJoSjwjtOmoyt;qMt~5BW@7vVd?c% z<9Go4(~)}0{_yxQEY8hvuA5SU;S6FW=S$k=jW5x~`b}U?t z-rgjdNGcvYvxcw1$2cB#$ZNv+W$3Q`JcI>^Yco{82`}!wF|JYSmL#!DEa^2yD;JoMrW-u zH>RU4`ZB_eG>*&eW5yj7bB%^W?1IlQW63+C(X)sO>^OuSpAt6~pR#kp8zW|;2haGY zVV;U>y&cM6gpVow&s-P&-@MoGu!?5CW!_yv)TWlMobQ{JvzA_o#2ypC~{!Q41_y4iWEY((x3E+0c4WPF^B7QsAAaFMEBDD`xy{@yuD z_G12%8z4{wCdYiP;@c^w1WIf0os?5*n;ms?r9-Tw@qtZzKZ|$-#3Kw)`7u}w7+s-& z{8{-dU}O!v5GAaDavnk}BsuvoqZxL*n2TeNFkwdl?8uEBp_q}A?>Iy3JBdG5zBIT4lulN5@hg*Q1LOK09i%Gb zom{SFMD+1$FS-D3rYh2V89LP$O~Ih4$|A@_l(8@tpeC%i;C-aSK+6_b5E?Fy5^pnm z$;q!Qh)>b>MRixRA>|nf2T7FNo<5OzU-vt)wZ5Z=HMn3ug^~GMbCg#u7V2EaIZf8n zUuRZo*TggC%>wS;qMl4~eq*oXN66fBd9Tvg&Z@#ZE+gsNc0Lp8G64lX7kl|h7llxkK59hK_mtgrNb-BVKR+?wgFDM3ak zgL_|9#dPsu*hiGl#jT~goswfZN81HCGN%VD{>+*TE>*J2m3am9zEaszIy6JAJ{+`i zh7FZ^{clJalbMHo?AHloa5ah&6e>ckBZK4>v!#BEsnHWLe_D-iwNA}ki46F7b$@Vj z8QdP_aQQY+iZwcgi;HsCFS*4GQF7+7_)N~3PFyA92x&f`R?~=W8OKS%3BXYHffMak z!$|+)q0ADS9oVc7L@**kOaELaf^m_O6*kLDNHdL@LPc(O*y{+uzm8pve$Op}c3Z!+g%CDqNd2+zGBz<|vCb13k77 z|IXW#iyr>qGAtl83Dys4{kEEJ;u=)3HDm_s+2f{tsAwfpLUtjwKijV0@5g04b732M zR*`8#LwIG1z=eG51wQ~6r8J~|G84=7>Wws3;^;s7=^hbL_&4#E2!J0DwF#WMuPWlpE)M$!4AOW&HU!p!J`Ad;pU5GeIp|6z~$Ox`s-w z`g=u;VIRBaQ_{8CZ;*o%rFrflGe(FGjBaAJzr7{F9;^LaV>`^DFB7j&`h_`gF^y3Y z`empC5#+Qj+YQ%AEGxB3!4PSQ9W&7xzc!Ib`6Ky@pps<3T+FtfI3PP%KB<&T3gHC@ zUIZsH%gB~ZnJM`Bm-uFf>18ZjNpW(zYve))OEhp(^y^TJkOS~D<|FLRV@@=Ce@iUS z#+j3ZgS8yUS(YdOzyJ&-uOU#S+=d^rNC^U#SzcBG)QSHMN2a8g>yf26g<*p@Bu_-N?7`xMwRynP ztmZJ*Cjp}^Ps!(EwTjYyt9zwU!&VmCfq`Pb?IP2TI$nS5d$E~CedSk!{T`iH=NweQ zv1c~d&L`NN=G({yRqck4M*r3ew@Zot?xRK{$vZ}QPN#q6lm(x(^p8df+?2Bdj9j@_ zsxO)-Ghz}ErHED5P${+J(W-|k4*8;)VeJndK?YxkT@h`j=LnC8dj26|>l@J=bI+r_ z%HN(L!!(u~HO%!}u5qx&f8znv-uB!}@8{uVBIRIJ2eRk$gvAcSbqJ~g;@3gIjSt;o zg_m+ikVjDh%`uvz>AmJpdXrG#gWvm#tyzn!6Msfm`rCW2#bANqc0gebyef}-DTtaz zQ^u6@GlQC{y_W$XRzWSjq0a>+y3*2i=Z6l~uIT zR=JRFsru@u=4)l;^45fN+8>#3wwZIiU~6<@zWDgU{tPAEqu)0ehqgy9-Q!js(iKE z*$uxL=kgu!4WlJNM2WUUxMU0LZmSvmx*vxLp`>@4Km=-%+5aOsvX(MftOh z5zRnCfHzZ;Q2Zw`;`4s>I}6}DU~C)?!IP@oX3pW=xv~#3iV4|#!25aY&Jr1KF>($~ zBRG>zxnsZM4u^a7EdgtZmX;=&>B$1%%IwT!8Bj znxMpE6nL${M@>VI?yvPapeX#HP0RW7VTx9$S_w;{$Nt)aY=Ow3YV5f-P4eIM{MV_k zwEyVqE9q9(uK7e>4Q^Ai9DXz%? zz5@$U+@dLTAm*iL(o7K9v$Fx78#qQrjg-`@MTiqnDC8r+HOT`#S>2K)yStIxDfWy! z_`ngdjgW(8JiTyxgK>{;TJ>3R2US<3__gT>;NMi(*P@2?4Cq}j^^167Y3<_5XU##@ zJXbFpJ$O4?d&`fQAgjIOej#ep2vc>IxmHStcJY?=Z2~6$-haNI`S`s*?TBn$KYdRs z0@eYb!^B%c9SKAS{YcZ3g4`SDS+Crj_PxI-UWK0^{LD_VfAkVVM0v&(u#lm2SA0f2 zarQSWnJ@OrE9g3TB25$8oHM)Mc0(1gW0aM5P=(U27Z4PD>-e2P;)~GZ)mIyL+W9^mEsz_*upT z;%$=;4OX8wSUgs$@5<_BZCAws!s{gj@Oyp8{W1&OEUf?aEiG>yccTy)q+IBocgv43 zJt$mZfJzuSdG6^|;pVl6q>j}+Qi{eaaq~n0PZltbL1Rg~1=wk<>*Ia5AOZX?onsV0{3MBdw%1XS!C4EB!$5IV=_ECj;ocRrFTmj%KSD0~d$xN=Z?Wr#9rm%CuH$|>N|W-#2dsH7H$c-S$f@VQ<>z#9beg|fRQ8bAkS5UOBxhq?`5I`# zku%ay9F7HP2}=KrVioZthPJek_rOT%NX<|`&qiUsvO{#0u}GAGGV793osL+v6pp-_b2UpxwU&{S^+X=n&5TA8sPsUDC0$SDua zn3TZ(Yj_v)CK0xNj7zSgrOD~1yP^1z;f83!)bsFBwIAcGb}6BkmyS4E`>#8wW$$tr zVARo&ocbu&yhAn~Pz38Qtx4XgeEVd;{~9^0Z89IQ(<%^lDTA|K{;znd`Id-I z`A!L3IGAdC!E8kK);T|_a34n%RX*E!A<$jrp((TO?FfR`%Vl;s>4u$q&ZB~zvrSUDd2z0KgRCD` zTY;;{^i`Jaj=LESZhv8@Lfz`oZq$Xs|Ewr_njb&}uhY!lV%D@JnsaPr;~tkm?4AW+ zt-JCVMTSd4ip`R%H6vy39|*JxZ11Z4B{hwieZ`BXjIOLlZqV57ynxnMyFy?ViACTV z!I8y>OI`=r&`?K0eI^jTV!Z!i(>&3}%&52W z4+;9J!sStB)^~3Ezf;5yTA_N7fQ0h_iQEmHMzt&$?7d{aa z;qrW)PHW8*S-3(E!kcTW0&wU{tyZ9D=g+uHeH>>O^%+odt{6k-v6kG-o`Mi-gu*dUszr;97O&MCW*3d zJ&DFkr5OIAknXtlu0#FoSwZw-^U+LUO#5Pu7p*w*9vKI6*D-6aA40j9l_nZIMa4f! zsv87jdWlJ$kOc5W1oo$Qhhf9oDqQoWPXhV#Y&7pZ-2*@K#T2mK*AJee_rv^mxW4=y zE)Dk_gj3%GPsK=^h0;;(c`3*p#F#MOx0|p3W(wk4EX9Ai6+_l;iW{*F=SEIgu`E6V zt;SkqZubLrZlY)5MEPc;1_&jSOe9;S9AILXKk=Jk1({~bE=(9(ynhGv-JyPMR_MvA z6=@K7>utwzd?vngL5c5{5B9Ua{eB2T#wWBD8*w-~0iphKtxK(c{^+kn@hY_%{~12E zA4?ORq6D<=ik)Warr8KR52hW6hWqMM_S_pYN^IPLSepWdq7}ZOUmNL9v4g9zA+Pz; zsz=YVN#bfBB2}w9+~x`6N(baARZ^x8u>U>bHowK_i7J)9@4o1aN~~kOUlo)%dl=wH zXgZS6R=G&^C^6~6{lF=69r zN?YArS2x#TP9+-kFPI2@fu4+mM3$NuYG?mc8k;ugyMvZN%$lll zx;Y8CP8(NJQsr&zvkb?Zd@6EJAgGq&T|?2(dRtUL!@Yk}ocf8hc-5B-rXm5xvSGq# zJrOQQPL@q)dgZ37-I!>A0bj-AC!4ec6ykdNXpk5rTGwKnX0ux>$|zxphFQ0*=>Xdx zqD}u;(W#N3X5=!QODnUkGP0vy?FpP89?<1*WDfCWvi&lB~X_B%Hbf$hBih2fSXLv`$sV@j9Ja<01OU;gAGij$Py! zqfsVHd8`+(^C^Qf@!zYdjBiri3Nd2CR5c)u;ap#u_Bq7`Hssel4`V45qr(-qDwwmt z`|cWB>rpUN@!!NmS3e!xIIs)yeyYZ)pyLYZ&xcWnGS3k zqU>wZc@ptp*8cW>tu=FM3_&kDQ)b2XrS4zgUb104H1Xe-cKEwoojh0jtbne(OxIk( zkqE!{0*(u=%YYWu@|S4$ap5LU1tJ59^_GhsdMED_XBce{oGD{%~!21_Z*rEO9>)DK76Dg|U8bj?u5;1_umkS)sQ z+DGnkz(B<1l_lFVt`z-EWzmpU6q=!mGD~2=fSMUsfwex7=2(07Uk>#a8R}?0gW?)=638&;4S~=pySetK>cA>qYnXgj8?gK2 z+!X?mPs+$7HszvA_TW6_l3`K;GH&N_Xi2PXuXq8A;8@Esb1KZ*V+mv_#;ATbskIL! zLeE3{Rv&ilXX7X8N+v_oDrFn83hmOi|oZPz5HiH4;JBYfAN z!jUQj+{^@5Ymc3;n+Bgq7Rs6oICOue#%{hSgVgGE2NN9F9N|1>CtH@C!Naq^4w>DP zW(k6*`MbE9rDb0h5f+!(LPoD&0!j@7?jtr)SgU7aM~kaNlb4(0X{(|Gq6$8J##T9j zQWmar8*1YH1G>EHW)%i0j_ue`281L@VUL)O`5Ot)1dX4kI)Wb40T&x2zmCzi0I#to z<6+bgdS$!!uW#(;N6fmXMe*hb$cv(#}_A{%?#vvXI-olny2U$kS|VeM}*V^ zw=aPDjhfRO5_s&`44RIK-6+;++~@ub0wzFCMXSo-JaSB;HibBma+SMG+H^#h;S4aP({3a)A7|>9(5?BkreV!?lGWs?&XLHOS#Old`NR0=9e@IZ( zFU|jAY6(h(Z+%GH%CyWwW>si!`Ab{^T&H2x+0j*%u?auso98KI>k(7^Vt#AJ$dEd? z$`wLCfkc=^apn~CCh)0_^pf|we|K8a^liy3QF&u>rdCX$1JBD;jT4WcMsNz3R&033;4J8y9UC20Np4~Lh`4J^xmc+-xHTy1~jhHCX>K?KlC7gL_}N)Hked-tw>Ty&SEz zo}hTxuWb`xfY#(L;Q(->Df=ultlyan4{P|qe)M^Tl4$QNy-)Z@ru{t!Vnb18F8vpg zCL7AP;UYU)A|=>^&V6OAT@6pf5h;&@C!&N?x--1%SuW= zTED~^j>0C8g_PaA$UxoYyI}6LzVSviSCEi$im@~a8%fJ*iVyAXURmceN<< zSd+W^std@Iutm<+BvmQOc;Eh-GLd%aEU)2xl?trOw7tzc7^CJh0hU@@9diRRH4)99TfA0llo|_EKlM7@hRO zytXRiROWV)MFz!yWl8sBH3l)Np$WqJ$AP&fMsOx1Zsc?VK!|d6GAogSFoAB z2@S-CxLp#zVst$@`-Cr|TH(EY~-#q6XnG=#ljbW{p%qqGy zj_0q`FN9T{px1l)iQL)M+xNR|09ZMZ2*$!1S|%@JLS<~AKACSOxnuou3R+)MhZp%{ z#n}x=a)@s>vnEZ=Pq6><3JjHgg8^f5eY#SwpZ6X-nYmqcIwrw>zf}b14NkyIX;l6w zOEcbOuy`c=e$XyOfBxN^B%imCv=h27Ijg{JA9pGpVcI!2G|l-@w8B z%Eqhdw1))F2y<#TruwOIy>`v)xz#E&8O$2IjIAK!{WHjt?X+~z$M2$w7hPyuou8pl zE3Uvt#QZ=LEcM1jb~^u4$xDCzSLulKNgiX_f!D=qD>~w9@C+y-E4gTepA(3@zX)QuEahecSs9)B8jBVpPw}8% z0F-u5ltq`*`R&6l>{xXX@64#4-t&d4B?`6sW$wME`>elua5W_<_s?BJQ1MP<@#0Bd zdg}rMwOt1@uu<JT)J$ z)Pi4WBr!l7YPfF#g4Ym1H%7bhfiLlY!>qTm-toh4xq_k|D~4a1Qgss@f+mQ zhQFM;b2a3qvsxrc9eVfy7SZa znjzMqy{NM_;NGAPSz38WHTv$p;$&P}Wfxn!>(iLEW!jTODZoAB>|naGj=LHS`ze_< zI}DMptmY>PbEK-9?nFkgxIY1L8z2`jjryLWnMpna6j-pzTw5K4GcA<*76&>cb< zFLDFxSxxSoH3JL+Ih^ggzdWOWmx=`ut^nm}RMB;n){iu5B1UT+R|uKWF~D6qKjrWi2gY^~O#4Cdz$YKSgncurp0EK1Yt*IIy)3fLN4)ZdyLa^rj2= zf-Ve}nRtJuUZ0#Q(W7w?$~j4J#HbAG15hUZtr&74TwQ61V)?4uF87y4p`XnG08Mh_ z?4F(gIb?Qi_5-;tvwjo$%8zmbbSwJVerc#PpB3icWp|zv157z9M^Sv($ma|b&wa+$p0*MWeB~&wJpzzw9Rh75 z7isYiUu(IQUb;|53|@}Wh5;%K>1u8}b8pOn?8tM}t-)5Gv@!;}mLKgKrVkIF@^$0F z9Nq}fz4T5#DU5Noc#9L@;sPvBl-$nU$|CfzuJI3XT9|(i0h6~=KjHq++c47i-ws() zoX9XUe|cO^S8|7T;+qj{$YZ3$;UE5v-YJt z@Ve81d>=|&1b&`|{6mUizQ0E4!ON(T3F4&HzXW$;u?XUvUV=aZYip}xyV6T5@?+OW&Q?xWd59;p*%RZ* zetAada__YoF}M9TinITn;kC4V2%Qt)o;%y3g70_o&3@jtHJ*bl-xW%D95Y4*8iwh; z4)-U{8c#7lzii}nGNXOC!Zs3PHd(ON+yCca#_M#>;m_K}AM}dX$wS*Pgt>^x%H7B2 zKVJ>rp|cd4DEQt#$_YwJrk`$uHM*0%tqr&qdmeum;VLB_I}ZnC@X#;3AtS=14AT*T zm7v&14HOyxP6KGhfH#?Q>eeEEjHo@Ao&bmWz2H=^&o40wiP6F@w>3ofmU{Zvc4cEF zTqf-?<+^KLZ;7A_V<2$G_(2uMl}0PJd7`msPo@Z+?;r|OUAHJDla17QF)eUj-3K~E z(SXN5r|oWXrp;Cag7)~^fjcsY0>ZzAiYbxSkp`WmyP;c90$AhlYHM`*DZ|#&_pp89 z<--pY0EIE#aPorB=_XPGEs=-&M`|*|0CQ#!KEDRGBn9JpV@k9>_wclYb&wm%wh7G% zULZ$i8tICw4&^I=U%+FDap%eBXJt}c-8B%k?NTH2b%4Ff2Dq616Kf!{=lQ{n44dYW zi6`6O?>8+s0Yw|+aHXJIw!EkmFdrLUA5EP#luRf*?jJBIdbcff1aM>XFbS4eAm?cz zbuL4e$(fF^YpzU7-TobF_gx)8xtO&qf?Re;yrbU{SAmU{3zT_q;#w_5-Sk9;1Erp= zn}RT4wU?UkB`fHc!d6bW9gR+71_#cjm?Hv%&7vJZVSVj557;JCA=AG_b|%x^=PR7d zlf1+Uur?at&N>HYk##h(|7~=0?GqxYbPvwt@v0=uaQtNhd`kgW*B8)=<%SZF6v8HR zZVUg={-uvR-mg&GR%%rSri629`Qg&%wOC;50PTZu@3(!H$FYvfbn7);srtzz2?cSR zG+Z^K%+TVzV%6#cWqK0lXlm89PXJxZG6aHRY^csw6W4w#>aP_Rpg0>E?msZ6=@@YY z%llF_rq=M0=|VAik5IxJ?_SmYQK-EycrT*W69#O`^P5ubr&*Xv3YH#qW||Fm!kjJ6~K1uq@9YnBSg`MX14fUxX!02$b zTzcpmc4O zm0&`36kTrIRjh&up3FNj@gok0aBmNo_z}B;b5g%^zJkRBEQDqkfJInd8VY`7r=s-` zX!$ZlBfmZ`Pfh^D?Q4I7976<2P8vuZ7V463J)n7XM1GE(B~lITLC>cHz&BLIXiBtV z+AW3#K8roUPR|n*r-NLamol3h!<=^>{F5>pjV1?eBgS21Y)>1-{(AJ^gRZ_^n>Vvs z&7gpJU?bvUVrjNuD@u1NHSZTfJh?@<+nJ88MR=vISEqY&27N#(10P+FpF!DGu6>mP zA4arSBE|CKi?vEG3VNU0CqX%yi2%2hdgd~$fjyu4+dIi4Yfd=+)H#5gcy}>vxz}en zW?j#4pcxQ@j|WYbB>Cx z`+R9vIv0FT9_yQzzD&ZKU>&0l40DY_7nhZrTJXZgV|wroY4y`9z9%vEJ0uT!xH0uM z5|eQqU(@L1V&#Jsdq}hebGz{wHZEtZP4_pux2kPdWF&(1-`#lga5BB)F8=u{_bClT zAe-eylf>XVVX~!`^8+;NS0;oNvn>TMjlJJ9^hTpa6jwN}kv)0-rGgx^k!`xNMd%b` zlKY@N3+S!m%}T{1MN984HUgYE=CP~L1uKxDVr|C*O}4`X6T=A7+0XzF0Lgy1ni}J$ z#_{=Y&z)MS1tDYvj?zrG2V2tPn6`1akIt184^5ZC1)_qbo zl0XHSL)-U2ZZX!;+p}Rnh0Keqo}^45qUYT>CgJM;fd;nl5M-pzyJJ6sI*U;PTI#1C4R(qK>V52o>|BylR(+4DE z#}rdh${K#dCYRXqB$>=f6}T31TNNZJ9) z4jLv$FqIGy(4>SD#4~wUpF7Q}hcn}-q-_AG56AE~HP9=CiDa=|bk0Fi5~~wI>01;M z5gyUpm`2X7{cBJoR%RUGzkXy~*tCmgBej0))}`cGx~ly9tSLA(2WNDkv(-ZGFI01v zSfir+GK8inA1d0|V!Efx+!zH{Oq66nJKee0>(OrYXkp6sPm8lpc0X%G48UJ4kGCpp z3BsTU^PDmNyi6C6c%8Y`%zo3{7SH>4pxqxrviE$I(%HNwahTS`(fe@XePg8&yb{Bj z6>DWcio7lg*~o)`hp&lXJ;-|Q@9#(6uf4vzgp^~<^^3=}I28Qs#vc-&5=P+?oaZEx zkFSr|bN=EF(g{g%?pXnTefmoloWbBVS>S142vZ_esh(3m-leE0v?{HTu|ZU*oGc~s zoL2pGbp4`7VFCxmq@TAom%C(>AX{iw5^3hj3&6JNY*UAe@_KSBL3(Wq1(1it29mY`3o08&~SE^i*Zl!>X;ud(UwvG)Bw0rDW%_1+V(Tb+`yj0Aqa4p*x*>f9})Y!K;Tx9v};Wkgj%)z}|-STVp&2ASkUkh~?iX{eGJYS=*wAs7fW^eauq0 z-4QLPN!iFiD1>KnsUAvob_#1AQ;>o3<3j*`?muQAuPcaQ@@DxlD z^h;E9UfsBdx{6Ue1tD}c0>}r=0_dIdV%Wg6gkwB59O{A%x|xG|RTj=fX5fo|Bfk}) zSATAXK@FjW5MxLa<*#1GnzhJaKg>1QF6;X)#4;alLYHpZ3`Dj(z+{Jc30Wh5c%cc% zKnCiC4A6st;nHQ0F43{M4O{OiRU!k*I?Vr$EV$!``rm*-l13{pAnLCF5gck_hG+pT z$T_1AU&9iaLHFyq9r(1rM!jRp9aOcR;QA+E2)t_J3{np3gcS*SfX#RBe_zEo|QQ^E?Df z7=lz~d?J72>AW|lREEiI*cxq?^?&^3ZvoHP5RnJ?rnkiHpG!Y+Pwc$TY$7c#;?uq+)Dl;Ek))q`>os~ZvM6Gre*o~IQ`jF*%-%T)T|GDNPOS2`3+ zufr_RW_daB%=G0aO5wQja=w%ED>^h~F)~)W2{FK`o2$G5%5%|gS|Sy7r+avHvNnC? zGg%HYNmSo$4LE>HI#x1JzHPG+)eD*!x5w3}qxMA=jeeZofK8byii56@9L&5hevtRC zt752|+Auan_e1g$%)gM28MuKJ@0VL$xAA56eCgyznWRx%501ZD%P7HDPvoF!i+#X7 z85jC;QbIxZHPNWsJy`@IkP5?KJuV83UIhuh=F2i@^5#^&L5jK zWwNKOc5EWO9Hm!ESffJ)Oi$2~LEnE6eR7p+56n^(CrPR_6<}tg%^X2~t}QIrjl*Yl zizq!7QYKN>hu^n5RBk3?!GC)i(!gh_H`KIVRS|UbI$bgkDN8<^DgK~T!OQRw2}_&G z?!#KXSCY0l;+F7F<)^#0iS|2#74TbR%3s3m!cOdM-aNcTb}cQmf$uvFfgybpnqui$ zu4H#O)#dirKloQ?ZtgDBt@MZdkmc{!zUs6fk~vgTg61njtmboV_%^*ZrqnineU?wA zfc=p5YtG>lf^~F6{SeN$XB!7*AM|1wKE0v7%#6CP-E`%PtYmW0wOK_bjyOxv<>=RE zw(sIhrFhlHuFUo_G=&&z;6`e)LM@7s6Z!ZicEhMkr-xz$G-TIS8bVIqKe$G~!qNMB z{aXI}_Pd5C+3}e}br-XZblidac!F%z&aQQwA2tv`cV z1mlGgM$kopV{v1!qu3(%Yhjjp(e!VxoZmnG%sy?1_;HLhU_cVP5VV&8Z0qRKY1cnP z*5z~pR#J)J%r%?-5HVlP)=aS^mLqocf_c%)nt3IHHvP6e!Tl0VfEg;_YnMWnM@%mv6vwj^Y*k$A{f5YEWZM^ zX`a{W<-R#l`wmqYrxqJk3SXAdS*>HsAS~dXIdje`=}~oc-P}E>m$k>k&Q<`ggL+Y^ z+(S6j*r@PDDdz}&+n_ZKZw<{fJ%|#Os2H|nqk=XKM~SWt9oZubIbe^7>k+i5(JFS! z?!2j+lV|dyIPp z${G`Y(cjw04r=`%V@-SPQP=h;RnMy<-5m19>F5e-$rKpZwf%u2E209XJVihGbKkoYAxGrgWa@;dUnE}-XN zXq*vKi7=%lru2oBfF40@&kLQ2@(;7rYg)gPfNBH(OM;S@N4nBkMz_mM^S{4AmCBF zmNcc%YR)E$OR1}V*rEIuCK2bq8H9!nuav^^doa82;{EuAZLLnG)h3>hiR=h`hrVkH zC`?r~1q65jCsXiBK?k#oT*+35;RCF^aT~xa5*Ku1=68<)0x-m$9YEliPF8=lBB%6N zwRR8l#Xn@Vypj^=_sfHG=rj}HhfFzhnCR@XM}Hp4dDyYIO^Mvt0dFtN3#geuGKoX| zz}UVE_w=*#sNMy@GwPG5#Rz+50z;2r{2p9<%PrqGHapc3noraxp`iW#wNqdF!DC~e zKtAJcX>=^qbBZ~QgyU^dnuN9J;!cRCOZ3(E)<3#&CP?`uLxbh(7-sHi{Z1%i`Qa&_ z$r``z8|bwmEVlcM0IuV+7S5h`&J8;%>;5V=iUIixLEwoSgH+4*#h@On%55?Y!@63c9W<-0;L ziMaI}o;ajc&c)M{I`LQ+#7&{?t0^U`a31fS`|0VDqV$gn?KCTB-R8N$$Fj^Y)!hOU zv3#xXh-vQ|BQowr`AGr1fj;vBimJRW7s@IXB|h0bSROrabB4zn*6!dwdW2+yE;l>P zdo`CRAQ>gD=0a*I5~1O6M`fQGnlY3lX$Vuw497{-MYw4{Vsp@N-1+SJ^!-}n>RA>X zKcc3-#;;ikbpl?yjcJ%fe769$15+Q;%qN@-S5%)HX}KwMHmI8?o(%E!+@dljQzp}$ zeb^Y9?W9DM5DBP`Bk$0k*N9OrROcnQ3834ky_8?tOOy%E;SP?LJZgL^lnI8=#i6+j zc=GK?d)pNE(^MxVsLcDwD$pHv;=Cj+aB~D`=P+@xJUNwF4|z@bO+@;KZ;!}vUEnC% zPMj8_gG7{g$Z`1NSgE@;CiW$nkNT9W(0Q7uU9?o}NCJ}3^sKfV80E}Dr){W{>O z$pcF;(%m%!d<+oo0X5ZJE+b8cWLxvhfx@_%h%lx1oqGiKel_0NcM~LKtCewD)lp{l zMOBihgsL_FP3X~)7mA}wl=xZn9IZ!2n9|!=-so_*dVG7POX({pj7G)YTpZk&g@Vx2 zOx_p`_{^IK6IggY#@n`#)`p3kVj-t1$^L~A`c8egRPQo5J{h=m9B@oOF zZ>e!b6e^bw9uKTJ`gLv`(_+2B1hDpW@sv+u;W)!c+=ha1%@lET;o9Ecs6aWL{-VsX=dy%+;vTw4Z*jc27c&_ZUC~ex#FW<%Fl}z7K-+d~AId#=iO&;N=YD9h+@& z({m<#Wofr^a78rjlh&VInwYWNHcBezkFHN&FW-kM&#-%}zH6}ixHoc^mA`#mW;<_$|v+v;}5c*G+nxU(O2un(p` zIGtSw(u3Q~gxbr~3wq8pIWyH4lE2}knF4~Aos4dSc%uQ2ZKHUT{ZUFW&p)AQ^%*_V zXv21<=7BlO7&dv&p&4&aj6V8KpnyX(a~EHKF*Y$-0ARy&H^%8WOyNNS$@+Upv4t2T04B&JE{J>r;zMAJsu6&~_bAaxzg%`}O@ZKv9DAOyef`Q2x>+!KD|8YZzPA)m6L* z;oHPAZ~^A;pTCM@#h1Iy`n-)nL59IQpG&N_1EtPtbVvb4NpCm&b$XlWeAT(C1m5IB z`hG)tkM;?`G3-^hIDQS}`ziRUsYXgrHP27Ms+V$a+G*heV2J{8lV<4m?R3@a)x_zP zMO!m9MVwNOM?flpljtGN2VuHr=G)?C@H9tby?dE-oUKZa+6EQAu6ulK=GVLI_GRF4 zIPLLoPCTWVWqled36qOHM-;-ibPe!w9My=V1dw7M!ZktE>xB}^&>J{at>afTH733S z;{1U4Ha`);1jr}U;m`qY&8GYs&#xU|kjv+vg)soC$B`$FC8Me|dM9~-} z@r&BN?swLVueWL#$g;HAA^<0fFJ|%fyefO^q$_YGesm7$JA6ka4WmS^1k(|hkt(pP+ zNRlRs8%Td9-?jHmnp>Wao>*A9BMJ!xOl!YGiyIj+1>YLn<)HqKXie)cCrpSRdB@w> z8a~xi+4FpIR!bn7n1T+&8bvi`3QPl4z5xa<-681{Cmheg#(s12228G&R)e}Nz?Y>H z=k5|#GJ9$7oGyJRM~*z%%1)*GbSIk8Ap9uS-&pft=G*L0e5CIFsoTdGefb7H`rew4m*zBn3%ExuOEA)`VvS+Vzvbaz_AEPYg=6JtL@B8B>K%{? z1gl}LKe{(ze`5>F7%x=QA<7r0q96iHR8>L*nA5%4RZ5p>eu!Qg?6L@-aRU%4eFZQ@ zLvGD>8&!5=kEM)HPqvL|3hzz6qrD_>D;3o+MU{cm0Hhm0pd9?jwxw#`(PhW$EuD~@hFc>ZYS>y4DFfiv_W-b%;b{ranF|I>Lw@YIp-{kMXiyF$D((>|045IjenvQM zVZCa1xo@N?90GBxlQ*FpqVOa#-;r1L{*G~qu6*B47;mA<@1&HL4nS%?7NkKh%{Ae^K2KLPdN*WRT(dIZYAtG(voESi^?h$Z+C zro-O=sm*SEG}VX|^!axdzuUm$A8oJ-2~knKs_pv`9|Wc%rT)20M^D+d`*WEn>o&ig zZ+?6H-ny?`tnShaKWqFt^8uh+9%MZSHZ?LwcT>3{X0C-gBAaa8Dm;(mdbfh&vb4ax zD=uPtH=ksr-W-(?!GzB3oNV<9d|A}v>olp6 z99oU}b~DJ$GXPga%?2M8PfznLwrYA8v1vg2Qo1~8hO<;^G5+FMlc$l61!qCmhs3mQ zrU={(nT5|!+kdlDMQIhef0w-Mebq-$$L2Npe2(^nYXA8HQAzOQfDVQ@kaFd(Gb}7i ztvoW|QJ2Un?{rkqOqXODs4+c`q!!3E2~{`Y*Vd!r03{a&o>wG@6>^;+Ci>~L+Lf1P zR@W;pZLsudSzBLesY#F3_pZM$QndLb~tG(Tk+u8A=RT2M2 zRlzAM7c*1M!DRpWH7nN+zrLLvCxhPAM%MLNx9yR*((~i-Z%*%G^-E#?;CfAP9v;z|qjy#tFp9!O9Hb zgtBr$0oV;uH$Wy<~5p@9r7|f!-pq07Ez#I{@sl zf;kxr8yngh83QAs+;iFO?Uv?aWun!LEL+h>UW+NSoLvzQDACEmY*-G{Yu!yRvD_rHOf|WOF3&(-@KN% zy-`2wGW!|p{JJgm=_mWWnYKyqEl<(Z)SVOG>*ZtqHruWoOc4c*v9f1E3bJzEhCa)g zhF}K_?enexNFIdcLLOsFPf>Yrbong{=7*s@c9EZ%A*`2NsHJ9ofiBw(IeM&oI4{B1 zm*Zu_*Kh|F@Cfa;rB-{-MSgnY?x*jOAS=BnPR`xi&lw8#QqmsXs87ymPtCPh$ie?5 z>y)ObsL@*^Ng|SCu-nrj8u_hJL()SeIH1e-4U~TC6Tc#o^haX??Sx4igCf~CIvOH=E@I6lxzijRau`*m?$PNizU`5B<6X9yDzQ-ZyPU( zW;9vg)y?$_QpOhNE%`29L~mi}U;Hf_&sQdKy?3v=KjIOGlT)NfkO)EQUNpDoA*{)7 zt7iT%+>P(S<=0N49Tl2o6#jGPn9B?|0G!Nr76Xo$f*R8 zS<34cmJ6qgAcXIQqc}$*dM|40*1+CZe34hEGC{NWS!(1mG`!Sxiu`OPiSGcMu~QlQ#sGRDYq)w z*JJN>r%(6t?_Vp4VLd$(MuLSsk852K*?;Sva63L`f1eFHQz%?eqN|7_?sQeWdDXO=5BAaojKR3E6sLXS(LvQp`q#)}-Bea7XUDs_w|h z2xP{zPW`ofd&a54QDv9*ED!5xZ`6!tt?$`>!=Lzpf#3e;!w+7#op$8p2K=-M;iEhLO&&SNzKc??bPvJ!_^pmYDk|-n_-k11;BwkE`>wF}PBq|Lp zw;aC|GV6U_syukCsWiC$(~$Hy>8RDS!DVGI@z2F+kIqXX!dmB-hF!8weM`xi5yHdU z0T>Oo0?cB>6pY94Ws^^4XEhZzk}j#Ex2K|N4`nBh1Zo-!r(W8vgu#uPd7+9aqT`R3 zk11K&CnZ7G(!?XM6X)%sF?qMpbRL!Cz#Ezq^+7NASjM$ykZp zb4FvNV04{Jlx)bGAN^{nH54zz$Kkmb@(tQN4j(}RSVVXAe?%e)6r*9DMeNc1IinB^ zmSk;V8+R{$gd^0#k_S6C(rs|p^Ge4iPrt0pGqn7YN_oH=ws6>G>ucb_Pxx~0a%kQQ zGSjxM->q6}_?yzT@$LKE7V0dY1FJnlo7(G-Pp<6_o_e}di_(bv_@n^0O}_GCX2|Zac7v)!pUIOvPn&+``LV-UfZ(J8EvglU^nRx(UKAc z!_KKGe@`*)1DOF=@(m;;GT+T!DF0bZAHgD@ns;#tw#J!>NwjX$Px*7z3Z%R!Tpxcd zzZWYNSZkO~)TcSK!ySSJTNy*2HqD23$*HAq;EI?-5{R7<6(C4W)rvCxEX7U~)*O)=ZF1Qya-1E9;mCdXUYC}WvjDgAE2x2hb|0-+ zNK_X^Y?t;2$atOt7va=EMqLvxjjU&Wh8fwzJ8*pp@@xCeUR+$4q~SXmeEj#tn%=OW za`Wh6`K+uG`ZS;1*?d)@qNz5@ivU~rGQDQGcO@mW#imb`or}NKCuPdEw&im1V-zhPsT9O%^Zj!6>?flhnJ@aFu)Xo>2qHKrj=iM~ zq|46^@yE7odF`ddwZ8E36x*5WZ{2Ar`t5nF@g>uhtW)Lvll7zcY$;0PU~i>27}M_G zeo^BpIydn@2^W`6R%837s|l|oM5!UzUN^ct?b)$xECt<&&|FA%q1>8CO+O5-!$Tn? zI@(UnsGDZ!we1x)`?B{RI#@EiHy#hZ;59 zV&y*3Vlzmk~FWPXq0SgQT}m6SM%Z^{0?saTEw@ks*n z1>&V-&*IdAy08OT2rsjAFtPTV&wp5i7Z}$kFgVzM{gRU#8W=D({<*4B`qJA1hk<@# zVopkp*kd;NVl(IS2Cq9ppPFFXk~*v~+os~vOPXG~kS1k6{dff&<>BUTlAca!Rf;8y zZK3^!(IE!gENLFnUSU_bi6;_VJzi)$Nnw*oLiI@y1{%39`a`3?G1Pu@=(*GF29C1i z;qA;c<*4TwEx2Q6h>NZ{)192GED3=;5xsn#wG>E*pznsLpLQG@oGtNSzx;u&o&a&w z9JQV6c1@T3G?ZC+oYy!G9akp$P+s21a5%V~RA2WcG?m{bc&njYL@SDUjKy(qkJ88G`PXP zo@jTvRJ@!Mu{CY1SGm9{jscsSeB~Vu7Vs;=&c2Nqw;XS&5lQylKaAJ{p|PzYEHb?E z?+#Dpcv-uAKUC;po}F(+=~uQdD2#PajE^@FF%t{Y%KH3_QqRv@9{fFCacwp zBAnb8_jo>Zq6LT#WcRML>v(mQd!Z7Iln4N;uqtKbh3qx_BXJgn|lQs?iSo9um# z-ufC{c8Uy?4Qiy5Up!{T3b7RkS!yyvBICR|5=bjJ~n~E zMvk5uAAZ$Iq|e>^T3k3pSBlS1wmt2_0sn1Ew2d5J+{v@E9$@cl=rkV}WO3A*e*BfQ zfBjTgVo`3^3!a`tFw0y4K7on-YC?pdN%=$Bh2=asFORpjX85UVn|?K)@H)5*Cy(lx zIz1Op#^&1`hmx3No?C8ihJV@!9v}@rI2_R{1E&YeMSirL>6t6sep8&YRg+A?`r06t zHX0QzSH@Foc19C1q~1oL%zFEC9&bk1-d@|4c)!g?!^1~RznPoTCKfOGF;EnqoY^`} z=0zL$jtN>d#99c&b}IQZAhpIi(W}yuyurwLdAu!<&5h2k=fUFB%`$r2l5z5|^{~un z&h=sY<$+F^p6(@+H}JM&Ty>7>KTnM5-+KKyCnfIBAJh3^ZQh+6z4)z74&7Adz;^)^ed7Qnn?lS z9UmhSa6P^k_%gI`>8~+XeAV^;HT*^;GQG65E9ys`*>)zk>Ud?5+DqA4>~=oISq%k$ z2B?e6U@m--6%uG73-SBjuFV5maju}1NY*T31Oj*d&(#kfBwT*{{yS(3J*2$Kh&L_u zbfv%>c!eLy&!ss;jq@&AE0?d#15aP~GQ4={x=naF7ZR1{DgS4*UdZgY+*HpmF34po zM>va>#m}lhxd!EgQSIq8^UE5~BIfG&9vLFfk|9o(Kd?eTmD zCT*3$Qt`~ji#Hyf9*2{wai%kp9Kj}9-g|A3%DigJBjXCgOsSR*F&f&nw330<*|$WD zPx+v=aF6z5wzv~esLF7m6uSkuc&`F%2y;+jAl4?*5`tFsG(k<>)OL-)doIT&)s9PJfpebjdSSR@y{7@wC&o3 zF1%GHbA9b>W>w0{rJ9_{$!hIIjNYlu2|jm&S|qPF*-R*x{U2g^;deLp9? z^&?K9S$&V?5GUzrsZ!*S&0@kgmGi>i$GO&2Pc69#MMj!tL6$FwC)vX@8v5yI7fhhTKY~LUXS|w@TE%+r%X0-v3Yiz8$$j4r z74bX1+8^{Gxo%l~Gv7FpCVDx(o|Kf+(b6#b0 z&ODm4Vkuc)+`Bc$t-n>lcXPv=yx z6KZ`*awYG)wIImmuI~P99VwShF|bm!NRCw09icp^DCkLV-fN{Zy;g@5;6^XmBA_oA zYfQwg?ai!RYL1+Qp^Muuy4o?iOeKr=I>Tarcm{Z0adf7Hk>(U8UQ5kA-eOK+4BAEc zQu0IsKV87|y#~H5+}Zik^g}rW9#g-rM|hbVfrm_Qyp_v-sH}h3qZ~ty__Flk!K8gQ zy}#*8UATQ(Fq$_0Yp-4@UXj*UtxB6`{F9b>FKju`t12CbiR z7oTS2#uOl+k_i6;~U?{&nG-nEz_1P>%umM0Er2$>N2mHVRWeei7(Gy%){U zu#!(yL?Swn7HRB!i4>GD{io)YExahVo0=&-?Govu#%f|ByR3)v_KTg5^d7qHC6LYd zn1#TYLfZeM6pv+1cF+ZTHq<51ZPrT@ z0VlVK+*ZMt2mb_ju#rdo8C4!%z-L7w5xxK;da3-+unD=%FRcoTyl9q`MpWp^4+Dsw zELZcFW@C$n&~6CA&vI60Qa&T*KwxA2_RztUI?_lpDMAbezlrcQwH zEaJ6dt$6(>F{e*YF+o^WgYlo6M%w8-$_uP?C~&%m@fV*`IjB!9ZGA38E{(A-DMWm% zI+DQau{isPweo8ZdfMf6*QtZUTN;)TiX((YcfcaWD+<=}X5=+OB zipZW{+D*E{3e|^#l+vgy;(aRN1NKPsqdo{MPU>OI4_aaoqQbD}{9y$fJviq)VO2mG zSHS|64qWfX_gn;9%77Kr^Me}QCk#()TT>BMUPr71FHwRrp~A9ze!hm|fw99+QgHA- z&YOhXp6XmSDsMxJMbfuI*V-IkUrJcZKsZy|l!6M&6xrj^A{oW>s;8cmNWQm}JWh>x z%yzDd0nw_Xdap(4)|K+X8!s?*ISJV&Ws7H@vtz<%*O=c7hIQ;n9PoN%=15ewZP4zD zfmsH{jmr8myIo<~$D|h{T`zOPpM#Wt+nOVj! zAFwEc;*BC>Ls2C(a>+Tx@_QM#_dl?mAYbKX{WL?3J%kk%hGE$mKV!6}!=dN1un&oM zzryj375pk@g_qCpT+ROhK^PpTK|ag&+4G`(0eX{SBa(%MhWu)l>E(~JpfL1iqGG3!Xln?e&tp8fo$NpV)GyXTf*Bmpi6d^;&+xF#p&tPo&jeCAWd zUWgZ)Lc=9{8RU~-`GSuuh{`BwJMR&7W-6|I>H`$t8Fb8ZKab}VI}fT}%{OQ!E8COV zJrn=Zcon9^^R&nF3kBbvPi?4zhm1xOgQP{!?~p4UHJX+!utnfIjoeN~X)xOZ z@9*2xD)I@dekwmsrx~`watn3wO_gw&$9pBIdef$JRuQjo%_ops%3hOAkoE15TZ>5! zkxD30b|5=;@yL8@9F-A8T3mbd0wdOziZguXMJO6Cm}SO*hETspvqv=+eHlVGpic(7 zwECk}+ba&P#I(lUg>;M}q#0hdf;XsNzQ$H{!It8M=dF27P(LB+5w04R$@bI|T#O%R zV>Y|AE(2SoaaF)`C2S~|LW?~WVHn}dbAmoyd7Dq`(JAbq`-73EB-U$<#zmXaIaD|| zRh}U1OQ!PcgP7kqb;)^32}{zW!U-YX>E+?X$mx(R$1O=^V`m1Qjv2ZEc@L68hma^g-&0S2nJ@0L5q{EvYLa4QJ zsShFwvl}gi^ar)LMGinIKO0)f<1b&9>LCva{~^S!u^p~TJb?{Y+US9K1~t9N+ZVH5 zkMO~GK5PE)r%PHPSmg6rGk~8i;)Pn(O7ybl9@K zEGit7;k7|2OK))F*Jotm{&kcPc=Ub00rAq$8Fs?RpD%$8D$>z`p|y2?R)ntfiQ#at zryh`@1wz#I=pK}~f&ReZ;Jq=|P{n0_9RRVy(PN@`JIF|-q^CwbLO!*QjQ3>%1|I#~ zx%aGsGgklyL($;-Gf}=zfn$DP5)_m+r=09sIc1zj4>xgMJ^Q{~1%_Aln~h#VNV8|g zZ|ln4wAx%!Ajf|e%977DQc2lH_nz{#qCK^k{J7h5T${3rRlyl^pEwN1hx6}nC=3rj zs9_{@D#EK;s_StPuQbvnMNVt;8<*p)Uq4rv0)N@S?|J-itZTyYyb+ZDP{7Gt5muX& zui{ewWSNW_hOGXOj<}RC{N&?_Uoc7Jt2eJ=&bz&1St|)T@zXkF1Amr+9~C|Qlzk$u zY1qp@gi;#ALWl!;ZI28O@9Tx~>Nsq=8|h&xL%)4kT`BONjV4RNL?5El7XA*|_*OOQ zWkrnfP9#C}4P9v~56KGoGm+^OHQ`b#5P8&PB-2=>lAs^i7rCehSW$rjBlc*rkM()6 zqC51TVMr=G!kq|QQb3oaw)@zYB;QnCZ1fP9{#Vdzb_D|u?Ub_zME3dJl;rZFy$TQ4 z$wrI=_PbXeXhj%??mUolC{aPRUkI(tl42Z^54BUp^tK}n!lM*PdlPm3vG}Qtgu~f4 z)JXl^5qx;;a=(}?17=^$2V4u!O(vE%chL#vOeWT0IyS4>b+g|GiX%yXmvC&xj9c2H zyJ=+G5s}Q3l-7iByl|&l?lS?h+;Lbnnv)hus})|UBNq&> zQjq46QbqiiN7SmSHAs+}^f{U*PLVpQ>Eq2pok!}e=>0WFDTEryBU^Q8*)B~QlYX;5 z4^jlYpq0+*B)m2;2KDJAx=i9htP?!QBol_LGgiV0_77@Zu`i`%C(#?~CVv<+$!awa zAU5}Br&jfu;EMPw(4>!8Ojgc`Hdjqbt8)8?r^iUlplS3QA+HcD@yAcDJLyBDWkV*> zbkNk-jtY~|HF!JMR6W81l?_jeOwFj|?_VHBDgwvveHt z>_6IHqrR5iojh%bCDIG9^?H1zb&2)->U<)yqQf^!FHmG>?u5c?Is8u~%O_|XvYys} ztrw2&nTopTYFF|SkzTN^7r|BfIU8zY-0ZhsHg@RW z=QpsM>%U+(M-Eo5+jdTN)?4_^k)8b>mzx6wWe5M?H~^f3o9!0H%6&KPZGT|gzmB)K z|AWr~l#p+5IJtpw?`gU{-uJmVziI#LtAnC*Q=|Q>+ROPr0=cj5a&mFraOS>>d&3C{ z8*@Me@(#9!O2$qg6LT9Q2V+NDX9q)LkO9DU8x&SHkde8e)BV*g%+MNUcgx2AA97L= zQu?omKt{$Uz(9Z??keuL;$`|vBuoI#|Mj@7)&sNv7_4{1+|;BU?O=w+4lo;2V-y}R z@ZkgThytHmCj6_N?GDSp}302w}UugmC{2y#e0!f!s_7 zTyfsD-CqgZ0U@^s&bu~<@Ewrj_P~DE#vycj#c>CO-Xq*!-A&7WhsSw0AC&zT0eUwB z`yG(;zRMkfoY1=&xNZ-e_Xu}D&U@hfM1r?nAa_8vyHPmq5ZLe9*zfS5cO-G%lXOQl z^nO0kyNLj90i58tV*&eJPYz)1Iyc(*7t4XuTh;kn;D*i)4uHAd>esCr+=$oQ#`td) zw6nFl8Q`z)Klgb2<%Ryam*amN&W*}||35s9hz+13H(um#FTf=J*S-rQn2pnodvXM6 zL2f*oBCu;i*;d8I{6?!lkQ>`l{2yEU+th$wx6V!;W@_w+!XjmEe^m?w*n ztux@CSW*5qIV~1>VNt+i8oL2@C}9nt3Em%t{@0q_900`!gCJZeH=26)0XBYc0K}Pq z{tw0tx@`j4{0)OZ+5QRR;NoQGWc?=$Kw@Y6FN}i~p!??Yf8zn0OaM3fzc64t2;0Br z0NMf4{@D)*vko>+FxS8Pv2n8f7sd@x`>*jJQ0RYX zfk3(c17ins0;2vWE$rMJz^<8p!=V3x0h=-Z!z)f!w*QRB$;$p;7&|MV%m17MV8MUJ zgF^l*4=4xMe|W(4{~}*5c3|hxzvkd(<@gsa2NVh@$G`h=as79_+^mrQ7hKMN$qg8f zo9$nG=HLS2=D+v{z<|Gh&U@33^M7!i9ALnwz`1Ylt|ab3~Y h)(HeC;_aR%AjZKQoNlcKP*4sIZWL;25jjzm{|73Ez2N`= literal 0 HcmV?d00001 diff --git a/Layout and PCB/68030-TK-V09f.sch.pdf b/Layout and PCB/68030-TK-V09f.sch.pdf new file mode 100644 index 0000000000000000000000000000000000000000..c59bec73c7c71ed552942137b794712a5de9972a GIT binary patch literal 37333 zcmZ^}1yo$i(gsRM2m}a(5IneNg1Zyk-C^)S2WM~zA-D#Y;O_431b26W4z9u9kaO<+ z?^|!Z#oBv!S66-YRdsdmo*5_=M8xQr=vk4ONEk_M3@wm(d65}Z%pJiX5}L;+ICeNr zI7T=Y*hL4Y0w)c-tHIh_a3*l{a1L-raAvf~48ryx14kSCzxtwZU*N>yWZ*>MNMM>E zID43uIh+lgHLTALvw6N4VQpcU%offSHf|1Q3TFoA2$Sj1zyNhKJ{{!|91QSdq2Q#*W zIW+hSX9II?3zOKx`i}o4X#k^Y49D7J1sIlKQhSL_&LSQ|C?%8TM!9@uz{li z*v1qVm4PY9frJI7p!7cmtp6VaQ5Q#XWk;ANWCrCgB#!ow=Y}i^BRwNCGJ}x;2@^dd zY+}Cr^ZX*IOk!dHcKEAf;9yC@AZKF@`aeEw|H5m-+&emg?5#-{L_kjFMj$0|A>e;V zv;TiMJac_!Y!9+_{FgF=u#L4Ntm{C+`8NaxC6I#+#NG%N6BFY?m2F9$*~5UI zoBv1qZ{PGk0&#l-*JntE|EBG)xwx_c$#ZJ|rux6J!+@Vv#bA;A)e_?%;rOqThP z4vw&uWQFYV^RPv`>@!y-(N(K=j~8}2ce5T`SNp|Jyq8|@Y#CqfcAWS!Rnj3XM5+3XxD9w@GHy4LMU>UE61q+|Bg6MOAD`zQYC(B^6O`supO`zc=F>G0|9G2(F* z)<|wFNMG|_g$g|BKCV?gJw_0(J}zEAT^+8rK4{-u|0z@6c7J$^uvvIrqG-KeRT(gOn4!@8*v4xqfpm6%?R`IM^8{b%eRp`g`nXkg+9Y>+ zxB8TJtZ=c~<^A}$sV!-W)p_0alz03jjiLKgw)*s_*9y}9@}~#vRdI-evx`%AlWfJe zsI=I2nygpL7BI|kDl)w2Hj%5cazA_(Z&gq-WJ+<+maWac)#D=CpN5|q)>M#CeR`ex zuxLQ%&0oBwM-cV#;VeF^+1sk^k8Ro1dR5IgQyvxpZJU)4c8BC@KGN0Gs&zig7V|p) z>ujssv^CLriMH;ruiC2IUM}2Zo_TR^d)%_XZu#F@RmS5O9u_xE_5?bAi>E=EBy!Q{;5g*q7Jm5{Lc3ZEkswoda=op=>qvn%xjP|%m zGt`TYnq^*|QC$s+Rn<*^1$s-?7hKgArm8#>1GYzgKc)t1Re!yRzM0IbyYc&QBFEN7 z$nF3*$<<@`UQwtxbl}iC8F6l_z}9ACd~%!fZ~Iv5u6=yf1Gbt~qpf>btMy*?(AX}E zxN}D-J7w@LTUjvuWBIFR17%-LhkAA5>0qx|i9Yd``tuua(xsO51M}kpI{$;AYh#uA zSoH4K>$l4uH&;R#%Y?ZNMlRdOPggrn3Oe0SibPLs@lQ|j$4`Avn#MQsqJ^f!+|7!X zS1sdvZ~epaAlLEMp+cXIT$p|Y?^h+YX&X*|5OzWNg?0RAct5XVzqpbk8hmrVTI@ws z#5A8gLi?MeD6P*zqIW53_^PBRt>2=0U@6o26xK9<(LBweTx|JCGEbT-et59bVt6P4 zA!3F(&8o|TxNc=j4#5-PWhr5ERBCS;UGS?|_uBH&b!q-=cp-oCE}5?{1-%iM%O;qS zy->(#XuPeVX$VN~rv7@Ly|tm~b~s7p7CAn}`x1#BSbu%TLDY zIv)_OeiGK4nBQN^er5OiA&d)G)@;kMzQ@c#ve09av;l#ps=R^WcXGu@uN8SYy5+!i269NaZzJyARq`Obf6t@O2j zoLt_Y5qrAzT5saEn92~tX8uBM#q{pe>n8Y;u`jRcrX}je`@2TIvsPMDNX_h)@u)Q2 zGgbM1w9c}6sA4z0K&Q);7Jd4Oj`G80fQ)~p4M#&?Kpse}UGDIvjSp7Umd!gDN!b&0 zg=fdJ)TdG6E}f5_53~~zF5jJ-TbLFkF4yAf)}f_9oku|d^J)VXh=Pg}ibCCViC20Q zq43gGEf4ty&*tj*;>ET#Ab-M`@ZZ9(F_vSXoeJ2Zc6VP3K#=8g!d9LPV4!Cn=8|U+v;6;}0 zKBZO~L578r^>J`Tm&PlEGlOsTxlINnrWbx)N2)PZl{*_ z09~7{%pcNL>v7dJy4ohxQ_GDi{@h)G6nde=@FRCeL6y#3=r zSL<04;rRj<;p76H;Bpt2#-l6I=zYYGTy@X~g*vTLMu0mg+f{7Bv`H za2Mz3Bt5#MYxgyUh_4u6gb0eC6TcXn0bW3bBzs~uCL9{HOPZ>_eXSjt<)MgSLQ!z; zo3U~(BUBRC!WlFpyUm_SU0$|WP9fY7h6)AOeT<(ga{5)qvu^-Vtko{5Pm4SqU|{rL z=8CA97d3zKaDm$klu^!0Dy}dnh-OCTh)=bPN+g=8DcRV|LGU*rp6Vh;^xrd35Jj&U z1b9sKSr+eHN8)s<%yu~$3M?>qFpX?=#f7Ge6Pz7eEK5;e6I;3SgB~QH`*MQ^G8zWc zm%%eB<&cFBB~?A>9-jO3De+vH;pV_)_r#hJKyjDucw-iNocXd)orq2)BtT1@`gPJw zN5dD)wi8vEodPJ+-hmi&F0nn!6HaCkn;X+bauMx;OYgN|x|L|Lw!$Myz(u_W^{==_ zBGU4Un3i|3iPgvG`n%w9jo?q3)s7}P=gcGd_yG!eLSlpW=ZmZSWaAyCX8SR2g{Mw> zdhzsck0S(x1wy@=u9?JJzQ)(K;F}G7u(6J9GQE&pCi`*rUU~1q}w7~2I#Cg?06;hO$q`C&s&+_I@X(vIu;Y2hkN;jcpUA{ZAzxahP!$XSX1O09VXN{ z0Kf$eSw`X_OoZCI3D44QRedkK2lpkBE z;e4qr8hZ)Xl!QD6eG5!BHl6U3q0YAhSw<@|ec0UF546+<3E?$HD`MX3xMFDreR81y zb?$9XUX(i-y*J{vzFK={P{Mt=KSn5o9iDUQx-jfdJ{!O21(z$r33Vyk9l;qzujuD1 zjJ#z6te-tpbks{le5n2vw8*{Up$W*y1owO3nb7_G$j zrUvx+^v}TD8w5=cOA{Y#PW!-O7C0~%b+yZfz@i2kO7G@5V#+}OawYye+2}UJQ$Tr@ z4a_*|M(&jAYi0a`u zqtJ4Y=0>_sb56oCJn=y+FeYG%sf5L^d+iYUMIyatd4xEoUsooCzNbcD&eUyAf6y#5 ze+8K|iRfs#rIsB-22YlvMMPsA;I)jWy)$5z=|Tf|W!0DsiFjxnqhFD`oT-d0aF>Fv zp~mTwhE7t#B4EexXmME#sE0A_nIS~$PMGO&0|u)CUO7FR%~A=`WCfXh)4KEJD}#KF zsgb?KcGTIxuZ-}kUr*YrPB}kf~|uFU$MBFepH=VNfuI z-iC6oj3s2#?jy71n@f~^19g~uqAT@TAL9_2+Kt|PdGnncphQmxU-lzfw*++I)~^ci zbGHE&s_^bJ`rhjXOs&}&hHA}qkx|N$CQKn4vt=PDPW*jiSD;Qdgl#L>xw5@*9;<{0 zLx`c9ISFZg5h1_n_iW18^9+wbvE(jvCK~%lFN8j21sR@nB2NJ;AQ7fPS)a1rm`*)& zxGaaIHP}X}dSro;Y)l7FmRC114D{O@KtA_uT=|^!O!}-K1^z<>lOTr6a%yl29fek! zI_J29C{(UkRzz70lRpWItV9>4tNxT_sXZ|9FR(b~c-;7RXYLl$AcpJm)=(;$@aOQd zAUB;0A}KkRTF*rh+I0b6)vZoOnX+dfj3=A$P7Q`E2_-6%?IxQTrrg3wplqG$N}bzU zxhSJKhwpjcn>d)7j zM_5xjJeza&i(Yp5=7u{LVvquZH=66KfPkGYjjaMv95K-a=G?i}{BH_SuOM#jcBaRD zSNM*oN9pC#OL#nLWb{33di%O>9`G8sR3oenztL)8$Jb{$h}YdtM<+c}S$o|aRy+OPmQ0;_di80WSMrElp2n2bUI7$^jPS zV(ut_!#TuG=0S_t-iSa?UV5g63n(eQUGM1#AK&P~)FfLeIMl+=?pG}ihw~9KQn|S3 ztV<;q9Y*rOM8b82b)rUZ^GdOua8FQEKbohQ3F2XjayXD&mWKSd*=&6a8UHSJ>EXK? zt=QCgdb>>b#Xf6@<4$~*BI+Sl%|PtR44qx=-GJ`+=G%yD@7&PL6s-;LHSNQlx~<9Q z*xU1778UgCA6mfP=`Rd+M|V;mLNPt7YjPrL?SdRud9uO}o8=bF4s&OnoLMWc$7f>r z7xE~t5_FTyc@4;cH28aQoS0r> z@!2z}kGo^UyQ|vC)PMIoA<&H1OHv&D)-9{56+zD}tuynIjq&SU<5X;2t8hv~f!NZ> zCp74M$DPc293XayfiH8UP|4vm^1%5}3Mb~9W{2!TV$7Tqm3S(sG+KOIRCz^JvJm&6 zNYUvSz{`!(tMY4iLiu~b27F5Kjn$;`?*_dwabII4%BMO%MzqUnpUI=|F0M658 zyFFIM{3f%!a2i)G##kERm%y*ZYan%1D6W1KMlL_(%!PF!n>T%Y#&?DvJOM%YWR&LU zAO%$?mjWu`0KrjLMS7j?thomJnISC|iVf=I!KV(k`5{FibVSkY2055X0oRkOsyNCX zB$f_tY?-(y5rkm%*;AD(1D~Gw0CkU5)%Zr?p~7N4uU}iGjV|g_l=YNOM1+Nd8F(i9 z8%lObA*f~Sn|7JfCi_aWn=udo7``!!UfelrLgSa;#y&pIX3?+%BjinT#l#z%+|q{F zHXQ?wKPg9hyX@dxK?v6HZoaOIm}!=v>3wqX6kQ+X7433`U_@O^eWOyYa(S7P&m<7R z?cnrJ<2W-8!_BlyL$+XmvkD8sK+l(%l>i*wyM<3K`N+DyaA5aGV?o-_k~w zB`;Ll-9Ym0oIdQHZWM5QSyoa4Ea^Ym!k-KQCuDMD?21&qwpv6zZS6KpoJ*D1v0^`= zd(||QnW_wI1#JH;QUMmIug5xnuu|Rcc4E`xY@qmI#lW%&r-pwN?`j8(fAkuKle`p`8bp(y z?lNWgbLLf_>Wo~Qi7X~Y250!v8G1WYs-CoYjMi=`qs2)-ISBp0<|J_6HCtr-V4k6` zXIdK0YviNLrh{|mf#ykU6R`35gR7-`%Zx+t`7PqRh+YG=0?rSn8Dj-IUHW!xn%f5t zK`CgpbD5=_fMsTPYMs-~_1nRf_wLSMNPXxR5rwg?1|0Wu{E;O}ADzAv+o;O8TrlrtdDpV+J^XhSjN=&z&!k8^-VIh&piEGH-pW3ec9UwLR z5UkaNHDbrBQou5KE0cp=-)~`=gQmXyORA=*Bnk*Kq6A#JJi7S|mflJnPQCV2DsXy; zetfDzty7E@E8NXor=LvQSpHM9Mv@K?%{9(VpY!4A970`3&6b6$IQbyT z;c1XhR|q2UTlIJ+6~#QPBc)~{=_Gd+l#i-QBHTRdymiqgezBC+n#~`9Nwj0g)Wfyw z--xwIIHCJp$aMhS2##SaGoPx`MU4=|JLh`%nyg@|V%x!uOP5L50^&@luMtEf##T>v zV^jnY>sqzmDsRX-AZV@OZtMkK>-a1%b+4(_Lr&eA%}WK#ymwDL+U-jP563bffTczk zz7(JSDpE$zUC>;hG zxSkXZH$VI@vR*yVT>G9{gyZfdF1I#oT&5JZEcbWpvy;0Bn_nVG-hUp_Rv)i0T*K9B z)p;>(4XYmD1XihKCkuTn|<(cLky?tS6^;(yLksOflX-uJ}w3@t}?)#Hr`r zyI_ma$+1_egMAvT_)L_F&{7K+GgVfR0z6nuEyEV1O*m3x{f=mcTEb#0Y$bUXJbzUF zGf=g%Q+Bca2^8#3RICSc1AApO0~mJ|R0eYXzzku_@W{2ihmT=(5m~w}US>u%8-H-=#W$b-g6sB=k81@Cjn5u5a7J7eTuKdyAGz@ukKigO=>it;6Va)c+bP zH2Y~^FtnKNISR^@Dpl0)=$6?W?_##B)_RgZ4-Y#!`2A`{L%Y>PUSG?7wG=(F z%KficD)zDzwO^EY8vuE|R6R2JFMZaop-zpV*71Q7F8Q1X-Ah-f%OmSF4=+$m_Wj<{ zww;+YpO?N+@5cVvOON4r4c>bi(Xj(lcM+WN<%YZ z1KSSb{;-)z-5Wl(+*@c`*3;Rb+%v}aI`?b9qERt%>LNVh_TJrIbzz2Bi&UXmtP=-e z7tUk4mmEps3T>|9TiQj|cQ)uH5p>@^sHvV^xyy7c52)Wla0Uj(T(0jNNhLE;ah=jk zm3))E8>BT0#aegkpDI%qT?b@lOB$SwW9EhFl;_onyK)Rynp-GM^{kQYj3$p{O5QVx z;TSGt1R(^vdZ?Jo8%43LZ-;S|ZF4S7Cb(``${S&mnCvwFR-~{8l9QMMJ-*es+pZDS z4<7l*vQ?XDmqyUyTnqM;xo7^qwnP7Efr8(AyFrwY8QANw9F(%!$H!ReQ7$=Z{@`>IK2-W${gA&i#avaj)s?~?fhh6>R8&B+ecrU zZu0wA5)MG7-2&WHJV^v5MwPMkO;yV7cf&;CrsgtN+eiAcoy7Az_|bGd%dt#}{2SrD z#;{+SZk<`Fw1ap&apkrL>c9OJ?GuLmQrkFM-#%t}_)HMp($KUpI*|aTJ)#cAET5VDs zITAZjw)7NdOL&~aha}d>B{RnG#y27#wXwf~3e|gCoqV<($xON;$HBbg| z-Ny)}3{8FUV{sdCHj zRENr2{O~DuWv5Et;)}$h4`TPt;XhGX%u{k8<(_ntYbqZwOqrFxi}49yxc& z=RrpADhLsU>Y(cVc|DPK-a+JIcHqm_(Yc;h)AXh#<7my$LJnByzFzg%>4WNx1`#)) zv$u2h9n@-BUT%t`+Z9qVkm$pYXJ7gzr}qc@aWwfi*EsDf9AwBq_et)NVV;6KQT$`I zb+o6l^Kj+OM950;PSJ95Bl$j>KTrnhv0NVcZCKB_s}n|0f3D}_S{=aNK6}k^dHm4I zB|?7d&qaSF?7N5$go9jrIgo>)zWkP^oZUnCkwd~vv}IJXfo(URykX1HD&Q_)&uFO8 zBb2?n=5TJWHL8q6g~w#SAe94>iQ;nK(Q4|9p3jr8JWbW;5i$=wW(Rl|nXIaOOJIqs|8CCSCpWk-ybAXIm8@j_*h84_;EK0z;w%5y^fse8I;Pe7 z>749Xgdq8hZrV9B_O$Nf((KzZm)n$!L2z@jxL?P8b6xgmD<*+d#9jRk2U;{5&9>Oo)v`*(r^}>c6-c=8v$&8QQG+QX)?9_M5pQ3H(wQAZ$Ca>iDyaa^E z-izl~|3PWa&2MfI#j)@pveenLj=HIoj@~)ceB7IXzVwt)ME0zbY+!~T8(DQk(sQmo zuy2#E6Es73Ju;*hPujAgTW8nj{k87*gv%cX{=RKK{@G+Jw%=nhT6&umv7-*6tM?IO z0jnMS;OhK{p`+cdQLp6%@T&7=N$YG$_o{b{W9eS!l|b7=*p`=v!vaOP3@FsTSZ45& zIZ)v(3mIRF&S#^U0UVt>H%imTO6B++_o6=%zMFhjOZSG4Zk^m#tWLW-8JG8)ms4h! zf;%!29}@>-QsZI^8Wc@PRJuYx?wMDt)I|nQUZ1%&w)B}>RuF4%t_sJCuezJvc)tMF zhF?rjl*Uu}T+t5xXb4qq4pmn9*UCQjjdIB zbv$oxOpp0}3oU%$)djZLTNeB5h71>!74d6O9tr;%M{--U)e-sdbS`R1@Cz`om3;(I z>Hk@~;sa}6?Uuhu)#o^J)S`KPd;H-B59!ObSi6Q@TdCX2J}*yEOVfKc$5E>qq-x%V zF6OkY;}K`YM~qv1;OEzJ2zpc0+{>LW?{fKK?oR1`|KwthrzPe9C_7{g3FiCb{iq6@ zS;@R)!frM*A9uf;nW&2sT1`d0JX8!V-@rA{3?Bk1ofXRrhcUm*z&H8bPb|@DW{!3{ zXuZZ;lCwXWo>T3_VN$mnUl(Aj7GHIaelbA_o0Bm&rPBB>-z^e;kON0RD%; zl$(ygw$aneYZdS@=cdf}-!wDK|56Ey`q-U_#XVca6Kelo$@{jV_9 zLH(nVvWYkg#F_x@K7}j%^e2hGIcE$K$iWdqjhO z5``BHIbUTS(6Eyf8jF4J{Xk{}wH;ht*dS~y*7bfGh#ya|puP2qG$F9HLEI`lE_*4= z4vN_X>E|KEe0gP=@WcFN&G8JvRiyE;p7*7FQ$Y4{+SQbJ%XQ?>X^?meQ3Ykk}GbGL5d#emo+=0QySxX3GVzi5T)W7VrPr3WnivwGo8tG=3wpP+>&{1@B~QZc zU1iNOUKo)~d%dYG#l>VyAN>*#^)e2(P5JcAT4QQu7zcsniB3uIV3ZNw=a%MD@7sfi ztLCxyceQu6MGSyt0_z%KgjZG}gfh8WvhP%x$qm(5MSfxdP5CTLdmUH+jVay>H_4-^ zKaktbcxev`Dub_7=G(7T=4%%Vk?12ELhYJE?fzN6fjkIRYDUCybY20nw5_dlkGDx7 z$D<~Y!G$I_AIY=2OtjO%BZWpUpI_TsC&H87iQe-!1eXaj8fI*dD8%;s@|MKj_TTjH z6A!O`bD14qd1dn8ynXU{6w)*PmdgKYYiufB*z#I-tQMF4i=xv9f@ii9di~~qP@u(f zo+sajh;4P%`iK`-QTsOQRnzr+9;b z?>w2ZXzI7dYBeH>QHsL_D_$tV$W-%Zv^7uc_g|GJ-Mh$jKGr5K{y|V9ZgivQnlk$7 zYB0a=XwsEoRpAK&_q-N~9SXcKTx@BOE!y9sFRO8XP@F4|28XuIf2o)*+*~Ah`kF|u zzSNXlyW*`mdUzir;|&}iE`uI5&y-F6xR~rZso3Z+jHA;t`#jK}ZdGBI8U_;ohljuo zFaM2SIH3(;hShB4`JhePEVY2mhn1V(&>#I}p5(j7=e1sb47$`;d*PzgaV4p#@*fwl z7dbwZ%ze#|!b{W<=V^7xg2GEaHm-;8s|P$nh=i=ry`J7DgTL_UUsgf8G;l%l`+Kn) zs4z42UNx|w%CFG=Hy#31f;d|2%XkXJa-WyAwIKUu3s2YfRKOqmCWb8(ePUGuqBm*x zk6s8xYp8e4DYy!?;D^AFVWY9yIUlBie{xcdY#=kDss1``lc|ErUotYSLLb#gW0>-2 zO*Sq$5Q&I+FP+`utd3dezpHwum#qz*V1?doXcs@`U)R0WjI1Ik{!}wC=e6UuIm}>M zy1Jl=|7Vav-*a&~Zl=xvYY8M7jsse>q6y~#@obIVD`5{}_)mk&eF01*5j_l(Za#;f z+R{oha;DhBY`6ndJLL|BYCKAgYta4Igu6Q4ffl`zK62I4IiIA|*?%`+BRJS~x7wsJ z#hxR&;c}}!r)yNXRnHmh`?Gzb{)Bz!Na-QM36z|l8J#ZY|86x%=+Ra3(LiJPHP0>f zqKs)ZKu6x`_ruXgndP5U`b1|Vp|@pOmYy95F|TlRXtXO5m|<^6G{@@Lzb{Ae#+ez5 z811#nXcm^zMcX7EHL&~73w2Jf7qiQz+l!M&L<2KT%_?~HEMVpE+7}8=G323z^ZMem zzsnp5k50Gh(%96S-YQ?Y4^Nh__2t%w)#(oaJln=c-Le*!@u&*5OTW(!kFZs1g-M=n z-&Nf_zASNCb$(-le+^W^E@3xVw9hN%Q?2RT`l>FVF5g-U?>919}|go}LPj87YN z?g_KIr;XbP4w~n+TPIg92MoD7%ah(ZWmnMc`c$GE#N4L$dQJ4-;s|&lCB*EjS-_sMc z>=oU+UiB*Qhb2r)4j2|PrTs5GHk7yX=o}_V!sqvh7GX>gt6o?4t&b`8Vw}w`doloV zTs2s7t8;ZHiFK~Z+H$shfArwA_+%|#&F_pYKBRJD$u;0>Yl2{hmfjfNEwMzO=R=_l z5~O1FUE>Z{AI@7?bZPC8}?71CM0rK*K(Y=Q4{wWRO1}atdr%vTQ7qzeWYy z3_o15IoqH}If7mhJ9s?^iwNvJJRo}}?>O-34q=2vDpG0rSPdd@NssxS3H}rHR2>4a zS&w)*3g3we{)oXA;q3)hI@%adXq{98K6-}+EE<0&Me7(mq-jR-PX3%Vv8Rb@>KVqh zvQj?vI3$11g`mXh`LpJh2U~F2Q#I1ywnP%_8!)^bnML3S4PG;uXuNkxqsN>TA9svU1 zLUKGJ=xQhIa_ZFNmZ(!gg3dr|uopLTYj%o*tTv5$q{2mDq%0n>OJWiM=v@cCiHJxu zz+=@TSQ2ZgG5Obg^P@p@o!ZD!)4}-UXYXNN*#WF>O>84)ZIeGGG5=V6w*=97Px8Aq zP0Vf2&PZL9I))9#?FS{LM>qKy8tOiM@uY)YC{~mfU#)*s0APCyWUUKwJ$!F5wgq7|g&QPqA5Dorg zoxRvlZG9cr$K|COkJbw;roQH{&NnZ5Jx(udAQAC5NBbXua$+ryss0g+aYn%$m@(EU zoka&^oR~g2dX);Fmo~|%MvHq7T3+PEajiU7aFlZ~pK98)CxgPTJP+Q2J-$aYzsM^l zjMw7YvC(R{xaC_uoqp)EQ+A$FuAOzUxt1W*@FoEND5CKS%g$D7z`fxV+c@!Cj@u$~ zTu9LjTD?GT>y+Xx#_hOr)gYfRq^1Q!&^kWBiXuh>;wO8l@b}{f(ki3+^=~3Ub;ki>!tNQt!oYp!%D#4N8-6A)1jni|CjB^ETw0DuB$k^9`{bMQ7RC zwqC@SNKq9NG}i*H4?7c)EM={jO!x$j-%-gssl-I~44eaPv4ip@B}EV|l|}b%g-Zy6 z$_*lrEPn(h#fZQm8Ttny<=>HEWL1PACBMQ*H7}>1u?j#s{yXeg&aN6`EkGmoUD{oQ zGeTr4n*v_YMbw-Oe!o?s+YC(J8FGw8o-NdS{LVn>qeyi&iQ!j>89=Iz7!|`HYJs$~ zn={Z%@{0)K7iP+!U5FkzJb`wQ8D1_%&|+YgVB3LBJN@Rnb>~JAagq_R^ zEJ8-`!-U{RYQ?h}g_Vd2duKi$fx(A`z_=Lvj4`qPiJHU2#lYtO0Z6qH@#+;bwkEGE z$JmrH9X;^E_ve3Gb;FA4iEr}Aiu%Mlbd;B|H#wFpFFcuNur1%kdv1>VXpVc&`pLur z-A~=p2Cwrc^YBveEdg+)-3VP|NN@IFy z?8*c#*_ z2I9-Pp6m&VYrhEOz_l<+=mg^?t|Xl>#X8}u$O?A~^9Txaf#|6Ytty(5yNB1l6j>8! zl43WZ4DIq1FXr_0q9`sK%YLx3sl6fVt#IrA!$+1Bi(t_7#)NKf&A9yz-5;YPlxByC z3}gA#Imf#nNNP#HTV=k3i!suM>r;`KT?3G81sg4(L4hyiT4kR|%SZihaEe_V1k;a> zQpyoI%B8n+N4GPb;nTvv;I*{jU7Muxsa3d{JA(G|wim_M9A6E*UuqoNz;!*oE?-%N z+x7zzKD^ccGVs3Pv*JM1&3UDV?uI=T>CUbVz8Gx4|CbQ#9{2Yi&KGe@(9Rnj4ZD-Y zi(YZ?+i6C{P&#NGO2J$5ZMHEPX8YB55?; zNHsEJ;r3^vCG0{|o0W%^Gv_w0R@Dw}QfRn=tW@7S!N?(*aMCHyai))9R^b_tPGKkT zknz$=LV*U5qI1+J_eE{&p83$!z8D!y_>1!+OHDRK=X!!xVHgB{aD^Ynn=#5gG6pA5RS9vjR z99G}HB5^k?h@{~UwWwV(`U!8~tNvf1plYsJ9v}La5iTNX*bwW_wfcu$b$l#%4++0| z;eYtDxDK5WO#Ga%!Y>WczPvzrJWq_Yl z-S`8kjf0hmD1eHNHt2+tbv;M&Xiy}u_m(11VhKaGN!OXIjK`|^NJQ5;spY7<<)|78 z5kG6(?1hH^hUCpdTREwbMEZM?%Lsh?1#_+AmfroS!t0#LrpWZIDafoodSMY6SbUC# zK}${1KtYM|_8>~pJnD`aqp~90otK+ALcEVJA}`e6)}D2QXcP`wtoM^QRgGihO)p=B zIO2timeRE@Y(y)!7%%kN$~6#9C|p&XvY5 zcy;N0nA;FvH1=Pe)z)Ls*4^k8XZvez0$dLa{s0c$^y zioh%H4Pxc8j*>ozIKHDW%27Nj645sTV5kLkeBH86VsncKoN#_?V5#(eykkK{vGGv> zDn?elN-m^Lkx4~~0rxGz>%W`lH;)N5(rZbpUx)7u_}(i11Ht-ho$xK5-#@OeM}n?1+74n{KY3VEm4KVF2I&P85L zdHx6-pA&l(#;)b(A!5)~8Ww0wzR@ctQi)+ui{Y~vSZ+xUKOb0Lf#CxdNw5-e=@olr zO>X;V%1s&71qH8dQ@mZ;#`9qe$^O-A&OPO)j!5E;Ra(G`F89yr?pL9?DN%`YEmrrr{_oUG!hzDVzO>@@jBOM-O@5 z+%Y1oSH7hLoXB0Xs@@XXE04t(8%=|9v;82Hgk9n?-&lxn*%^%EO{K3&rR&u1{^iYD zuc;aNfM3b6bOqm`vsRh#L5U6RxLdnLN%QC5Z)(UKM)YP!g!nNc#g*$YJN&-4^^m!v@&MSpiib{^H zL>lrhgFG{<1^Xxq04^ky#Yd;uti{s<148@?P;v-L1%~2-Q&adEWBbZWoY_k) zs5^lo{p}I?-O=WeGx2FtMDj8JhBI;AF@NPT|AE)_i?+M+o1>Rr*9Nyo4B@$p&cyT1 z#5c~XI~4A2^5=^m021b=!-&Px7CGD3ZzfV#Chyr>kS~)o&v|ByqmsNK8#Og6QQMo< zfT?YX^Les&@!jad#{h|D1TQmw1{Bu`k6O6y!N!am&&xo8$+yEepnzs|?PG~^yDcOO zBSOYxvfoj^XU0`%4ZME*C;VZ9L-QF?CFUBm{23I7ko&~1Y>684q{q`U*X)@(yKgRf z?$uaIwm3vE8LFdJ-)ZzCzM4HHfFJzxb?uXvus#OyJDn~Y3H|r4NWU1r7a{#(_@09F zi^+Rq`Y(Eoh#3v%#R;QKI1io$^ z%A2FFiU+y}GMjfUYio3CE40CDJ@l*ieh8g$me?2{AMCyCEEY$vJ?ITtVCt0C|w(B?_ZVRSbfkM zM|6u6n;fDnm?6&op6c_QZolGUyxEM1nP>>k&=#wP6`!wuvwAELDe^_2vGUMGGmEDMpxs8kU zwFdDUOk{W8ycg7e{UVad2M$T5>BUAp*73Q**HCjBLH&{UkxZaB5`U-X@5~%m5q$Gx zCkZz0@*;XC{9fM%Es*j}IR|2Q^sBk#m+$8szPZR5SBjEq|KDUD4;vJUI=pd%sjk1j zSo!#FkaD+$izHln%-!LC=2FaN<@TyIczV*cZx$S4KTLgq;xwf9s`%CxwmM(lCV^YR z;#C{(k~}NI1u)C0qOn@Hr*j(j2SZ`CHsvOpijmB`6*a}B8u@-(+Z7hR1qh@~WFq3e za9pFiIPR8Ui4_WaJnsZGmn~s5v$1WnSBo1#HC2f#kL#UEp zsR-XmKU;`lxubNU`e0v6SVoHV#q23K=#Yz~n#<8m^0g~1z7qkFuKpZNg^k3Qgaf8f z#Ca%rSeMt+Ftjj{}1~M9~+iUdO4BXy2MkJS9>|+hr$8y@8oYI z&=5#|?0J8i4lxnxAp`5cTS9q zJh_l1BpR6*au& z$ISw-^+IaU4g@0%#`++zwQ;PW+0Sz@J=ZR)5%<%7`j>Lq3=_laP>SQ}I6+B1shk~yf<1Nom*8SfBJhN=8r=DF=)OEmkvw@Fu$O!4Od z_twiqKb+jNDYp}d8~0I7E1KmODcrL&Y`EJ+`!89WYH3e&pSHNbqYKHfC$t+NsxAO2)j-6cyaglLi*^j_Vv_Xmz zjYOa73&BwGR}+CQ@)FYI@5xB%!X#RpoJe2~s(BK=NM%BAAvT z%d-}t3VL=9#+zq2ihsA)_wngW6RYUieRt-esIb9b7qz5(k-ZY)Bw-RD<7dqOzxzKM zh7IX?ox<@lAyPI*#f2A1SYX!mOLGz47GeqV_qz{*_&@q70)@T}QS|G4OP7F`An$Z! zMIwAFBknsk-u|EFs_j^V|HWEkjXOzdo8Qcl&#O|zlI52{I_O$Wh*1qh)lSZ^fEZ;_ zg)m=Ec9XCw&Za~%b-gJ_lDqumB%;-X0W0lJgJYr31j4kxp$2=6#&-`-Pi<`v>|Uyp z_ik500FKs8Vj26W8QdsrE9~6I=z> zWTxTG45W=vND=7{`fISctsZLq9%gs6kS2ssB<-WHwIrfAvTvSR{McmaLX)(R`g^eB z7(jV`C(!8UeqdBI3{6p^)}$`Ok1mW3b#9f^zQhkyUOUGSZtYTKLX2tX?A0e#=v4~d%Yr=@flGqGZOrIsf87(Ju=m9l&g*X6a zxXJhunQicX1kHT#a0K`apV@c&9oMm+o$AbxYoFL7PvdN~FfNfBFdcO|g(d(Kp^qWj zgQ3_HMp=W@W-3?@L}a^o_>FVj+R44Ehw<1pI4j}q#@xXx!5ZWu&PK^BUQ($=yw?H- z&4yz{#!YCm*b`{MHa{2yW4H$xnzOzM)C)n$R8Hb(XK@a!3f3cz`zuXoGHCTto8cco z=A=qQn)ER&%GmTWjPsH zjy$+#By2I@Q^^g~W~%%|dhpRUtu~j$KZzj;Xcu1zZhDM%HflC1KA}z;U@)RF{}y{h zC<66dXg%PCw$Fd9`o0S}>@+&h7pR?;DuJ-cXj-RenPbQcifq0-^1g+*YO%=>ai}%Y zsW_oIK}!m6hHpmjoyZKe;Ls53lwy)4q8JwZu8O1@%Rus!G z6Zwd&4S+qGRTPd2cByj5DdKU@i_~ULu+O#YpUd)&+1icC;cYb#otL0V7}jd{AOQ7S zycYV@W~dd&<~U=j^w;%@LfM~)FNzpKqoC>lk-X;Gc*T5JWOm>mIS^@!)-K{Ut1{IZ z&t*srvekyQHi0eRm3n~wF8l+nropV#JJm*OvJ-gztW%Z10xV6_kH`xE23V(e^@`SF z-mNM1B*_%!o!$uy8+CvuUiQA)xS)&9R4+S~%3_7VBPQJz|7j4eeSj zuZijMNIrvl$>=;WuJIF>!V2+I2n|qT87Bk|c(f(k|D2jb)Uuk}k9OS`VYON0aJr!< z-g{+X@K=a`gA%}ZPLkwM*HYx9xMj%*Dj8@|i$4%*?L7q5ht>ha7okcpfo0ccgK_Sd zsYK1=n8{APcHy>`Wu1e5J|2%$5Zw&D(%rj;or#*tj=-LOJ_9rjvbJr0SP$gaG6PkH9OWDy zDCA~itkc3~d}B=D6G0&{VfRUfp05ToOob%2L8nC{du`bfdNY1Ar7|K{gFE9uqyXOI0 z5<~J=_19$k=Hw0au&0ZFViVdr#SGeR3XTsmm2l(~5u=y{h30E4Mtl=V<$ zc;`8=S;}4-9uZT-kL;CfHf(Y%0oEYaht9YmUKvH8mLZ#Knc3LuG=eq+ZodvNjQZm+ zWIX^{X^c4Qgbr>bQB}pH``S!Xm=5fexsCY(nxZLLuPaHt&o{^OO?QMR*0K*+pW%+Jl+EAo z)XLyT4@Ex9P0bgbK|2dpII$Pia3k^jmQyKtZzRS~;iNUQvQ^2b?3PThekZWuuDAJ_ zkLg^?TYfl^@k@;pAyWg1-p)e&DPo4FL|2+_=%!_Hyii zPTB0IQi1#_o`aXxSn>)bqdBo16;(|Sf(108nJ#UcDSFQ&HoA|#&?xVZ8MReZW)9 zuSRrPi3kqFkg-h)2Oq`@v)|`N7zD;@RG@#EWh(;ZVJ-wTPl~kWSYT|K1jzM+8_Jc0 z&W%L?NP}bdy!qRDTXV3k-b8k_9kIjW!UFbFMLJa=a23@O)mWy$Mfg&T;ldjp*&!LJ z@_iQH9a4s6gIJv|9=?fMZ*emRX(s|%CqU&uR_zs0^^G;0)0yNdm2XBmIs*?gj1be# zl4XAWKhfz~(&@d-S0Htk5&D%6uq$vDMlvcO&KCAED!?!ow1FXuC$`P2E5Jp55)f76 zq`g{~BY8|;z3{9R)Yx7XKw|uCb;P5QvHC|=JNk+Ze7h-(%^cbG6Lj^Ll!b~5Y{@2i zdMLD~pUq3@=?Gz22zHiE^Yce;YVNq=ZZ`Q7MaBIB_FX4~8LM%<%zIshy~UBgLWUbT z%FAHwA9~(2j^J_cT!oguoaqw6s0-No%bgwgQP>hm1OM(Oeu95{Jn(yp%Ya7fa0j8J zws`#sPU9|-Uj6v`?$Mr;N{QQ^sFL!pL*A= z9r4_F*23rZy!O4rW%>DqvVD?Qyd>~g=qv+=jU!D-KdBV`P?f#NzW=T&SuYl|0Ppvi{d|);=|Gk0!M7#JpGYcxzyX$6cS;*jtCX8M4jz4(QhkkD zILgWtm*u6`BDrVQT;Y~lC` zDdF%-_akGjzdnjQ9q8SEzd02V2=uQvHzwP>m#v7grpNv zW(H*U89B&F$t~+hdz}2&d8mSv$ZF!MHkdSjTM+sMPcjR4G7M81i8J0U=dq9Q|VXx>xJEezLf8YzbaX>OIvBB0j;Y-Q%JwDQe$rS6H=uLQF zBpJ*smBEA+v_T%LKEjuW3SL|2W1h^Kz#wiMt%xCPJid@2Z9G1RVZas;X^m`{2nG^CD?M4xz~V#?ajKwm@% zB<6)I<4D1dU4jquccr&YrBZy?bLv1a${7% z3P$s2SK6JT<`))yx)@5lCo=fKEa=IM4y8;k2%OnbykX@AlYl(4(O6QNg_z71RX4mW ziB@P6@z%@xKKir!Z9ePkI=>~&a4`4U)@@&ICb2K%;1uC(*7oG?N0t4x&^;(vT=qmL zoNXfX8SVut7{XbfzRr?pidQ@G%hM8@H#b3eVSIUo5Jw`0+P$JEB&Z$yqK-zP?V(bd z+@*d{kIV^eZNJ7shRrhF6O9PD=a{PSZjoxxrWP`PE3=_FCM`AYP-5%BnA~AIQoDTO z3(lh%-eHSFp~=v`TE{Ui`;FRqRdePMPity}YJTPu;9F9HpckJ!^>BZ`s<9N@g`#0m zFsp4*;F&R-B$7$)Jj-QOBFAOGmtK<=mToc@#WX%_@?e!WGUbY=2`!ZESx@iJcDdbo zTx4YG6?R_lUaEBqQaM7)XSRyH>1rv{wY}Lx2;T?Laxihfz;Bv?Zswd#4=;fg6(?Iz z!KN|yBG}!h9%8bBeV(6lorf2xh>G>`nugj0Us!@>5Z!zV#qwD2LqY_?QOJWIRh)%uha54`fm{%cEEWGc z4rP=Ae^3e!W?#~a;_p3D|29-&Sk#HAC+ScF+d(N&2*;0S<0yQ!D8Tc6g>L&v7aj^j z80#8}J%VGXm zBg=jQbfFZY@dxLqyy10oboE?Z9j<@kul?x0S`gX|cbN1nMO=EaEw0JD49FvPY6-48 z(RZaHfvd?R+dQk5{?C&M#Y2s9dp-(3#4p%f{1;fU7Y6#Lu8qsoGG)@T4-(L<_pnCzrj`IDy4C#at=P z9v;@Av{C=AMGiL^h^C?`gTHWFCGy|$CBI4&|463Nc{*I{_$hRDMh%itA!?xyHh;@d zII&p;MZ=3#yLsQQG}$c5)D$20UkUv)T^C1lF=~L(O)tLS9jYagES^U3m!Dy|{F8;3 z{7UkCopjFRBpZ`P4?dN%G+$F0j4@AOm}Nt^33_oJ1#yvdywgMqI3g(V6`HVm5j045 zlJX*LW9=15$%+>Aq3o4fDs+0_^a(}yYa0&Z9h}Mr+K1RY$p0q8B$uxj|Bu)gob;v^j5!QW5e*ULDxLSOi*qYuu+z4&UIjADjPCU zC&*SnG4y28Toy{+ds(3rmeqAyQ-YsB<^v~m67VEGxQKCmL&w_}uuxZ0Gwzx%ePLYCf$5U(30g(LG0DwV$w0cNSe3HfEfx0GTXJO`NCt+0Ch z1t>62BYQ+w=3rFo;PH=mDn`}v0)`U-WX2nF%gecitd~HxAt|HWm4Um+{2yEoXYO@L zW90C9nN?yhYcb0kcdgW;bBSyW;d*XbMlz3%5>z{CojUBXJzAHYD&zhC=lKml zmuA z%lw1$ui`x9QElx1SI+0D0B;)kWNpv_3Q7-upX<3?Zhjt` z=(D+qDKC#WZ;x*;cRP2-5)a2i$8!;LCDdtUcXb5Ru$AU1{5V_sMF-fo2*e-X%bNT-rKC@kD{d=kBQ z6r2w4C2G<7FcJs8TBg>I%EFrDZynn3A?tTe8ysQ{0@uusj z5`HgwbX9^5pfxv6@*#$vL+Cc7YbqduRKkspH+z-2a*&ub%=yO3*R*_Opn~lhxYmF= zQeyMda(2io94%LDoo>8CK?;s1{)c-h!2ukX&+*ya>N%7C0FM6aapz1{?TNar5jg-(F?>22D| zNf$pf+N6gnSKF0RKsb6slL74(akxRlHycE-jvMjlRqN1fi$VkVgLTF3J%J>6n zqG0x45I9KVOsufLQGv^wZ`cw~bsb>7-DMVg2D(`)t#t@X=RPzJd58k1rQu=OWTv~x z#c7tX6=4l8IYM3WmiZ8OvY;Lm1Kdjdy5>kW^bG8n!dp;QbbUL-QDqQDI|0`}n;Hq1 zK7Z!!^TTX(`o+^1r*}flts|Scb(AVw53FVUeQ}waK<`Yo0)4Kmts||3uq478{amL9QJAJ#c=v$(L3Vtqu)kegm6hBV%CWzV zuom%O%VrGN2*X=UjN^SY%v$=*@u2r$z&;T%;-g;=V;9NCZpk-^BC0%hAW zwBSXbXQdAF_v&RA>8$Il{F^P<6Rl+NyiTP|ShTJijr@43d=iDIqFWweD1HV7bAMhe z3YA!7H>yys

Mi`O{){5nn%fbu3`P%E#KPR-UfX>e*L z{woc_1Yno=HwnWUG>ym4h9AaOk$~>MbEO$LRQOJK!9B8m{0_5^@fyEAclA81{`3`LUD@4kF2|=g^>`4DNM%;n+-|Ju+ z2`j#RQt3#fd*)v<8Rl2~OKiqO)PLze2AC3_qab8_HtRtc?k&e(8jN&L|4T;0{0e`G z)i|5#FJ*HaSWCjpVuv||N4hH+8)pF@G#lnu`b+G_+0=h&G&RD!-9tN%pf{gs9Upe0SOSj1$UD?IMIxDC5 zK>J2Cl@(EfmpwEiY)g}Ts4Q_*}8jwz3$tOe;NHS zsgVMzs;x>TjUFZ4zlBEhA5s4oTX)W6;)8&y%2*)$LZ_03d&~cqCjJlM|3|Dj><2~~ zGC<344U9>XB#KA(w~fgiP}zV911+Uz-$N{IV~Ky%vt^mpVnXJxWcFJs#Ot0*S$;|d zSqReA{y$y(Jj9fX1UYFjaMpr!^0z96>Hm{UX;LbTa@qW#04yU0Zq7?R^?!2pC)}zS zNxY;zm-ZeM^m7nn7XMGKt359aYfZn{YzO@Dq=Z^yne|a;0T(*VoDo3}3KZ9XB@RU`%G2^`}vxXRl%rfb9i*)(NfI7-(KT`%)V| ztB1v1h}0}8P#g07wb+4S0%+=xkAY!C)Dr>WS+bC9WGgf->6o=7HtIkfgdNAnFN`_? zDhY-4k3!&!M-DgaT3GyAM0I8$;jmXa9MYV+y@6Vv;s4#`=0L|?uf!~P`~NgR|6`>N zQ44k1kepWh$K?FKSfoYNAuRkv0Lkd?&il?!AQn9>_np}^=g2U(i;le~4-OxTJDaoT z!hrAEz)skHRh@qh_zzqF^g6M4OQazSGz4H4BlF5EPI-_4K5=@>V*=$sTc8`U6K$Co z>!9k;H;rZ-kD{gId}Hxe)}Ur-LU-yPQ{dxKoGVqnWx!Q2Xs>c=OE!3Mp^Naw%%bB% zu)8*UbGGaG))a^FDG&2$&MXqqBwlm2r_XdpNXOT7|7|83E)-mROL2k#We|)eIV-q1 z5L88$Jum|S;DI4tWGKLoXyEp>E@-b70|#Q)R3tNdBp*hCNFp?3W^j(dR~Q3XSaJ(g zn1l|7gq#M3B$wJZk{J?(dlx}^YbNOB+C~_-u96*=EhYEIA4?cW>U#_uG?br|M~4X@ zta|>F_$2-1!gCrAW2WWT3XuiM?g^`J& zLif?`whV!zY9dQs|7EEki=`G}Pvn+^OCjtr=-!z$`fR}9N*q-ow0{G+LTHI{4aR8| zshbFp@k@djPMUFJR=#f@QXzhDKus4mSDGdU$PF) zf3I5-hxkb(1l1oEdButgULV=ZO)fs#|kLJM6&q@{6o>u28hg}q96kN(Tg}(7+M1X zS8PoT9ZZ1ttqsi`f!HDcJSqAYzl4#Khl7cW4ZsOtBVlCYVPWEA<6`GvCt>9Rx>?wH zSO6qE>?};IT%26otU#0yW*H#ni-oa>tvL{DhXojwh@{-pO z$u4H?^5zzvmkfWhp3Ub2|GafOB0*TaPGlXn{c*g!{hFR>=icMG*)2vZuA+|86ktRn zYd0|&F>?9~ksf-hqihMVcpKqrx#@qMf`Q5Y%be9&m#EA;JU`iWAUz)$EBP)^2>dpI zMd<|pE|O^-J?e0?hz7$DIA%-y{xm0m(X=BF={U`CQphon7aqP2;kamv*SObH(FJx( zh>`Ry$|%+Qh=sD)e~^06p%-ObAkDRu$U{3eRiA()|5|89N`3LnwQPp27Y*S{d0Sq1 z5gm=oL-5n*FX-{m$$924*K(yCKP+V;I5%dkSoSfqyJ69#T}mq+M)ovhJQ=o2#p< zuP-)}TfY5FRdFAvUtwe0ZO1Q`4C=Kw1~jlyQ=$ti%((n@7g)zg+pG=8w(zgi)A>+5G1Bs|>rjf`Dm>8kAI z>k@pa7|tUd-J>sOT!5<2o>MMaiY3sFD(2fI3F&>^6jLcu*;#36ehXZ*;luR|d8H6C zIGP%Q$>NGate=0i)%ny6#cxpO!zV)4QIGSk>bf5j2cyqu{n@Sv+Y(ns;S@&U=wGG0LVK zN$ySdvN`}{;?3Yp8-EmOKJ0a?MRiukYb^0fx4Lts-o z3(-Y3snJ#C9BoeRtGrU08d^vB`UQD~P6NU9H>PvnG*3tU?oS;Y>e-gu)iJ-GK73+s z)hi{xxtK+pFU1F&(gDcOV?;L%NtBkFa9_y3>Leyr+3Bs7YnJ=~w8&=?6N-3uEhj8a zz3bnVX~`7zL7eKqK|#k*R}1eTWr)klqv87E4*ia}VWy)-?z(@!5U^}g{QfjDwDho1 z#GSLS*!~JCr=){7Hhz3gC@^a*VmIysrZT%>iH?_zJRGmmtR2ffr86lvo zS6%S-d1yj@YMP$eE%^3^ss5Vx{NPihg3)5f5n_y#T1afUm(v~LOU=g6AwD8*eRFdU z*oFTJGmgM5mIl*uI2ZEc_Fb>%PA3x?c`J@K4mD(n*qAIKQVDr83jb|uXX%Ab8!iTb@EsO4&CjtbV1YFYZqQK^Ff+Sn-nSLX34b6BY_ivPdokT za6x3W05XF5G}Rg0G8rX_(a|<*dF!wCqKji1V_;%xKk>>MMc|3FA<^`}V2wzFx$%w6 zSPqwcwm!jjYSH+`Fa^6a{N%kl;@jQTZSH;_TgJJ;g62ArS{)zv-gbK0=?rF!eu1Kh z=~UWmG(NQZQ)~WM{rStUx*L-QRzCRqm_+BrCF6`JVQy>C_HFK-adI9dC`43m@!%)| z6*F^e04H`L2CeE`$`BiSNC)~(+;1(iy|2x=O+Q*Nj8~wsfZyc@$J6=c=VQv&)=?nH zC@%|ANRHi&jOeNVe*NLar}W|yv4W3($?(My*>P`GD!=_SMIvj7cvwXn*8L3ZDqgBA zFZ$}86emXMK+h=dWsRLK{i%^6XxmDTLq5rU59V!!x8U1=*svMEr%5l#w0+gDery;( zpEyn&J~6&B%_CU7KqYelBmVU||H+ZQe~np3b*>;EmHSU{bo=Vy{#R-IDYE%oLJe$8 zDoQ4JZM39VlwV23@<|WkI%9I^=rd!j2x)ZQThzo!i8Kx~2{Pj;OuET5GQbTYlyy$< zhCq&}eIBNckd2^TeH=JO5th#>pKrV}*FJIyvT%Xgc%xNla$#^^Od>>$3vvBS7q(^iwv2*=0fpoEbeQ;Ac}5PI`& zx=@Xra;^dL()foq?O@h~d^<_nIe`DW)5lgGx6)sNdx`giv0Leo|Xf~~Vj=W-<4huQU! z*PB(6yN!6t%R8rD)mAOXKT%MAS~$B43RsFvm<+ie-;Y%q7V*yCz}9blCt-IK16LmE zhBc$M&xrI)`bxS+gQ*%%f5>Jkn3ED|jdh0lPKVnUtv_17aO!jC(PwzvD|X(8RVk;Q zN1PEgsAnUH+=5b!BlH{Cfmw`wuj`QAP;B8*tDJX}H_D}9g^F7hmxtjfF~$Xr<2ilL zfaXn|5|R5=5nY?}BNO+s@o$@Zu^p8dl$6-{-@!Y+vo!d8wpyF}#J5;uGmshHck^w_ zdEA#RJ|tjtU>n<~H>?mr_WJGQ3|yA#^=TzwC0t7Jc|*vP{~3;b_id}HDCJoGQYj}R zPtEr0QGZAm_ky925tVTKe&#Px%6r%Jk=iq{~f~Nu3djj=ohi(iAAKBE0x!W?%Z#J3FHAb7F3HXE$-C%Z6yB zv<}Vea3-4B7%O~~^0`Rs(o)~{-_lahtE|@{&;l3vWS@8QQls2?Y|fc`Ru_ZABYdfz zNFD7rd|E+dl}X&lRv)4-eVm>YHmwv{j3CY4hrl85KBG3NePw>wXg%yI{tZ==JC zU#Vr~mYkCl_Zlk8^CuC{TblcJ%PR_catfBrWU)%En2S2Z>Lfg5LSuybP4g%wtB>|0DPT8V%MeHF@*9JhZ%4iQ%meGh%e;R!g8 z1MU7SUylfh4YMo;n@nvB%JL1ldxl^cQT=3TP9pa8l z{_@eseOKssSLg<_zpQ#?YUq0UdFxflM-+#+9lrACC$Bup{Zql_4z`?kC0^qn-5tpK zJkC0s^QA&QXZJEO74>Y}dvs})WuyG7?JiAZOf`jryBqtBh#1p%iFOiGmTfckCwobs zi22r*6O(LME+$#9v1QQ`;v-}&hmi$2IaIYmO>o`+K+9e9eS@n*JH#$BFhXH5h=zyE zp6zk&oK@AOmVwibES}jZa&JrLEWcUa|N7F)krA8FK~)iBd-ZNXRzOAzTSW0mJ(8Sx z)Vg5nRLO6H%%0O19~_A^k3}+8GZyuPv=|XinDkNUk-t&1B;jzVO5E5uCTC5&!1|nt z{_aIuZE2~-#`h>*fg~|iU8T;}d+N)@Y5)?+TEePLsqx!BHmPaeeHMl}`+-C*jVs-+(P9#&#(0>T9MXb{VHIxf{SrKq{lygIM zFlD%XI8@isfzi*ny*pOPC&RfGVmrBW}$ajdQL-l1UB zFEfLaO4%(s5#gjee>fVd!Tq+Fg_?Zc+K~i}ZOFUuK0WXCn_5+$eB(I{y}w^vT`epu z>YLl%UTMP=xWAv6*hsjp*mFOTFL`utpUq5w#}dX&O6mIkBVJwM8 zkR{bI4%vZ1K40jpd_`TGRzczoyqno8CHDGPI-Yc>Mw*!5RY>gggnU4s)8zTJkBE?l z{s{mBJBZnD`4>AMeLYAN4qXymW(ix04OuHq9GPg8T>&MSGvuXYZ9TNNyY*YUm%FCf zVQ9H19V3Ia)%t}e>QMWB5^UJDwaQH4C7#Y)rdE71ToXQ}9DPOe^9E`|Sy}LbGh_OT zyo_T*huCH+Q$(cX-R33C)R^k05l6D*XZ{V~#D^xs)F^F@#(|WS-(-L=`xN+6g!IpC zK6!C=!Rzr-N=_yw#I&4;m)r)uUBKg7Dt5JZpa2U(q7EDvnyRjS2c=1nFw-BYorBZ6 zz5v6SA&Iwz;r*M)b`1uPZTI4@8K%ZA7UdjHY~0y47HclMh`k3_HYM7MW ziOPXYXU9j%Tv?9DRCK#)(?**QKLp>NrY^s{?d_)A={^WPwAPH8Ll8iWC>#yvmJ_^D$f>!2Dha{X(66;XSoRM~=f+0mq- zpitb)#UkMSNi1E*edG0#vmIkB9uN<#MGv&&~2)HZ(-dqLW_fB5Fzdxy2 z_ZS=8QySbG?35^TUOnQlpE2gXc1|-WJinB5D(#Gf1*h_h{U#5rV3k(nwW30piQ3>g zUR+la5;$+j%`b@D9v&*_=9=#5e^C?O1>Hn!j85;n)V8I<@b$i zOt;I<0P@DmHYmd!>88p7$|qEmr$T#O|rMV@&R-sU&3r6yz@9Xn*+xgcDO@dYr7 z24Oa)p|}UOdF|TWDRo-N!!4E?kA32S#1laHtj{g{L**Dka7;)}JVXv>Lzfaw8c{{m zD3*et*ow)yQjKoOxl=uh_(<3C)4vm=ZJX)aADkj3C#0# z6LdyqRM)dZB8>`$dMPh&u=izNYSulTHtvStR1*)gJ>D3mL)bcQAMr z=j}K3g}dl?pqF135!T&(OmOZMf1j=V%$Qj%(j6OD_FU_F&3mAbfg?X+r}o-p6RvsK zX>rYxOt#HMKqBX*dg>wArU!-NyFz%ISTXP9Ep1p52el7EYW0cgfDgX`Nq%DW=Obx@ z-^ti=sv64}(YvaiW#^oeKW`r^E5pA~wBBu+7SIp|gj3idSrn1+C>`MkO3LE)aUy#W zg2b)OsHG^+?|a4wcTCT_-5sGbZ+HSXHE40Q?s0H763wf9IQKAoB=P`T=)B^$w94Rp zUVT&&m|vdX@VOa${GH}@VXL6y^wEjcy<1M^>AL!Z|d zHBQIv1`XiarU@ayg1&D5WJ$3b_-*U)Tmp7;v#PdTJ1^MS_`r&1Ny%Q$l$?}LNqofu~U=|(qaBk0w-w|m8cI`3H;!UVp^(x&~r z(TdI2#bYyYQAQgzwNF!LzuE)_?2*HH1bFqd-IqRh_q>`tt}|*AMp15_@P+#fIj?V{ z9L5@uwUFH=(RUYsLc4rfYsVju1~Eg14nVv47AG(4=~Ys#Ct|iav%a(5LCf)KwW*?V zWQ{UOjljJi8#m!Pznk||{u?cG*e(XePO$nLbuhf>l6U#{H{&-tZCbde=o%F7>+Z{y zR!rROZu4WuyVA?D?tVPNlRKgZK>nlZT=^$qIVHo+JuBDnb^J@3XZZJk@t=QuOU}2r zWPRaNbpzRrAl2iqMuu1VRzkp-P~_kL%2?5qInC6;1ZvD)0x_;2mJ}ERrMx zH)M$1a!+l`q4RB`YmdM~{qx0=IFjCwnP@RV+-~y4zy^V^WZ19^XDg<^fgqdAEgDpw z(d$~DFq0X>CZA2*PStbpQT1~z0Af?+=>jyB+bwyAy;dm9a0?&8sedaId>Cqi7}qX8 z8dQ&Cg4owQBeV#q?}@*cpzn9TE7~~36gWr*MB^%WwX%vZIrgxJ?>gKUSV!-NrBtXR zN`K2@CKYDY%X}D7RFwcR8srlB4|nzeAs0XH?@vOi({#busEQOrpDn z#)Rbr%Q>m~lI7AG@NWI^%k#VT8}9W4%R&ClFHP7;`_*oGw=b`+!k^w>*Z*_hYd{Xn zJIPZ^U$?|U#D(77y2PL6qnHRsY*)r^^XnR{KNheJ6iza%b*bs)eci*J{XhSNAAQ9FIn^%3I5Hc&Z^xzZ1dhQD?!+C)dLIQcpx!n~m_e7!!9n1SO786kR zwgcirfQCjc$Z!=bnw+)!0|xHE@po!55L2x`2A7WYH`i~iJ!tacWO0b=T@X4JGJj%d z)iy^K5%r0Bx!>HWs#1eDyRp9Ds2L#aXsp6kqv6b>S_r$-m|P>=AT>XjNOy%hiSWgS zMNBefhm}7aY1~~tv6hJ0P8`SLJM!)glL_G{hWtvMBjrLWY&U}c&%Kbq!XMcryAnRX znTI=zx+RC@elMo%d^_4nqSkkMq zAZ_eQol;b-8T2!kT>9x5um{#&0SGs(-cyiF%`pUH_Q4(6?bdg}L%V-HAwM z?|-XpII^3}fR!(Yc!&@KL_>;HK{sS6>?e*Hqbw=g9i~PLKstlAz;9FWd>j7s_;iPQ z*}cB}!TR#2oOnXFEZwairgv3@csW|&s_ur(6m6Z)mzX{;mz>YL*1zePs@Z}fdykAy z6HQF=DJzBWlmQ#KD+$A@BFAw2G9EeJ4#mxcc3H^P3F%Dep`yPrii^P2_T7xcBpjZG z8e^_PQqJ%G=!8L4E@f8q$V>$Cz~r>2*{UN4NBV14{A;S{a%hrT+w1OxN2=r}BQB-! zF=Lk7R)#&U2$R$d${#2IHrXIY3;45lXRnnW|5xIr_?}O&%`T`yXx7wEGgU>0rQefk zjD!dcWVp5Y1G8hz^#;*@ggy}De&-7loLiC|vsi5uuF%8adHSMAXB)Y@GAhM+;Nmvv z$-|F>b{K8fj9R@Rx^YJOZbRM)*RF#Tz?T079oO}C@wa8O3>j^u0Z-*5?|NgYPWp_4IcAd6@RK z-y8*3kgYPCA=h-JG3%~zoi?O;XglD$@9%MmbgREj{#o~Fiod0wRAb<;(%*@55tKC% zH^a_xZ{v+&4+uNTX=vDra&g!gJ>-Z6n~c;eZklor4@+bl*&w<}DHhZdLMp45OR6JKat~^ls=y!KXXQ1NySa zBkQ6Tjpp?I)}oS=iT-7r@rrZv`-IfbX+1A^Kw@0wIf=T*!2y;KGO+P)h{dlRJpjUL zIb&}J1vpKKFilWqA~v{3bjTzwAGo3Hc;qp^?doKekVqeYVa9s7>!gwlC4&AV!w1Jh z_$cL~&klcD8nEM0?A~<;9=K8i=GIM0?D*V~Ax$!0l@F=?3j&9bV)s}3?jA2$p60}< zRH@W0@68k~yi%J?^_KO*(75;Mn8gcTMEgOZD>`!%`k5ZaxBIysrauitB=apgN6A;! z+2wxP_)ZE?_4$6BzeaHlN-)h9YIP9lRef1*UR90C0IDIDpw&V1+(;pyKF%z5)I8O} zMxz8%C!qS!tykKdP07>B-~e(z4wPSrwdFx2=^+Eua?7M3C>WW<%zO%mJu`T|*)*f&yC*$o;Wu2t!zT}8q zz;XkCWyVc^LQUhbbruiCTRt>VK}TZQXVBL~LOLx;+Ux`L|F+m>XHE(~%1yRsuj!!! zhB$<}`BS|VKZheJS2-f08GoxK$xxL%aZt3wpdnG%r!&M`8vG61YiZ(mQrL$_AHioC z>!dpBY)b6gP1(~XT|SRM+PB40vIa9F`cC>?8w(PLU-C^+Zu#lLWub$^Jji9F8{^-Y z-KeF+E`PvEA+IgwVE0w5*oC4ZMbvKg>%LTY^yvUU4>9PDbWH3)Vjn!%wboa(6@@&>naNxX7yIwM?=4In@ z#x-u0#RTh~p-r@YMH(kxrZJOO@X(Rto;92gjcH|{iQ_|E6II8GA(8I_uT)M}72%1C zvFuN8O)jh3A9K6*bxDg%84K3+b^H>(-#}Cr&v6{m7_W}5BUAOTTt+r*zSR*igcPSO z=={X$SW}&7XT(}$TQ)tuXm)A<*e0DfxBg_RK!=z9>ZHq0Rq->c$U)l=MVY0JD5Y$T z?W+S~ZFF|cQgp4>U>1j#M~F2QcE+j!X9q!QQ=?|Yc+@COJ)9$qve+#FReq~wip8Mi zrRlwZKrdm&C?3IQO}>hT^j88vg6=RNou8><#nLE>imV{xCnLj%l`BGBKryQe%;2@b z&^`AV(c+$27S>Yk!Y-&q$8ad`JfjX~9GX%llhkAE$^ckUoFK`zD^|8<#|j`2bN^fI zx@HS{WMBH(y8Rd&h?#HwvVb-NJ&NnHjj?q(XVhG+5K{+;w_GxJfI-=3EUsiE`nec> zf}8;jd2Z<@Fw7WJ6srwW$ny1Q)Q+EqH`H+Q8QhwEsKWZtvHqK+G3W&4YiyAV@GRa~ z8MjnnqN24yo&5*UT@lM2V}1*W3~H4U7+!sHeUQ7RSx$^#s)$ z*8Jm8BT=2u#iLpYa~2Iczfu8`j;FD0Nfm!mL#B;FUF)b`33~y_8*J8fA~+~fObB$9 zRgi|5XtSaBmsGYe?O;V(Tk19A5dE&>%S70LFx+izQ+p?nX?1w##>-#rGo778zAo3P z%2QOb?tNE)n}{7fckzWc#y)aM4S^Clreb=j1We1*4YvyGEROuR@306gu!TpGpG0a2 zG?uE&7VJDbRAJjFV0r9s{s~t-GBez&r)LHZC$_wyC5p>;bkzK?RT4xq$O8e^_G-dS z0ph$A3yrnz7War2%YqN#s!O5)24!ufFV|v{M9@po*1E;1kcxBch~N_txjYx5=VA~X zqXNAr)GyaFbcRY`y%E#b(@{my9L|l+<;)Yz=yQyVh8hjmj~q>Y*jaL=dm)G}ywZ--VD+oXJ(T9$bm>B=p+Z5$Y_qzRlhv{; zcgdU>=Cm*c*sPgA1nf;Ihb6h>VlF^^p`~cPPq|r? ze#lVi>}fh8OOjt=@IhOF4PJrHa5(}Vxu$fK2=Y-K?{;7|iJv1cX9#3P`ey@FkiJ{2 z0*~wz%O+X)*2t$?A$v`jI~*j3FMY1id~MiHD#kPr?SFhy|78Et3-l)czH~(O7+`w< zam#T_c%bpr-P<|B-?nv$@kii0e%l+>(?iDApE5iDwjR56AN=1v|EsdA36Z0S!U%%U zdr%=4@n9hY;$i8YuAlCKVIe!alMEue*~#Kzb`QPNJ!6C0J@m|IR>6}jUOagcHDJ8t ztOxP1hIsL&M>Pq=fOrt}B8UoMeLvMbGrb{T!%$VPzxPwGUcJ72Fn=C;qm#S&yZNnW zzkb;H`>*F8eDuXXzkm77%6(_$&Q}kIfPZi|KR*5T8*C z`{eu2e;!`?Sc|L@)}r}u7$ zH&35>@3ZSy&ahpGwt>`+PzR=ByQqrqvB-9D+}`Y9O5~SzcLVt^$b|77cRiXUxD;-U z^8&Em(FC|A-E5ePR!g`$$tVTB&5^ipG0qQ?mxQ}j#7U86BXOY{18pUp9)Yko8;@U4 z4w1&>r!S^ zgXTCYW${fd0_DwhL9UxV$r??zMR>4Pj-Ltmi`X&*QzJ9h7=v+wLXkk69XqZba^5_v+{H6Sc03Nzfz zQaIY}A|LdUsTihNTqKixRtyqx0LPg*5L28ErglZL!C^F}!EhOF zwP+w44Y1*PO09`LjMAs2Y|`Lw@`^DM-2ue#JG;8bLP>+-LAPn8H4Om^ja{(^wL$L; zn-0J|m=4&OVxh4Rj>QB7Q6cMD1r{1Bw2syZB3bCO70n8Y-znA$I3#03;-~}bufvWw z1)6uO7^yi0#%6*YY4+~3p3hbkLnM3m*@I;7>+D@wB(JoMg%zZQ*dT0r*n_qSVWH-n zY2Kfej^$ zy3k@#=sl*lZe~!t*f*=bw|coG|HV-@MROC;Z(uLq0qWq@d^bz6-bDj%{m#4#EVBDJ zl@(L2xRM^w z8Xdet`~dq + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +TOP +Bot +a1k.org 68030-TK v0.9d +(c) 2014 Matthias Heinrichs +thx BukoCharly, Georg Braun, Herzi +a1k.org 68030-TK V0.9 +(c)2013 Matthias Heinrichs +Free for non commercial +reproduction + +JTAG + + + +<b>Motorola MC68000 Processors</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>micro Ball Grid Array</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Dual In Line</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>PLASTIC LEADED CHIP CARRIER</b><p> +square + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors, Capacitors, Inductors</b><p> +Based on the previous libraries: +<ul> +<li>r.lbr +<li>cap.lbr +<li>cap-fe.lbr +<li>captant.lbr +<li>polcap.lbr +<li>ipc-smd.lbr +</ul> +All SMD packages are defined according to the IPC specifications and CECC<p> +<author>Created by librarian@cadsoft.de</author><p> +<p> +for Electrolyt Capacitors see also :<p> +www.bccomponents.com <p> +www.panasonic.com<p> +www.kemet.com<p> +http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> +<p> +for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> + +<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> +<tr valign="top"> + +<! <td width="10">&nbsp;</td> +<td width="90%"> + +<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> +<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> + <TR> + <TD COLSPAN=8> + <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> + </B> + </TD><TD>&nbsp;</TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > + 3005P<BR> + 3006P<BR> + 3006W<BR> + 3006Y<BR> + 3009P<BR> + 3009W<BR> + 3009Y<BR> + 3057J<BR> + 3057L<BR> + 3057P<BR> + 3057Y<BR> + 3059J<BR> + 3059L<BR> + 3059P<BR> + 3059Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 89P<BR> + 89W<BR> + 89X<BR> + 89PH<BR> + 76P<BR> + 89XH<BR> + 78SLT<BR> + 78L&nbsp;ALT<BR> + 56P&nbsp;ALT<BR> + 78P&nbsp;ALT<BR> + T8S<BR> + 78L<BR> + 56P<BR> + 78P<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + T18/784<BR> + 783<BR> + 781<BR> + -<BR> + -<BR> + -<BR> + 2199<BR> + 1697/1897<BR> + 1680/1880<BR> + 2187<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 8035EKP/CT20/RJ-20P<BR> + -<BR> + RJ-20X<BR> + -<BR> + -<BR> + -<BR> + 1211L<BR> + 8012EKQ&nbsp;ALT<BR> + 8012EKR&nbsp;ALT<BR> + 1211P<BR> + 8012EKJ<BR> + 8012EKL<BR> + 8012EKQ<BR> + 8012EKR<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 2101P<BR> + 2101W<BR> + 2101Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 2102L<BR> + 2102S<BR> + 2102Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVMCOG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 43P<BR> + 43W<BR> + 43Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 40L<BR> + 40P<BR> + 40Y<BR> + 70Y-T602<BR> + 70L<BR> + 70P<BR> + 70Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + RT/RTR12<BR> + RT/RTR12<BR> + RT/RTR12<BR> + -<BR> + RJ/RJR12<BR> + RJ/RJR12<BR> + RJ/RJR12<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3250L<BR> + 3250P<BR> + 3250W<BR> + 3250X<BR> + 3252P<BR> + 3252W<BR> + 3252X<BR> + 3260P<BR> + 3260W<BR> + 3260X<BR> + 3262P<BR> + 3262W<BR> + 3262X<BR> + 3266P<BR> + 3266W<BR> + 3266X<BR> + 3290H<BR> + 3290P<BR> + 3290W<BR> + 3292P<BR> + 3292W<BR> + 3292X<BR> + 3296P<BR> + 3296W<BR> + 3296X<BR> + 3296Y<BR> + 3296Z<BR> + 3299P<BR> + 3299W<BR> + 3299X<BR> + 3299Y<BR> + 3299Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + -<BR> + 64W&nbsp;ALT<BR> + -<BR> + 64P&nbsp;ALT<BR> + 64W&nbsp;ALT<BR> + 64X&nbsp;ALT<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66P<BR> + 66W<BR> + 66X<BR> + 67P<BR> + 67W<BR> + 67X<BR> + 67Y<BR> + 67Z<BR> + 68P<BR> + 68W<BR> + 68X<BR> + 67Y&nbsp;ALT<BR> + 67Z&nbsp;ALT<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 5050<BR> + 5091<BR> + 5080<BR> + 5087<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + T63YB<BR> + T63XB<BR> + -<BR> + -<BR> + -<BR> + 5887<BR> + 5891<BR> + 5880<BR> + -<BR> + -<BR> + -<BR> + T93Z<BR> + T93YA<BR> + T93XA<BR> + T93YB<BR> + T93XB<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 8026EKP<BR> + 8026EKW<BR> + 8026EKM<BR> + 8026EKP<BR> + 8026EKB<BR> + 8026EKM<BR> + 1309X<BR> + 1309P<BR> + 1309W<BR> + 8024EKP<BR> + 8024EKW<BR> + 8024EKN<BR> + RJ-9P/CT9P<BR> + RJ-9W<BR> + RJ-9X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3105P/3106P<BR> + 3105W/3106W<BR> + 3105X/3106X<BR> + 3105Y/3106Y<BR> + 3105Z/3105Z<BR> + 3102P<BR> + 3102W<BR> + 3102X<BR> + 3102Y<BR> + 3102Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMCBG<BR> + EVMCCG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 55-1-X<BR> + 55-4-X<BR> + 55-3-X<BR> + 55-2-X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 50-2-X<BR> + 50-4-X<BR> + 50-3-X<BR> + -<BR> + -<BR> + -<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 64Y<BR> + 64Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3323P<BR> + 3323S<BR> + 3323W<BR> + 3329H<BR> + 3329P<BR> + 3329W<BR> + 3339H<BR> + 3339P<BR> + 3339W<BR> + 3352E<BR> + 3352H<BR> + 3352K<BR> + 3352P<BR> + 3352T<BR> + 3352V<BR> + 3352W<BR> + 3362H<BR> + 3362M<BR> + 3362P<BR> + 3362R<BR> + 3362S<BR> + 3362U<BR> + 3362W<BR> + 3362X<BR> + 3386B<BR> + 3386C<BR> + 3386F<BR> + 3386H<BR> + 3386K<BR> + 3386M<BR> + 3386P<BR> + 3386S<BR> + 3386W<BR> + 3386X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 25P<BR> + 25S<BR> + 25RX<BR> + 82P<BR> + 82M<BR> + 82PA<BR> + -<BR> + -<BR> + -<BR> + 91E<BR> + 91X<BR> + 91T<BR> + 91B<BR> + 91A<BR> + 91V<BR> + 91W<BR> + 25W<BR> + 25V<BR> + 25P<BR> + -<BR> + 25S<BR> + 25U<BR> + 25RX<BR> + 25X<BR> + 72XW<BR> + 72XL<BR> + 72PM<BR> + 72RX<BR> + -<BR> + 72PX<BR> + 72P<BR> + 72RXW<BR> + 72RXL<BR> + 72X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + T7YB<BR> + T7YA<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + TXD<BR> + TYA<BR> + TYP<BR> + -<BR> + TYD<BR> + TX<BR> + -<BR> + 150SX<BR> + 100SX<BR> + 102T<BR> + 101S<BR> + 190T<BR> + 150TX<BR> + 101<BR> + -<BR> + -<BR> + 101SX<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ET6P<BR> + ET6S<BR> + ET6X<BR> + RJ-6W/8014EMW<BR> + RJ-6P/8014EMP<BR> + RJ-6X/8014EMX<BR> + TM7W<BR> + TM7P<BR> + TM7X<BR> + -<BR> + 8017SMS<BR> + -<BR> + 8017SMB<BR> + 8017SMA<BR> + -<BR> + -<BR> + CT-6W<BR> + CT-6H<BR> + CT-6P<BR> + CT-6R<BR> + -<BR> + CT-6V<BR> + CT-6X<BR> + -<BR> + -<BR> + 8038EKV<BR> + -<BR> + 8038EKX<BR> + -<BR> + -<BR> + 8038EKP<BR> + 8038EKZ<BR> + 8038EKW<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 3321H<BR> + 3321P<BR> + 3321N<BR> + 1102H<BR> + 1102P<BR> + 1102T<BR> + RVA0911V304A<BR> + -<BR> + RVA0911H413A<BR> + RVG0707V100A<BR> + RVA0607V(H)306A<BR> + RVA1214H213A<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3104B<BR> + 3104C<BR> + 3104F<BR> + 3104H<BR> + -<BR> + 3104M<BR> + 3104P<BR> + 3104S<BR> + 3104W<BR> + 3104X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + EVMQ0G<BR> + EVMQIG<BR> + EVMQ3G<BR> + EVMS0G<BR> + EVMQ0G<BR> + EVMG0G<BR> + -<BR> + -<BR> + -<BR> + EVMK4GA00B<BR> + EVM30GA00B<BR> + EVMK0GA00B<BR> + EVM38GA00B<BR> + EVMB6<BR> + EVLQ0<BR> + -<BR> + EVMMSG<BR> + EVMMBG<BR> + EVMMAG<BR> + -<BR> + -<BR> + EVMMCS<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMM1<BR> + -<BR> + -<BR> + EVMM0<BR> + -<BR> + -<BR> + EVMM3<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 62-3-1<BR> + 62-1-2<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67R<BR> + -<BR> + 67P<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67X<BR> + 63V<BR> + 63S<BR> + 63M<BR> + -<BR> + -<BR> + 63H<BR> + 63P<BR> + -<BR> + -<BR> + 63X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P>&nbsp;<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> + <TR> + <TD COLSPAN=7> + <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> + <P> + <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3224G<BR> + 3224J<BR> + 3224W<BR> + 3269P<BR> + 3269W<BR> + 3269X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 44G<BR> + 44J<BR> + 44W<BR> + 84P<BR> + 84W<BR> + 84X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST63Z<BR> + ST63Y<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST5P<BR> + ST5W<BR> + ST5X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=7>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=7> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3314G<BR> + 3314J<BR> + 3364A/B<BR> + 3364C/D<BR> + 3364W/X<BR> + 3313G<BR> + 3313J<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 23B<BR> + 23A<BR> + 21X<BR> + 21W<BR> + -<BR> + 22B<BR> + 22A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST5YL/ST53YL<BR> + ST5YJ/5T53YJ<BR> + ST-23A<BR> + ST-22B<BR> + ST-22<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST-4B<BR> + ST-4A<BR> + -<BR> + -<BR> + -<BR> + ST-3B<BR> + ST-3A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVM-6YS<BR> + EVM-1E<BR> + EVM-1G<BR> + EVM-1D<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + G4B<BR> + G4A<BR> + TR04-3S1<BR> + TRG04-2S1<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + DVR-43A<BR> + CVR-42C<BR> + CVR-42A/C<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P> +<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> +<P> + +&nbsp; +<P> +</td> +</tr> +</table> + + +<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> +Metric Code Size 1608 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> +Metric Code Size 2012 + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + + + +<b>TTL Devices, 74xx Series with European Symbols</b><p> +Based on the following sources: +<ul> +<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. +<li>TTL Data Book, Volume 2 , 1993 +<li>National Seminconductor Databook 1990, ALS/LS Logic +<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 +<li>http://icmaster.com/ViewCompare.asp +</ul> +<author>Created by librarian@cadsoft.de</author> + + +<b>Wide Small Outline package</b> 300 mil + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Harting & 3M Connectors</b><p> +Low profile connectors, straight<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +10 +>NAME +>VALUE +1 +2 + + + + + + + + + + + + + + +<b>Crystals and Crystal Resonators</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CRYSTAL RESONATOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 + + + + +<b>VG Connectors (DIN 41612/DIN 41617)</b><p> +The library contains devices which allow to place the contacts individually or +in one or several blocks.<p> +This behavior is indicated by the key words <i>single</i> and <i>block</i> in +the respective device descriptions.<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CONNECTOR</b><p> +female, 96 pins, type R, rows ABC, grid 2.54 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 +a +b +c +32 +DIN41612-R + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors in DIL Packages</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>AMD MACH4/MACH5 Family (Vantis)</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>THIN QUAD FLAT PACK</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +TQFP 100 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + +<b>LeitOn Design-Regeln</b> +<p> +Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! +<br><br> +<b>Übersicht der LeitOn Regeln:</b<<br><br> +<u>allgemein:</u><br> +minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> +(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> +kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> +<u>Kupferrestringe um DK-Bohrungen:</u><br> +Aussenlagen: <b>0.15 mm</b><br> +Innenlagen: <b>0.2 mm</b><br> +<br> +<u>Masselagen-Freimachungen:</u><br> +Innenlagen: <b>0.35 mm</b><br> +<br> +<u>Bestückungsdruck</u><br> +minimale Strichstärke: <b>0.2 mm</b><br><br> +<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. + +</p> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Since Version 6.2.2 text objects can contain more than one line, +which will not be processed correctly with this version. + + + diff --git a/Layout and PCB/68030-TK-V09g.brd b/Layout and PCB/68030-TK-V09g.brd new file mode 100644 index 0000000..4af43c9 --- /dev/null +++ b/Layout and PCB/68030-TK-V09g.brd @@ -0,0 +1,8127 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +TOP +Bot +a1k.org 68030-TK v0.9d +(c) 2014 Matthias Heinrichs +thx BukoCharly, Georg Braun, Herzi +a1k.org 68030-TK V0.9 +(c)2013 Matthias Heinrichs +Free for non commercial +reproduction + +JTAG + + + +<b>Motorola MC68000 Processors</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>micro Ball Grid Array</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Dual In Line</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>PLASTIC LEADED CHIP CARRIER</b><p> +square + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors, Capacitors, Inductors</b><p> +Based on the previous libraries: +<ul> +<li>r.lbr +<li>cap.lbr +<li>cap-fe.lbr +<li>captant.lbr +<li>polcap.lbr +<li>ipc-smd.lbr +</ul> +All SMD packages are defined according to the IPC specifications and CECC<p> +<author>Created by librarian@cadsoft.de</author><p> +<p> +for Electrolyt Capacitors see also :<p> +www.bccomponents.com <p> +www.panasonic.com<p> +www.kemet.com<p> +http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> +<p> +for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> + +<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> +<tr valign="top"> + +<! <td width="10">&nbsp;</td> +<td width="90%"> + +<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> +<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> + <TR> + <TD COLSPAN=8> + <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> + </B> + </TD><TD>&nbsp;</TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > + 3005P<BR> + 3006P<BR> + 3006W<BR> + 3006Y<BR> + 3009P<BR> + 3009W<BR> + 3009Y<BR> + 3057J<BR> + 3057L<BR> + 3057P<BR> + 3057Y<BR> + 3059J<BR> + 3059L<BR> + 3059P<BR> + 3059Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 89P<BR> + 89W<BR> + 89X<BR> + 89PH<BR> + 76P<BR> + 89XH<BR> + 78SLT<BR> + 78L&nbsp;ALT<BR> + 56P&nbsp;ALT<BR> + 78P&nbsp;ALT<BR> + T8S<BR> + 78L<BR> + 56P<BR> + 78P<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + T18/784<BR> + 783<BR> + 781<BR> + -<BR> + -<BR> + -<BR> + 2199<BR> + 1697/1897<BR> + 1680/1880<BR> + 2187<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 8035EKP/CT20/RJ-20P<BR> + -<BR> + RJ-20X<BR> + -<BR> + -<BR> + -<BR> + 1211L<BR> + 8012EKQ&nbsp;ALT<BR> + 8012EKR&nbsp;ALT<BR> + 1211P<BR> + 8012EKJ<BR> + 8012EKL<BR> + 8012EKQ<BR> + 8012EKR<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 2101P<BR> + 2101W<BR> + 2101Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 2102L<BR> + 2102S<BR> + 2102Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVMCOG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 43P<BR> + 43W<BR> + 43Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 40L<BR> + 40P<BR> + 40Y<BR> + 70Y-T602<BR> + 70L<BR> + 70P<BR> + 70Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + RT/RTR12<BR> + RT/RTR12<BR> + RT/RTR12<BR> + -<BR> + RJ/RJR12<BR> + RJ/RJR12<BR> + RJ/RJR12<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3250L<BR> + 3250P<BR> + 3250W<BR> + 3250X<BR> + 3252P<BR> + 3252W<BR> + 3252X<BR> + 3260P<BR> + 3260W<BR> + 3260X<BR> + 3262P<BR> + 3262W<BR> + 3262X<BR> + 3266P<BR> + 3266W<BR> + 3266X<BR> + 3290H<BR> + 3290P<BR> + 3290W<BR> + 3292P<BR> + 3292W<BR> + 3292X<BR> + 3296P<BR> + 3296W<BR> + 3296X<BR> + 3296Y<BR> + 3296Z<BR> + 3299P<BR> + 3299W<BR> + 3299X<BR> + 3299Y<BR> + 3299Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + -<BR> + 64W&nbsp;ALT<BR> + -<BR> + 64P&nbsp;ALT<BR> + 64W&nbsp;ALT<BR> + 64X&nbsp;ALT<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66P<BR> + 66W<BR> + 66X<BR> + 67P<BR> + 67W<BR> + 67X<BR> + 67Y<BR> + 67Z<BR> + 68P<BR> + 68W<BR> + 68X<BR> + 67Y&nbsp;ALT<BR> + 67Z&nbsp;ALT<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 5050<BR> + 5091<BR> + 5080<BR> + 5087<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + T63YB<BR> + T63XB<BR> + -<BR> + -<BR> + -<BR> + 5887<BR> + 5891<BR> + 5880<BR> + -<BR> + -<BR> + -<BR> + T93Z<BR> + T93YA<BR> + T93XA<BR> + T93YB<BR> + T93XB<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 8026EKP<BR> + 8026EKW<BR> + 8026EKM<BR> + 8026EKP<BR> + 8026EKB<BR> + 8026EKM<BR> + 1309X<BR> + 1309P<BR> + 1309W<BR> + 8024EKP<BR> + 8024EKW<BR> + 8024EKN<BR> + RJ-9P/CT9P<BR> + RJ-9W<BR> + RJ-9X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3105P/3106P<BR> + 3105W/3106W<BR> + 3105X/3106X<BR> + 3105Y/3106Y<BR> + 3105Z/3105Z<BR> + 3102P<BR> + 3102W<BR> + 3102X<BR> + 3102Y<BR> + 3102Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMCBG<BR> + EVMCCG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 55-1-X<BR> + 55-4-X<BR> + 55-3-X<BR> + 55-2-X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 50-2-X<BR> + 50-4-X<BR> + 50-3-X<BR> + -<BR> + -<BR> + -<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 64Y<BR> + 64Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3323P<BR> + 3323S<BR> + 3323W<BR> + 3329H<BR> + 3329P<BR> + 3329W<BR> + 3339H<BR> + 3339P<BR> + 3339W<BR> + 3352E<BR> + 3352H<BR> + 3352K<BR> + 3352P<BR> + 3352T<BR> + 3352V<BR> + 3352W<BR> + 3362H<BR> + 3362M<BR> + 3362P<BR> + 3362R<BR> + 3362S<BR> + 3362U<BR> + 3362W<BR> + 3362X<BR> + 3386B<BR> + 3386C<BR> + 3386F<BR> + 3386H<BR> + 3386K<BR> + 3386M<BR> + 3386P<BR> + 3386S<BR> + 3386W<BR> + 3386X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 25P<BR> + 25S<BR> + 25RX<BR> + 82P<BR> + 82M<BR> + 82PA<BR> + -<BR> + -<BR> + -<BR> + 91E<BR> + 91X<BR> + 91T<BR> + 91B<BR> + 91A<BR> + 91V<BR> + 91W<BR> + 25W<BR> + 25V<BR> + 25P<BR> + -<BR> + 25S<BR> + 25U<BR> + 25RX<BR> + 25X<BR> + 72XW<BR> + 72XL<BR> + 72PM<BR> + 72RX<BR> + -<BR> + 72PX<BR> + 72P<BR> + 72RXW<BR> + 72RXL<BR> + 72X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + T7YB<BR> + T7YA<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + TXD<BR> + TYA<BR> + TYP<BR> + -<BR> + TYD<BR> + TX<BR> + -<BR> + 150SX<BR> + 100SX<BR> + 102T<BR> + 101S<BR> + 190T<BR> + 150TX<BR> + 101<BR> + -<BR> + -<BR> + 101SX<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ET6P<BR> + ET6S<BR> + ET6X<BR> + RJ-6W/8014EMW<BR> + RJ-6P/8014EMP<BR> + RJ-6X/8014EMX<BR> + TM7W<BR> + TM7P<BR> + TM7X<BR> + -<BR> + 8017SMS<BR> + -<BR> + 8017SMB<BR> + 8017SMA<BR> + -<BR> + -<BR> + CT-6W<BR> + CT-6H<BR> + CT-6P<BR> + CT-6R<BR> + -<BR> + CT-6V<BR> + CT-6X<BR> + -<BR> + -<BR> + 8038EKV<BR> + -<BR> + 8038EKX<BR> + -<BR> + -<BR> + 8038EKP<BR> + 8038EKZ<BR> + 8038EKW<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 3321H<BR> + 3321P<BR> + 3321N<BR> + 1102H<BR> + 1102P<BR> + 1102T<BR> + RVA0911V304A<BR> + -<BR> + RVA0911H413A<BR> + RVG0707V100A<BR> + RVA0607V(H)306A<BR> + RVA1214H213A<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3104B<BR> + 3104C<BR> + 3104F<BR> + 3104H<BR> + -<BR> + 3104M<BR> + 3104P<BR> + 3104S<BR> + 3104W<BR> + 3104X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + EVMQ0G<BR> + EVMQIG<BR> + EVMQ3G<BR> + EVMS0G<BR> + EVMQ0G<BR> + EVMG0G<BR> + -<BR> + -<BR> + -<BR> + EVMK4GA00B<BR> + EVM30GA00B<BR> + EVMK0GA00B<BR> + EVM38GA00B<BR> + EVMB6<BR> + EVLQ0<BR> + -<BR> + EVMMSG<BR> + EVMMBG<BR> + EVMMAG<BR> + -<BR> + -<BR> + EVMMCS<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMM1<BR> + -<BR> + -<BR> + EVMM0<BR> + -<BR> + -<BR> + EVMM3<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 62-3-1<BR> + 62-1-2<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67R<BR> + -<BR> + 67P<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67X<BR> + 63V<BR> + 63S<BR> + 63M<BR> + -<BR> + -<BR> + 63H<BR> + 63P<BR> + -<BR> + -<BR> + 63X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P>&nbsp;<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> + <TR> + <TD COLSPAN=7> + <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> + <P> + <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3224G<BR> + 3224J<BR> + 3224W<BR> + 3269P<BR> + 3269W<BR> + 3269X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 44G<BR> + 44J<BR> + 44W<BR> + 84P<BR> + 84W<BR> + 84X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST63Z<BR> + ST63Y<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST5P<BR> + ST5W<BR> + ST5X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=7>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=7> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3314G<BR> + 3314J<BR> + 3364A/B<BR> + 3364C/D<BR> + 3364W/X<BR> + 3313G<BR> + 3313J<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 23B<BR> + 23A<BR> + 21X<BR> + 21W<BR> + -<BR> + 22B<BR> + 22A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST5YL/ST53YL<BR> + ST5YJ/5T53YJ<BR> + ST-23A<BR> + ST-22B<BR> + ST-22<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST-4B<BR> + ST-4A<BR> + -<BR> + -<BR> + -<BR> + ST-3B<BR> + ST-3A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVM-6YS<BR> + EVM-1E<BR> + EVM-1G<BR> + EVM-1D<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + G4B<BR> + G4A<BR> + TR04-3S1<BR> + TRG04-2S1<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + DVR-43A<BR> + CVR-42C<BR> + CVR-42A/C<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P> +<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> +<P> + +&nbsp; +<P> +</td> +</tr> +</table> + + +<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> +Metric Code Size 1608 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> +Metric Code Size 2012 + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + + + +<b>TTL Devices, 74xx Series with European Symbols</b><p> +Based on the following sources: +<ul> +<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. +<li>TTL Data Book, Volume 2 , 1993 +<li>National Seminconductor Databook 1990, ALS/LS Logic +<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 +<li>http://icmaster.com/ViewCompare.asp +</ul> +<author>Created by librarian@cadsoft.de</author> + + +<b>Wide Small Outline package</b> 300 mil + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Harting & 3M Connectors</b><p> +Low profile connectors, straight<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +10 +>NAME +>VALUE +1 +2 + + + + + + + + + + + + + + +<b>Crystals and Crystal Resonators</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CRYSTAL RESONATOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 + + + + +<b>VG Connectors (DIN 41612/DIN 41617)</b><p> +The library contains devices which allow to place the contacts individually or +in one or several blocks.<p> +This behavior is indicated by the key words <i>single</i> and <i>block</i> in +the respective device descriptions.<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CONNECTOR</b><p> +female, 96 pins, type R, rows ABC, grid 2.54 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 +a +b +c +32 +DIN41612-R + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors in DIL Packages</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>AMD MACH4/MACH5 Family (Vantis)</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>THIN QUAD FLAT PACK</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +TQFP 100 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Jumpers</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>Solder jumper</b> + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +<b>LeitOn Design-Regeln</b> +<p> +Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! +<br><br> +<b>Übersicht der LeitOn Regeln:</b<<br><br> +<u>allgemein:</u><br> +minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> +(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> +kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> +<u>Kupferrestringe um DK-Bohrungen:</u><br> +Aussenlagen: <b>0.15 mm</b><br> +Innenlagen: <b>0.2 mm</b><br> +<br> +<u>Masselagen-Freimachungen:</u><br> +Innenlagen: <b>0.35 mm</b><br> +<br> +<u>Bestückungsdruck</u><br> +minimale Strichstärke: <b>0.2 mm</b><br><br> +<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. + +</p> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Since Version 6.2.2 text objects can contain more than one line, +which will not be processed correctly with this version. + + + diff --git a/Layout and PCB/68030-TK-V09g.s#1 b/Layout and PCB/68030-TK-V09g.s#1 new file mode 100644 index 0000000..e223dad --- /dev/null +++ b/Layout and PCB/68030-TK-V09g.s#1 @@ -0,0 +1,14769 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Motorola MC68000 Processors</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>micro Ball Grid Array</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Dual In Line</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>PLASTIC LEADED CHIP CARRIER</b><p> +square + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>PLCC Socked</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME +68 + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +VCC +GND + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +VCC +GND + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +GND +VCC + + + + + + + + + + + + + + + + + + + + + + + + +<b>68xxx PROCESSOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>68xxx PROCESSOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>68xxx PROCESSOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors, Capacitors, Inductors</b><p> +Based on the previous libraries: +<ul> +<li>r.lbr +<li>cap.lbr +<li>cap-fe.lbr +<li>captant.lbr +<li>polcap.lbr +<li>ipc-smd.lbr +</ul> +All SMD packages are defined according to the IPC specifications and CECC<p> +<author>Created by librarian@cadsoft.de</author><p> +<p> +for Electrolyt Capacitors see also :<p> +www.bccomponents.com <p> +www.panasonic.com<p> +www.kemet.com<p> +http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> +<p> +for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> + +<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> +<tr valign="top"> + +<! <td width="10">&nbsp;</td> +<td width="90%"> + +<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> +<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> + <TR> + <TD COLSPAN=8> + <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> + </B> + </TD><TD>&nbsp;</TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > + 3005P<BR> + 3006P<BR> + 3006W<BR> + 3006Y<BR> + 3009P<BR> + 3009W<BR> + 3009Y<BR> + 3057J<BR> + 3057L<BR> + 3057P<BR> + 3057Y<BR> + 3059J<BR> + 3059L<BR> + 3059P<BR> + 3059Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 89P<BR> + 89W<BR> + 89X<BR> + 89PH<BR> + 76P<BR> + 89XH<BR> + 78SLT<BR> + 78L&nbsp;ALT<BR> + 56P&nbsp;ALT<BR> + 78P&nbsp;ALT<BR> + T8S<BR> + 78L<BR> + 56P<BR> + 78P<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + T18/784<BR> + 783<BR> + 781<BR> + -<BR> + -<BR> + -<BR> + 2199<BR> + 1697/1897<BR> + 1680/1880<BR> + 2187<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 8035EKP/CT20/RJ-20P<BR> + -<BR> + RJ-20X<BR> + -<BR> + -<BR> + -<BR> + 1211L<BR> + 8012EKQ&nbsp;ALT<BR> + 8012EKR&nbsp;ALT<BR> + 1211P<BR> + 8012EKJ<BR> + 8012EKL<BR> + 8012EKQ<BR> + 8012EKR<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 2101P<BR> + 2101W<BR> + 2101Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 2102L<BR> + 2102S<BR> + 2102Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVMCOG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 43P<BR> + 43W<BR> + 43Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 40L<BR> + 40P<BR> + 40Y<BR> + 70Y-T602<BR> + 70L<BR> + 70P<BR> + 70Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + RT/RTR12<BR> + RT/RTR12<BR> + RT/RTR12<BR> + -<BR> + RJ/RJR12<BR> + RJ/RJR12<BR> + RJ/RJR12<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3250L<BR> + 3250P<BR> + 3250W<BR> + 3250X<BR> + 3252P<BR> + 3252W<BR> + 3252X<BR> + 3260P<BR> + 3260W<BR> + 3260X<BR> + 3262P<BR> + 3262W<BR> + 3262X<BR> + 3266P<BR> + 3266W<BR> + 3266X<BR> + 3290H<BR> + 3290P<BR> + 3290W<BR> + 3292P<BR> + 3292W<BR> + 3292X<BR> + 3296P<BR> + 3296W<BR> + 3296X<BR> + 3296Y<BR> + 3296Z<BR> + 3299P<BR> + 3299W<BR> + 3299X<BR> + 3299Y<BR> + 3299Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + -<BR> + 64W&nbsp;ALT<BR> + -<BR> + 64P&nbsp;ALT<BR> + 64W&nbsp;ALT<BR> + 64X&nbsp;ALT<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66P<BR> + 66W<BR> + 66X<BR> + 67P<BR> + 67W<BR> + 67X<BR> + 67Y<BR> + 67Z<BR> + 68P<BR> + 68W<BR> + 68X<BR> + 67Y&nbsp;ALT<BR> + 67Z&nbsp;ALT<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 5050<BR> + 5091<BR> + 5080<BR> + 5087<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + T63YB<BR> + T63XB<BR> + -<BR> + -<BR> + -<BR> + 5887<BR> + 5891<BR> + 5880<BR> + -<BR> + -<BR> + -<BR> + T93Z<BR> + T93YA<BR> + T93XA<BR> + T93YB<BR> + T93XB<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 8026EKP<BR> + 8026EKW<BR> + 8026EKM<BR> + 8026EKP<BR> + 8026EKB<BR> + 8026EKM<BR> + 1309X<BR> + 1309P<BR> + 1309W<BR> + 8024EKP<BR> + 8024EKW<BR> + 8024EKN<BR> + RJ-9P/CT9P<BR> + RJ-9W<BR> + RJ-9X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3105P/3106P<BR> + 3105W/3106W<BR> + 3105X/3106X<BR> + 3105Y/3106Y<BR> + 3105Z/3105Z<BR> + 3102P<BR> + 3102W<BR> + 3102X<BR> + 3102Y<BR> + 3102Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMCBG<BR> + EVMCCG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 55-1-X<BR> + 55-4-X<BR> + 55-3-X<BR> + 55-2-X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 50-2-X<BR> + 50-4-X<BR> + 50-3-X<BR> + -<BR> + -<BR> + -<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 64Y<BR> + 64Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3323P<BR> + 3323S<BR> + 3323W<BR> + 3329H<BR> + 3329P<BR> + 3329W<BR> + 3339H<BR> + 3339P<BR> + 3339W<BR> + 3352E<BR> + 3352H<BR> + 3352K<BR> + 3352P<BR> + 3352T<BR> + 3352V<BR> + 3352W<BR> + 3362H<BR> + 3362M<BR> + 3362P<BR> + 3362R<BR> + 3362S<BR> + 3362U<BR> + 3362W<BR> + 3362X<BR> + 3386B<BR> + 3386C<BR> + 3386F<BR> + 3386H<BR> + 3386K<BR> + 3386M<BR> + 3386P<BR> + 3386S<BR> + 3386W<BR> + 3386X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 25P<BR> + 25S<BR> + 25RX<BR> + 82P<BR> + 82M<BR> + 82PA<BR> + -<BR> + -<BR> + -<BR> + 91E<BR> + 91X<BR> + 91T<BR> + 91B<BR> + 91A<BR> + 91V<BR> + 91W<BR> + 25W<BR> + 25V<BR> + 25P<BR> + -<BR> + 25S<BR> + 25U<BR> + 25RX<BR> + 25X<BR> + 72XW<BR> + 72XL<BR> + 72PM<BR> + 72RX<BR> + -<BR> + 72PX<BR> + 72P<BR> + 72RXW<BR> + 72RXL<BR> + 72X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + T7YB<BR> + T7YA<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + TXD<BR> + TYA<BR> + TYP<BR> + -<BR> + TYD<BR> + TX<BR> + -<BR> + 150SX<BR> + 100SX<BR> + 102T<BR> + 101S<BR> + 190T<BR> + 150TX<BR> + 101<BR> + -<BR> + -<BR> + 101SX<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ET6P<BR> + ET6S<BR> + ET6X<BR> + RJ-6W/8014EMW<BR> + RJ-6P/8014EMP<BR> + RJ-6X/8014EMX<BR> + TM7W<BR> + TM7P<BR> + TM7X<BR> + -<BR> + 8017SMS<BR> + -<BR> + 8017SMB<BR> + 8017SMA<BR> + -<BR> + -<BR> + CT-6W<BR> + CT-6H<BR> + CT-6P<BR> + CT-6R<BR> + -<BR> + CT-6V<BR> + CT-6X<BR> + -<BR> + -<BR> + 8038EKV<BR> + -<BR> + 8038EKX<BR> + -<BR> + -<BR> + 8038EKP<BR> + 8038EKZ<BR> + 8038EKW<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 3321H<BR> + 3321P<BR> + 3321N<BR> + 1102H<BR> + 1102P<BR> + 1102T<BR> + RVA0911V304A<BR> + -<BR> + RVA0911H413A<BR> + RVG0707V100A<BR> + RVA0607V(H)306A<BR> + RVA1214H213A<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3104B<BR> + 3104C<BR> + 3104F<BR> + 3104H<BR> + -<BR> + 3104M<BR> + 3104P<BR> + 3104S<BR> + 3104W<BR> + 3104X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + EVMQ0G<BR> + EVMQIG<BR> + EVMQ3G<BR> + EVMS0G<BR> + EVMQ0G<BR> + EVMG0G<BR> + -<BR> + -<BR> + -<BR> + EVMK4GA00B<BR> + EVM30GA00B<BR> + EVMK0GA00B<BR> + EVM38GA00B<BR> + EVMB6<BR> + EVLQ0<BR> + -<BR> + EVMMSG<BR> + EVMMBG<BR> + EVMMAG<BR> + -<BR> + -<BR> + EVMMCS<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMM1<BR> + -<BR> + -<BR> + EVMM0<BR> + -<BR> + -<BR> + EVMM3<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 62-3-1<BR> + 62-1-2<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67R<BR> + -<BR> + 67P<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67X<BR> + 63V<BR> + 63S<BR> + 63M<BR> + -<BR> + -<BR> + 63H<BR> + 63P<BR> + -<BR> + -<BR> + 63X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P>&nbsp;<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> + <TR> + <TD COLSPAN=7> + <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> + <P> + <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3224G<BR> + 3224J<BR> + 3224W<BR> + 3269P<BR> + 3269W<BR> + 3269X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 44G<BR> + 44J<BR> + 44W<BR> + 84P<BR> + 84W<BR> + 84X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST63Z<BR> + ST63Y<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST5P<BR> + ST5W<BR> + ST5X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=7>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=7> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3314G<BR> + 3314J<BR> + 3364A/B<BR> + 3364C/D<BR> + 3364W/X<BR> + 3313G<BR> + 3313J<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 23B<BR> + 23A<BR> + 21X<BR> + 21W<BR> + -<BR> + 22B<BR> + 22A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST5YL/ST53YL<BR> + ST5YJ/5T53YJ<BR> + ST-23A<BR> + ST-22B<BR> + ST-22<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST-4B<BR> + ST-4A<BR> + -<BR> + -<BR> + -<BR> + ST-3B<BR> + ST-3A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVM-6YS<BR> + EVM-1E<BR> + EVM-1G<BR> + EVM-1D<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + G4B<BR> + G4A<BR> + TR04-3S1<BR> + TRG04-2S1<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + DVR-43A<BR> + CVR-42C<BR> + CVR-42A/C<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P> +<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> +<P> + +&nbsp; +<P> +</td> +</tr> +</table> + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 2.4 x 4.4 mm + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 2.5 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 3 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 4 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 5 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 6 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm + 5 mm, outline 2.4 x 7 mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 2.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 3.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 4.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 5.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 2.4 x 4.4 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 2.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 4.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 3 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 5.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 7.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +Horizontal, grid 5 mm, outline 7.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 3.2 x 10.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 4.2 x 10.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 5.2 x 10.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 4.3 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 5.4 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 6.4 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 5.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 6.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 7.2 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 8.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 9.1 x 18.2 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 6.2 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 7.4 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 8.7 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 10.8 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 11.3 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 9.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 11.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 13.4 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 20.5 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 13.7 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 16.2 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 18.2 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 19.2 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 20.3 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 3.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 15.5 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 6.3 x 10.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 15.4 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 17.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> +Metric Code Size 1005 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> +Metric Code Size 1608 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> +Metric Code Size 2012 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> +Metric Code Size 3216 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> +Metric Code Size 3225 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> +Metric Code Size 4532 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> +Metric Code Size 4564 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 + + + + +>NAME +>VALUE + + + + +<b> </b><p> +Source: http://www.vishay.com/docs/10129/hpc0201a.pdf + + +>NAME +>VALUE + + + +Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf + + +>NAME +>VALUE + + + + + + +<b>CAPACITOR</b><p> +Source: AVX .. aphvc.pdf + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +Source: AVX .. aphvc.pdf + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> wave soldering<p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> wave soldering<p> +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.10 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.12 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.10 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.12 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +type 0204, grid 5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0204, grid 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0204, grid 2.5 mm + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 10 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0207, grid 12 mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0207, grid 15mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0207, grid 2.5 mm + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 5 mm + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 10mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 12.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 2.5 mm + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +type 0411, grid 12.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0411, grid 15 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0411, grid 3.81 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0414, grid 15 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0414, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0617, grid 17.5 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0617, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0617, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0922, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0613, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0613, grid 15 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0817, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +0817 + + + + +<b>RESISTOR</b><p> +type 0817, grid 6.35 mm + + + + + + +>NAME +>VALUE +0817 + + + +<b>RESISTOR</b><p> +type V234, grid 12.5 mm + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type V235, grid 17.78 mm + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type V526-0, grid 2.5 mm + + + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC2211</b> Reflow Soldering<p> +source Beyschlag + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC2211</b> Wave Soldering<p> +source Beyschlag + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC3715</b> Reflow Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC3715</b> Wave Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC6123</b> Reflow Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC6123</b> Wave Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0922, grid 7.5 mm + + + + + + +>NAME +>VALUE +0922 + + + +<b>RESISTOR</b><p> +type RDH, grid 15 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +RDH + + + + +<b>Mini MELF 0102 Axial</b> + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b> chip<p> +Source: http://www.vishay.com/docs/20008/dcrcw.pdf + + +>NAME +>VALUE + + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR52<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR53<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR54<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR55<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR56<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RNC55<br> +Source: VISHAY .. vta56.pdf + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RNC60<br> +Source: VISHAY .. vta56.pdf + + + + + + + + +>NAME +>VALUE + + + + +<b>Package 4527</b><p> +Source: http://www.vishay.com/docs/31059/wsrhigh.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> +Source: http://www.vishay.com .. dcrcw.pdf + + + + +>NAME +>VALUE + + + + +<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> +Source: http://www.murata.com .. GRM43DR72E224KW01.pdf + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + +>NAME +>VALUE + + + + + + +<B>CAPACITOR</B>, European symbol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<B>RESISTOR</B>, European symbol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Frames for Sheet and Layout</b> + + + + + + + + + + + + + + + + + + + + +>DRAWING_NAME +>LAST_DATE_TIME +>SHEET +Sheet: + + + + + +<b>FRAME</b><p> +DIN A4, landscape with location and doc. field + + + + + + + + + + + + + + +<b>TTL Devices, 74xx Series with European Symbols</b><p> +Based on the following sources: +<ul> +<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. +<li>TTL Data Book, Volume 2 , 1993 +<li>National Seminconductor Databook 1990, ALS/LS Logic +<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 +<li>http://icmaster.com/ViewCompare.asp +</ul> +<author>Created by librarian@cadsoft.de</author> + + +<b>Dual In Line Package</b> + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Wide Small Outline package</b> 300 mil + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Leadless Chip Carrier</b><p> Ceramic Package + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + +>NAME +GND +VCC + + + + + + +Octal <b>BUS TRANSCEIVER</b>, 3-state + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Harting & 3M Connectors</b><p> +Low profile connectors, straight<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +10 +>NAME +>VALUE +1 +2 + + + + + + + + + + + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +1 +2 +>NAME +>VALUE +10 + + + + + + + + + + + + + + + + + + + + + + + +<b>3M</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + +<b>3M</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Crystals and Crystal Resonators</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CRYSTAL RESONATOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +GND +VCC +OUT + + + + + + + +<b>CRYSTAL RESONATOR</b> + + + + + + + + + + + + + + + + + + + + + + + + +<b>VG Connectors (DIN 41612/DIN 41617)</b><p> +The library contains devices which allow to place the contacts individually or +in one or several blocks.<p> +This behavior is indicated by the key words <i>single</i> and <i>block</i> in +the respective device descriptions.<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CONNECTOR</b><p> +female, 96 pins, type R, rows ABC, grid 2.54 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 +a +b +c +32 +DIN41612-R + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +>NAME + + + + + +<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors in DIL Packages</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>Chip Resistor Array</b> size 4 × 0603<p> +concave termination - Phycomp Components<br> +Source: RS Components + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array</b> size 4 × 0603<p> +convex termination - Phycomp Components<br> +Source: RS Components + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + +<b>BOURNS</b> Chip Resistor Array<p> +Source: RS Component / BUORNS + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>BOURNS</b> Chip Resistor Array<p> +Source: RS Component / BUORNS + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> +Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + +<b>Array chip resistor</b> size 4 × 0402<p> +Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + +<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>VALUE +>NAME + + + + + + +<b>Array Chip Resistor</b><p> +Source: RS Component / Phycomp + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>AMD MACH4/MACH5 Family (Vantis)</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>THIN QUAD FLAT PACK</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +TQFP 100 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +GND +GND +VCC +GND +GND +VCC +GND +GND +VCC +GND +VCC +GND +GND +GND +VCC +GND +VCC +GND +GND +GND +GND +GND +GND +GND + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>AMD MACH SERIES</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +CITIZEN Crystal Clock Oscilator from http://cfm.citizen.co.jp/english/product/pdf/CSX-750F.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Layout and PCB/68030-TK-V09g.sch b/Layout and PCB/68030-TK-V09g.sch new file mode 100644 index 0000000..a316530 --- /dev/null +++ b/Layout and PCB/68030-TK-V09g.sch @@ -0,0 +1,14884 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Motorola MC68000 Processors</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>micro Ball Grid Array</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Dual In Line</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>PLASTIC LEADED CHIP CARRIER</b><p> +square + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>PLCC Socked</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME +68 + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +VCC +GND + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +VCC +GND + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +GND +VCC + + + + + + + + + + + + + + + + + + + + + + + + +<b>68xxx PROCESSOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>68xxx PROCESSOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>68xxx PROCESSOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors, Capacitors, Inductors</b><p> +Based on the previous libraries: +<ul> +<li>r.lbr +<li>cap.lbr +<li>cap-fe.lbr +<li>captant.lbr +<li>polcap.lbr +<li>ipc-smd.lbr +</ul> +All SMD packages are defined according to the IPC specifications and CECC<p> +<author>Created by librarian@cadsoft.de</author><p> +<p> +for Electrolyt Capacitors see also :<p> +www.bccomponents.com <p> +www.panasonic.com<p> +www.kemet.com<p> +http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> +<p> +for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> + +<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> +<tr valign="top"> + +<! <td width="10">&nbsp;</td> +<td width="90%"> + +<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> +<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> + <TR> + <TD COLSPAN=8> + <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> + </B> + </TD><TD>&nbsp;</TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > + 3005P<BR> + 3006P<BR> + 3006W<BR> + 3006Y<BR> + 3009P<BR> + 3009W<BR> + 3009Y<BR> + 3057J<BR> + 3057L<BR> + 3057P<BR> + 3057Y<BR> + 3059J<BR> + 3059L<BR> + 3059P<BR> + 3059Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 89P<BR> + 89W<BR> + 89X<BR> + 89PH<BR> + 76P<BR> + 89XH<BR> + 78SLT<BR> + 78L&nbsp;ALT<BR> + 56P&nbsp;ALT<BR> + 78P&nbsp;ALT<BR> + T8S<BR> + 78L<BR> + 56P<BR> + 78P<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + T18/784<BR> + 783<BR> + 781<BR> + -<BR> + -<BR> + -<BR> + 2199<BR> + 1697/1897<BR> + 1680/1880<BR> + 2187<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 8035EKP/CT20/RJ-20P<BR> + -<BR> + RJ-20X<BR> + -<BR> + -<BR> + -<BR> + 1211L<BR> + 8012EKQ&nbsp;ALT<BR> + 8012EKR&nbsp;ALT<BR> + 1211P<BR> + 8012EKJ<BR> + 8012EKL<BR> + 8012EKQ<BR> + 8012EKR<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 2101P<BR> + 2101W<BR> + 2101Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 2102L<BR> + 2102S<BR> + 2102Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVMCOG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 43P<BR> + 43W<BR> + 43Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 40L<BR> + 40P<BR> + 40Y<BR> + 70Y-T602<BR> + 70L<BR> + 70P<BR> + 70Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + RT/RTR12<BR> + RT/RTR12<BR> + RT/RTR12<BR> + -<BR> + RJ/RJR12<BR> + RJ/RJR12<BR> + RJ/RJR12<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3250L<BR> + 3250P<BR> + 3250W<BR> + 3250X<BR> + 3252P<BR> + 3252W<BR> + 3252X<BR> + 3260P<BR> + 3260W<BR> + 3260X<BR> + 3262P<BR> + 3262W<BR> + 3262X<BR> + 3266P<BR> + 3266W<BR> + 3266X<BR> + 3290H<BR> + 3290P<BR> + 3290W<BR> + 3292P<BR> + 3292W<BR> + 3292X<BR> + 3296P<BR> + 3296W<BR> + 3296X<BR> + 3296Y<BR> + 3296Z<BR> + 3299P<BR> + 3299W<BR> + 3299X<BR> + 3299Y<BR> + 3299Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + -<BR> + 64W&nbsp;ALT<BR> + -<BR> + 64P&nbsp;ALT<BR> + 64W&nbsp;ALT<BR> + 64X&nbsp;ALT<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66P<BR> + 66W<BR> + 66X<BR> + 67P<BR> + 67W<BR> + 67X<BR> + 67Y<BR> + 67Z<BR> + 68P<BR> + 68W<BR> + 68X<BR> + 67Y&nbsp;ALT<BR> + 67Z&nbsp;ALT<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 5050<BR> + 5091<BR> + 5080<BR> + 5087<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + T63YB<BR> + T63XB<BR> + -<BR> + -<BR> + -<BR> + 5887<BR> + 5891<BR> + 5880<BR> + -<BR> + -<BR> + -<BR> + T93Z<BR> + T93YA<BR> + T93XA<BR> + T93YB<BR> + T93XB<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 8026EKP<BR> + 8026EKW<BR> + 8026EKM<BR> + 8026EKP<BR> + 8026EKB<BR> + 8026EKM<BR> + 1309X<BR> + 1309P<BR> + 1309W<BR> + 8024EKP<BR> + 8024EKW<BR> + 8024EKN<BR> + RJ-9P/CT9P<BR> + RJ-9W<BR> + RJ-9X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3105P/3106P<BR> + 3105W/3106W<BR> + 3105X/3106X<BR> + 3105Y/3106Y<BR> + 3105Z/3105Z<BR> + 3102P<BR> + 3102W<BR> + 3102X<BR> + 3102Y<BR> + 3102Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMCBG<BR> + EVMCCG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 55-1-X<BR> + 55-4-X<BR> + 55-3-X<BR> + 55-2-X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 50-2-X<BR> + 50-4-X<BR> + 50-3-X<BR> + -<BR> + -<BR> + -<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 64Y<BR> + 64Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3323P<BR> + 3323S<BR> + 3323W<BR> + 3329H<BR> + 3329P<BR> + 3329W<BR> + 3339H<BR> + 3339P<BR> + 3339W<BR> + 3352E<BR> + 3352H<BR> + 3352K<BR> + 3352P<BR> + 3352T<BR> + 3352V<BR> + 3352W<BR> + 3362H<BR> + 3362M<BR> + 3362P<BR> + 3362R<BR> + 3362S<BR> + 3362U<BR> + 3362W<BR> + 3362X<BR> + 3386B<BR> + 3386C<BR> + 3386F<BR> + 3386H<BR> + 3386K<BR> + 3386M<BR> + 3386P<BR> + 3386S<BR> + 3386W<BR> + 3386X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 25P<BR> + 25S<BR> + 25RX<BR> + 82P<BR> + 82M<BR> + 82PA<BR> + -<BR> + -<BR> + -<BR> + 91E<BR> + 91X<BR> + 91T<BR> + 91B<BR> + 91A<BR> + 91V<BR> + 91W<BR> + 25W<BR> + 25V<BR> + 25P<BR> + -<BR> + 25S<BR> + 25U<BR> + 25RX<BR> + 25X<BR> + 72XW<BR> + 72XL<BR> + 72PM<BR> + 72RX<BR> + -<BR> + 72PX<BR> + 72P<BR> + 72RXW<BR> + 72RXL<BR> + 72X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + T7YB<BR> + T7YA<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + TXD<BR> + TYA<BR> + TYP<BR> + -<BR> + TYD<BR> + TX<BR> + -<BR> + 150SX<BR> + 100SX<BR> + 102T<BR> + 101S<BR> + 190T<BR> + 150TX<BR> + 101<BR> + -<BR> + -<BR> + 101SX<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ET6P<BR> + ET6S<BR> + ET6X<BR> + RJ-6W/8014EMW<BR> + RJ-6P/8014EMP<BR> + RJ-6X/8014EMX<BR> + TM7W<BR> + TM7P<BR> + TM7X<BR> + -<BR> + 8017SMS<BR> + -<BR> + 8017SMB<BR> + 8017SMA<BR> + -<BR> + -<BR> + CT-6W<BR> + CT-6H<BR> + CT-6P<BR> + CT-6R<BR> + -<BR> + CT-6V<BR> + CT-6X<BR> + -<BR> + -<BR> + 8038EKV<BR> + -<BR> + 8038EKX<BR> + -<BR> + -<BR> + 8038EKP<BR> + 8038EKZ<BR> + 8038EKW<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 3321H<BR> + 3321P<BR> + 3321N<BR> + 1102H<BR> + 1102P<BR> + 1102T<BR> + RVA0911V304A<BR> + -<BR> + RVA0911H413A<BR> + RVG0707V100A<BR> + RVA0607V(H)306A<BR> + RVA1214H213A<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3104B<BR> + 3104C<BR> + 3104F<BR> + 3104H<BR> + -<BR> + 3104M<BR> + 3104P<BR> + 3104S<BR> + 3104W<BR> + 3104X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + EVMQ0G<BR> + EVMQIG<BR> + EVMQ3G<BR> + EVMS0G<BR> + EVMQ0G<BR> + EVMG0G<BR> + -<BR> + -<BR> + -<BR> + EVMK4GA00B<BR> + EVM30GA00B<BR> + EVMK0GA00B<BR> + EVM38GA00B<BR> + EVMB6<BR> + EVLQ0<BR> + -<BR> + EVMMSG<BR> + EVMMBG<BR> + EVMMAG<BR> + -<BR> + -<BR> + EVMMCS<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMM1<BR> + -<BR> + -<BR> + EVMM0<BR> + -<BR> + -<BR> + EVMM3<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 62-3-1<BR> + 62-1-2<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67R<BR> + -<BR> + 67P<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67X<BR> + 63V<BR> + 63S<BR> + 63M<BR> + -<BR> + -<BR> + 63H<BR> + 63P<BR> + -<BR> + -<BR> + 63X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P>&nbsp;<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> + <TR> + <TD COLSPAN=7> + <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> + <P> + <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3224G<BR> + 3224J<BR> + 3224W<BR> + 3269P<BR> + 3269W<BR> + 3269X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 44G<BR> + 44J<BR> + 44W<BR> + 84P<BR> + 84W<BR> + 84X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST63Z<BR> + ST63Y<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST5P<BR> + ST5W<BR> + ST5X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=7>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=7> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3314G<BR> + 3314J<BR> + 3364A/B<BR> + 3364C/D<BR> + 3364W/X<BR> + 3313G<BR> + 3313J<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 23B<BR> + 23A<BR> + 21X<BR> + 21W<BR> + -<BR> + 22B<BR> + 22A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST5YL/ST53YL<BR> + ST5YJ/5T53YJ<BR> + ST-23A<BR> + ST-22B<BR> + ST-22<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST-4B<BR> + ST-4A<BR> + -<BR> + -<BR> + -<BR> + ST-3B<BR> + ST-3A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVM-6YS<BR> + EVM-1E<BR> + EVM-1G<BR> + EVM-1D<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + G4B<BR> + G4A<BR> + TR04-3S1<BR> + TRG04-2S1<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + DVR-43A<BR> + CVR-42C<BR> + CVR-42A/C<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P> +<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> +<P> + +&nbsp; +<P> +</td> +</tr> +</table> + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 2.4 x 4.4 mm + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 2.5 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 3 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 4 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 5 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 6 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm + 5 mm, outline 2.4 x 7 mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 2.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 3.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 4.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 5.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 2.4 x 4.4 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 2.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 4.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 3 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 5.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 7.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +Horizontal, grid 5 mm, outline 7.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 3.2 x 10.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 4.2 x 10.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 5.2 x 10.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 4.3 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 5.4 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 6.4 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 5.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 6.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 7.2 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 8.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 9.1 x 18.2 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 6.2 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 7.4 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 8.7 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 10.8 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 11.3 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 9.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 11.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 13.4 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 20.5 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 13.7 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 16.2 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 18.2 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 19.2 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 20.3 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 3.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 15.5 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 6.3 x 10.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 15.4 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 17.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> +Metric Code Size 1005 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> +Metric Code Size 1608 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> +Metric Code Size 2012 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> +Metric Code Size 3216 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> +Metric Code Size 3225 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> +Metric Code Size 4532 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> +Metric Code Size 4564 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 + + + + +>NAME +>VALUE + + + + +<b> </b><p> +Source: http://www.vishay.com/docs/10129/hpc0201a.pdf + + +>NAME +>VALUE + + + +Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf + + +>NAME +>VALUE + + + + + + +<b>CAPACITOR</b><p> +Source: AVX .. aphvc.pdf + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +Source: AVX .. aphvc.pdf + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> wave soldering<p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> wave soldering<p> +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.10 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.12 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.10 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.12 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +type 0204, grid 5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0204, grid 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0204, grid 2.5 mm + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 10 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0207, grid 12 mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0207, grid 15mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0207, grid 2.5 mm + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 5 mm + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 10mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 12.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 2.5 mm + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +type 0411, grid 12.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0411, grid 15 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0411, grid 3.81 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0414, grid 15 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0414, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0617, grid 17.5 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0617, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0617, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0922, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0613, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0613, grid 15 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0817, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +0817 + + + + +<b>RESISTOR</b><p> +type 0817, grid 6.35 mm + + + + + + +>NAME +>VALUE +0817 + + + +<b>RESISTOR</b><p> +type V234, grid 12.5 mm + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type V235, grid 17.78 mm + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type V526-0, grid 2.5 mm + + + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC2211</b> Reflow Soldering<p> +source Beyschlag + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC2211</b> Wave Soldering<p> +source Beyschlag + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC3715</b> Reflow Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC3715</b> Wave Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC6123</b> Reflow Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC6123</b> Wave Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0922, grid 7.5 mm + + + + + + +>NAME +>VALUE +0922 + + + +<b>RESISTOR</b><p> +type RDH, grid 15 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +RDH + + + + +<b>Mini MELF 0102 Axial</b> + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b> chip<p> +Source: http://www.vishay.com/docs/20008/dcrcw.pdf + + +>NAME +>VALUE + + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR52<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR53<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR54<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR55<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR56<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RNC55<br> +Source: VISHAY .. vta56.pdf + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RNC60<br> +Source: VISHAY .. vta56.pdf + + + + + + + + +>NAME +>VALUE + + + + +<b>Package 4527</b><p> +Source: http://www.vishay.com/docs/31059/wsrhigh.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> +Source: http://www.vishay.com .. dcrcw.pdf + + + + +>NAME +>VALUE + + + + +<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> +Source: http://www.murata.com .. GRM43DR72E224KW01.pdf + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + +>NAME +>VALUE + + + + + + +<B>CAPACITOR</B>, European symbol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<B>RESISTOR</B>, European symbol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Frames for Sheet and Layout</b> + + + + + + + + + + + + + + + + + + + + +>DRAWING_NAME +>LAST_DATE_TIME +>SHEET +Sheet: + + + + + +<b>FRAME</b><p> +DIN A4, landscape with location and doc. field + + + + + + + + + + + + + + +<b>TTL Devices, 74xx Series with European Symbols</b><p> +Based on the following sources: +<ul> +<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. +<li>TTL Data Book, Volume 2 , 1993 +<li>National Seminconductor Databook 1990, ALS/LS Logic +<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 +<li>http://icmaster.com/ViewCompare.asp +</ul> +<author>Created by librarian@cadsoft.de</author> + + +<b>Dual In Line Package</b> + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Wide Small Outline package</b> 300 mil + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Leadless Chip Carrier</b><p> Ceramic Package + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + +>NAME +GND +VCC + + + + + + +Octal <b>BUS TRANSCEIVER</b>, 3-state + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Harting & 3M Connectors</b><p> +Low profile connectors, straight<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +10 +>NAME +>VALUE +1 +2 + + + + + + + + + + + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +1 +2 +>NAME +>VALUE +10 + + + + + + + + + + + + + + + + + + + + + + + +<b>3M</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + +<b>3M</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Crystals and Crystal Resonators</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CRYSTAL RESONATOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +GND +VCC +OUT + + + + + + + +<b>CRYSTAL RESONATOR</b> + + + + + + + + + + + + + + + + + + + + + + + + +<b>VG Connectors (DIN 41612/DIN 41617)</b><p> +The library contains devices which allow to place the contacts individually or +in one or several blocks.<p> +This behavior is indicated by the key words <i>single</i> and <i>block</i> in +the respective device descriptions.<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CONNECTOR</b><p> +female, 96 pins, type R, rows ABC, grid 2.54 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 +a +b +c +32 +DIN41612-R + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +>NAME + + + + + +<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors in DIL Packages</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>Chip Resistor Array</b> size 4 × 0603<p> +concave termination - Phycomp Components<br> +Source: RS Components + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array</b> size 4 × 0603<p> +convex termination - Phycomp Components<br> +Source: RS Components + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + +<b>BOURNS</b> Chip Resistor Array<p> +Source: RS Component / BUORNS + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>BOURNS</b> Chip Resistor Array<p> +Source: RS Component / BUORNS + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> +Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + +<b>Array chip resistor</b> size 4 × 0402<p> +Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + +<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>VALUE +>NAME + + + + + + +<b>Array Chip Resistor</b><p> +Source: RS Component / Phycomp + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>AMD MACH4/MACH5 Family (Vantis)</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>THIN QUAD FLAT PACK</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +TQFP 100 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +GND +GND +VCC +GND +GND +VCC +GND +GND +VCC +GND +VCC +GND +GND +GND +VCC +GND +VCC +GND +GND +GND +GND +GND +GND +GND + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>AMD MACH SERIES</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +CITIZEN Crystal Clock Oscilator from http://cfm.citizen.co.jp/english/product/pdf/CSX-750F.pdf + + + + + + + + + + + + + + + + + + + + +<b>Jumpers</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>Solder jumper</b> + + + + + + + + + + + + + + +>NAME +>VALUE + + + +<b>Solder jumper</b> + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +SMD solder <b>JUMPER</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Logic/68030-68000-bus_working.vhd b/Logic/68030-68000-bus_working.vhd new file mode 100644 index 0000000..7cbd345 --- /dev/null +++ b/Logic/68030-68000-bus_working.vhd @@ -0,0 +1,561 @@ +-- Copyright: Matthias Heinrichs 2014 +-- Free for non-comercial use +-- No warranty just for fun +-- If you want to earn money with this code, ask me first! + + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity BUS68030 is + +port( + AS_030: inout std_logic ; + AS_000: inout std_logic ; + RW_000: inout std_logic ; + DS_030: inout std_logic ; + UDS_000: inout std_logic; + LDS_000: inout std_logic; + SIZE: inout std_logic_vector ( 1 downto 0 ); + AHIGH: inout std_logic_vector ( 31 downto 24 ); + A_DECODE: in std_logic_vector ( 23 downto 2 ); + A: inout std_logic_vector ( 1 downto 0 ); + --A0: inout std_logic; + --A1: in std_logic; + nEXP_SPACE: in std_logic ; + BERR: inout std_logic ; + BG_030: in std_logic ; + BG_000: out std_logic ; + BGACK_030: out std_logic ; + BGACK_000: in std_logic ; + CLK_030: in std_logic ; + CLK_000: in std_logic ; + CLK_OSZI: in std_logic ; + CLK_DIV_OUT: out std_logic ; + CLK_EXP: out std_logic ; + FPU_CS: out std_logic ; + FPU_SENSE: in std_logic ; + IPL_030: out std_logic_vector ( 2 downto 0 ); + IPL: in std_logic_vector ( 2 downto 0 ); + DSACK1: inout std_logic; + DTACK: inout std_logic ; + AVEC: out std_logic ; + E: out std_logic ; + VPA: in std_logic ; + VMA: out std_logic ; + RST: in std_logic ; + RESET: inout std_logic ; + RW: inout std_logic ; +-- D: inout std_logic_vector ( 31 downto 28 ); + FC: in std_logic_vector ( 1 downto 0 ); + AMIGA_ADDR_ENABLE: out std_logic ; + AMIGA_BUS_DATA_DIR: out std_logic ; + AMIGA_BUS_ENABLE_LOW: out std_logic; + AMIGA_BUS_ENABLE_HIGH: out std_logic; + CIIN: out std_logic + ); +end BUS68030; + +architecture Behavioral of BUS68030 is + +-- values are determined empirically +constant DS_SAMPLE : integer := 12; -- for 7.09 MHz Clock with a base clock of 100Mhz and CPU running at 25MHZ +--constant DS_SAMPLE : integer := 12; -- for 7.09 MHz Clock with a base clock of 100Mhz and CPU running at 50MHZ + + + +TYPE SM_E IS ( + E1, + E2, + E3, + E4, + E5, + E6, + E7, + E8, + E9, + E10 + ); + + + +signal cpu_est : SM_E; + +TYPE SM_68000 IS ( + IDLE_P, + IDLE_N, + AS_SET_P, + AS_SET_N, + SAMPLE_DTACK_P, + DATA_FETCH_N, + DATA_FETCH_P, + END_CYCLE_N + ); + + +signal SM_AMIGA : SM_68000; + +--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000"; +signal AS_000_INT:STD_LOGIC := '1'; +signal AS_000_D0:STD_LOGIC := '1'; +signal RW_000_INT:STD_LOGIC := '1'; +signal AMIGA_BUS_ENABLE_DMA_HIGH:STD_LOGIC := '1'; +signal AMIGA_BUS_ENABLE_DMA_LOW:STD_LOGIC := '1'; +signal AS_030_D0:STD_LOGIC := '1'; +signal nEXP_SPACE_D0:STD_LOGIC := '0'; +signal DS_030_D0:STD_LOGIC := '1'; +signal AS_030_000_SYNC:STD_LOGIC := '1'; +signal BGACK_030_INT:STD_LOGIC := '1'; +signal BGACK_030_INT_D:STD_LOGIC := '1'; +signal BGACK_030_INT_PRE:STD_LOGIC := '1'; +signal AS_000_DMA:STD_LOGIC := '1'; +signal DS_000_DMA:STD_LOGIC := '1'; +signal RW_000_DMA:STD_LOGIC := '1'; +signal CYCLE_DMA: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal SIZE_DMA: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11"; +signal IPL_D0: STD_LOGIC_VECTOR ( 2 downto 0 ) := "111"; +signal A0_DMA: STD_LOGIC := '1'; +signal VMA_INT: STD_LOGIC := '1'; +signal VPA_D: STD_LOGIC := '1'; +signal UDS_000_INT: STD_LOGIC := '1'; +signal LDS_000_INT: STD_LOGIC := '1'; +signal DS_000_ENABLE: STD_LOGIC := '0'; +signal DSACK1_INT: STD_LOGIC := '1'; +signal CLK_OUT_PRE_50: STD_LOGIC := '1'; +signal CLK_OUT_PRE_25: STD_LOGIC := '1'; +signal CLK_OUT_PRE: STD_LOGIC := '1'; +signal CLK_OUT_PRE_D: STD_LOGIC := '1'; +signal CLK_OUT_INT: STD_LOGIC := '1'; +signal CLK_OUT_EXP_INT: STD_LOGIC := '1'; +signal CLK_030_H: STD_LOGIC := '1'; +signal CLK_000_D: STD_LOGIC_VECTOR ( DS_SAMPLE downto 0 ); +signal CLK_000_PE: STD_LOGIC := '0'; +signal CLK_000_NE: STD_LOGIC := '0'; +signal DTACK_D0: STD_LOGIC := '1'; +signal RESET_OUT: STD_LOGIC := '0'; +signal CLK_030_D0: STD_LOGIC := '0'; +signal RST_DLY: STD_LOGIC_VECTOR ( 2 downto 0 ) := "000"; +signal CLK_030_PE: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal AMIGA_DS: STD_LOGIC := '1'; +begin + + CLK_000_PE <= CLK_000_D(0) AND NOT CLK_000_D(1); + CLK_000_NE <= NOT CLK_000_D(0) AND CLK_000_D(1); + + + --pos edge clock process + --no ansynchronious reset! the reset is sampled synchroniously + --this mut be because of the e-clock: The E-Clock has to run CONSTANTLY + --or the Amiga will fail to boot from a reset. + --However a compilation with no resets on the E-Clock and resets on other signals does not work, either! + pos_clk: process(CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + --clk generation : + CLK_030_D0 <=CLK_030; + CLK_OUT_PRE_50 <= not CLK_OUT_PRE_50; + if(CLK_OUT_PRE_50 = '1' )then + CLK_OUT_PRE_25<= not CLK_OUT_PRE_25; + end if; + + + --here the clock is selected + --CLK_OUT_PRE_D <= CLK_OUT_PRE_25; + CLK_OUT_PRE_D <= CLK_OUT_PRE_50; + + -- the external clock to the processor is generated here + CLK_OUT_INT <= CLK_OUT_PRE_D; --this way we know the clock of the next state: Its like looking in the future, cool! + CLK_OUT_EXP_INT <= CLK_OUT_PRE_D; + --delayed Clocks and signals for edge detection + CLK_000_D(0) <= CLK_000; + CLK_000_D(DS_SAMPLE downto 1) <= CLK_000_D((DS_SAMPLE-1) downto 0); + + -- e-clock is changed on the FALLING edge! + + if(CLK_000_NE = '1' ) then + case (cpu_est) is + when E1 => cpu_est <= E2 ; + when E2 => cpu_est <= E3 ; + when E3 => cpu_est <= E4; + when E4 => cpu_est <= E5 ; + when E5 => cpu_est <= E6 ; + when E6 => cpu_est <= E7 ; + when E7 => cpu_est <= E8 ; + when E8 => cpu_est <= E9 ; + when E9 => cpu_est <= E10; + when E10 => cpu_est <= E1 ; + end case; + end if; + + --the statemachine + if(RST = '0' ) then + VPA_D <= '1'; + DTACK_D0 <= '1'; + SM_AMIGA <= IDLE_P; + AS_000_INT <= '1'; + RW_000_INT <= '1'; + RW_000_DMA <= '1'; + AS_030_000_SYNC <= '1'; + UDS_000_INT <= '1'; + LDS_000_INT <= '1'; + DS_000_ENABLE <= '0'; + VMA_INT <= '1'; + BG_000 <= '1'; + BGACK_030_INT <= '1'; + BGACK_030_INT_D <= '1'; + BGACK_030_INT_PRE<= '1'; + DSACK1_INT <= '1'; + IPL_D0 <= "111"; + IPL_030 <= "111"; + AS_000_DMA <= '1'; + DS_000_DMA <= '1'; + SIZE_DMA <= "11"; + A0_DMA <= '1'; + AMIGA_BUS_ENABLE_DMA_HIGH <= '1'; + AMIGA_BUS_ENABLE_DMA_LOW <= '1'; + AS_030_D0 <= '1'; + nEXP_SPACE_D0 <= '1'; + DS_030_D0 <= '1'; + CYCLE_DMA <= "00"; + RST_DLY <= "000"; + RESET_OUT <= '0'; + AS_000_D0 <='1'; + AMIGA_DS <='1'; + CLK_030_PE <= "00"; + else + + if(CLK_000_NE='1')then + if(RST_DLY="111")then + RESET_OUT <= '1'; + else + RST_DLY <= RST_DLY+1; + end if; + end if; + + --now: 68000 state machine and signals + + --buffering signals + AS_030_D0 <= AS_030; + nEXP_SPACE_D0 <= nEXP_SPACE; + DS_030_D0 <= DS_030; + DTACK_D0 <= DTACK; + VPA_D <= VPA; + + + --bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock + if(BGACK_000='0') then + BGACK_030_INT <= '0'; + --BGACK_030_INT_PRE<= '0'; + elsif ( BGACK_000='1' + AND CLK_000_NE='1' + AND AS_000 = '1' --the amiga AS can be still active while bgack is deasserted, so wait for this signal too! + ) then -- BGACK_000 is high here! + --BGACK_030_INT_PRE<= '1'; + BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes low + end if; + BGACK_030_INT_D <= BGACK_030_INT; + + + + --bus grant only in idle state + if(BG_030= '1')then + BG_000 <= '1'; + elsif( BG_030= '0' --AND (SM_AMIGA = IDLE_P) + and nEXP_SPACE = '1' and AS_030_D0='1' + and CLK_000_D(0)='1' + ) then --bus granted no local access and no AS_030 running! + BG_000 <= '0'; + end if; + + + --interrupt buffering to avoid ghost interrupts + IPL_D0<=IPL; + if(IPL = IPL_D0) then --and CLK_000_PE = '1')then + IPL_030<=IPL; + end if; + + -- as030-sampling and FPU-Select + if(AS_030 ='1') then -- "async" reset of various signals + AS_030_000_SYNC <= '1'; + DSACK1_INT <= '1'; + AS_000_INT <= '1'; + DS_000_ENABLE <= '0'; + --RW_000_INT <= '1'; + elsif( --CLK_030 = '1' AND --68030 has a valid AS on high clocks + AS_030_D0 = '0' AND --as set + BGACK_030_INT='1' AND + BGACK_030_INT_D='1' AND --no dma -cycle + NOT (FC(1)='1' and FC(0)='1' and A_DECODE(19)='0' and A_DECODE(18)='0' and A_DECODE(17)='1' and A_DECODE(16)='0') AND --FPU-Select + nEXP_SPACE ='1' and --not an expansion space cycle + SM_AMIGA = IDLE_P --last amiga cycle terminated + ) then + AS_030_000_SYNC <= '0'; + end if; + + + -- VMA generation + if(CLK_000_NE='1' AND VPA_D='0' AND cpu_est = E4)then --assert + VMA_INT <= '0'; + elsif(CLK_000_PE='1' AND cpu_est=E1)then --deassert + VMA_INT <= '1'; + end if; + + --uds/lds precalculation + if (SM_AMIGA = IDLE_N) then --DS: set udl/lds + if(A(0)='0') then + UDS_000_INT <= '0'; + else + UDS_000_INT <= '1'; + end if; + if((A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1')) then + LDS_000_INT <= '0'; + else + LDS_000_INT <= '1'; + end if; + end if; + + + --Amiga statemachine + + case (SM_AMIGA) is + when IDLE_P => --68000:S0 wait for a falling edge + RW_000_INT <= '1'; + if( CLK_000_D(3)='0' and CLK_000_D(4)= '1' and AS_030_000_SYNC = '0' and nEXP_SPACE ='1')then -- if this a delayed expansion space detection, do not start an amiga cycle! + SM_AMIGA<=IDLE_N; --go to s1 + end if; + when IDLE_N => --68000:S1 place Adress on bus and wait for rising edge, on a rising CLK_000 look for a amiga adressrobe + if(CLK_000_PE='1')then --go to s2 + SM_AMIGA <= AS_SET_P; --as for amiga set! + RW_000_INT <= RW; + AS_000_INT <= '0'; + if (RW='1' ) then --read: set udl/lds + DS_000_ENABLE <= '1'; + end if; + end if; + when AS_SET_P => --68000:S2 Amiga cycle starts here: since AS is asserted during transition to this state we simply wait here + if(CLK_000_NE='1')then --go to s3 + SM_AMIGA<=AS_SET_N; + end if; + when AS_SET_N => --68000:S3: nothing happens here; on a transition to s4: assert uds/lds on write + + if(CLK_000_PE='1')then --go to s4 + -- set DS-Enable without respect to rw: this simplifies the life for the syntesizer + DS_000_ENABLE <= '1';--write: set udl/lds earlier than in the specs. this does not seem to harm anything and is saver, than sampling uds/lds too late + SM_AMIGA <= SAMPLE_DTACK_P; + end if; + when SAMPLE_DTACK_P=> --68000:S4 wait for dtack or VMA + if( CLK_000_NE='1' and --falling edge + ((VPA_D = '1' AND DTACK_D0='0') OR --DTACK end cycle + (BERR='0') OR --Bus error + (VPA_D='0' AND cpu_est=E9 AND VMA_INT='0')) --VPA end cycle + )then --go to s5 + SM_AMIGA<=DATA_FETCH_N; + end if; + when DATA_FETCH_N=> --68000:S5 nothing happens here just wait for positive clock + if(CLK_000_PE = '1')then --go to s6 + SM_AMIGA<=DATA_FETCH_P; + end if; + when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus! + --if( (CLK_000_D(DS_SAMPLE-2)='0' AND CLK_000_D((DS_SAMPLE-1))='1' AND not (CLK_030 ='1' and CLK_OUT_PRE_D='0')) OR + -- (CLK_000_D(DS_SAMPLE-1)='0' AND CLK_000_D((DS_SAMPLE-0))='1' )) then --go to s7 next 030-clock is not a falling edge: dsack is sampled at the falling edge + -- DSACK1_INT <='0'; + --end if; + + --go to s7 dsack is sampled at the falling edge of the 030-clock + --if(CLK_000_D(0)='0' and CLK_000_D(1)='1')then + if( CLK_000_NE ='1') then + SM_AMIGA<=END_CYCLE_N; + DSACK1_INT <='0'; + end if; + when END_CYCLE_N =>--68000:S7: Latch/Store data. Wait here for new cycle and go to IDLE on high clock + if(CLK_000_PE='1')then --go to s0 + SM_AMIGA<=IDLE_P; + RW_000_INT <= '1'; + end if; + end case; + + --dma stuff + AS_000_D0 <=AS_000; + if(UDS_000='0' or LDS_000='0') then + AMIGA_DS <='0'; + else + AMIGA_DS <='1'; + end if; + + if(BGACK_030_INT='0')then + --set some signals NOT linked to AS_000='0' + RW_000_DMA <= RW_000; + -- now determine the size: if both uds and lds is set its 16 bit else 8 bit! + + + + if(UDS_000='0' and LDS_000='0') then + SIZE_DMA <= "10"; --16bit + else + SIZE_DMA <= "01"; --8 bit + end if; + --now calculate the offset: + --if uds is set low, a0 is so too. + --if only lds is set a1 is high + --therefore a1 = uds + --great! life is simple here! + A0_DMA <= UDS_000; + --A0_DMA <= '0'; + --A1 is set by the amiga side + --here we determine the upper or lower half of the databus + AMIGA_BUS_ENABLE_DMA_HIGH <= A(1); + AMIGA_BUS_ENABLE_DMA_LOW <= not A(1); + + elsif(BGACK_030_INT_D='0' and BGACK_030_INT='1')then + RW_000_DMA <= '1'; + SIZE_DMA <= "00"; + A0_DMA <= '0'; + AMIGA_BUS_ENABLE_DMA_HIGH <= '1'; + AMIGA_BUS_ENABLE_DMA_LOW <= '1'; + end if; + + if(BGACK_030_INT='0' and AS_000='0')then + -- an 68000-memory cycle is three negative edges long! + if(CLK_000_NE='1' and CYCLE_DMA<"11")then + CYCLE_DMA <= CYCLE_DMA+1; + end if; + else + CYCLE_DMA <= "00"; + end if; + + --as can only be done if we know the uds/lds! + if( CYCLE_DMA >"00" + and AS_000 = '0' + and AMIGA_DS ='0' + and ( + CYCLE_DMA < "11" + or RW_000 = '1') + )then + --set AS_000 + if( not(CLK_OUT_INT='0' and CLK_OUT_PRE_D ='1')) then --sampled on rising edges, so we can set AS only if the next clock is not rising!! + AS_000_DMA <= '0'; + if(RW_000='1') then + DS_000_DMA <='0'; + end if; + end if; + + if( CLK_OUT_INT='0' and CLK_OUT_PRE_D ='1' and CLK_030_PE <"11" and AS_000_DMA = '0') then --sample rising edges + CLK_030_PE <= CLK_030_PE+1; + end if; + + if(RW_000='0' and CLK_030_PE="01" and CLK_030='1')then + DS_000_DMA <= '0'; -- write: one clock delayed! + end if; + + else + CLK_030_PE <= "00"; + AS_000_DMA <= '1'; + DS_000_DMA <= '1'; + end if; + end if; + + end if; + end process pos_clk; + + --output clock assignment + CLK_DIV_OUT <= CLK_OUT_INT; + CLK_EXP <= CLK_OUT_INT;--not CLK_OUT_EXP_INT; + --CLK_DIV_OUT <= 'Z'; + --CLK_EXP <= CLK_030; + + + RESET <= 'Z'; + --RESET <= 'Z' when RESET_OUT ='1' else '0'; + --RST <= '0' when RESET_OUT_AMIGA = '1' else 'Z'; + --RESET <= RESET_OUT; + + -- bus drivers + AMIGA_ADDR_ENABLE <= '0'; + AMIGA_BUS_ENABLE_HIGH <= '0' WHEN BGACK_030_INT ='1' and AS_030_000_SYNC='0' and AS_030 = '0' else --not (SM_AMIGA = IDLE_P or (SM_AMIGA = END_CYCLE_N and CLK_000 = '1')) ELSE + '0' WHEN BGACK_030_INT ='0' AND AMIGA_BUS_ENABLE_DMA_HIGH = '0' ELSE + '1'; + AMIGA_BUS_ENABLE_LOW <= '0' WHEN BGACK_030_INT ='0' AND AMIGA_BUS_ENABLE_DMA_LOW = '0' ELSE + '1'; + + + AMIGA_BUS_DATA_DIR <= not RW_000 WHEN (BGACK_030_INT ='1') ELSE --Amiga READ/WRITE + --'0' WHEN (RW_000='1' AND BGACK_030_INT ='1') ELSE --Amiga READ + '1' WHEN (RW_000='1' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA READ to expansion space + --'0' WHEN (RW_000='0' AND BGACK_030_INT ='0' AND AS_000 = '0') ELSE --DMA WRITE to expansion space + '0'; --Point towarts TK + + + --dma stuff + DTACK <= 'Z' when AS_000_DMA='1' else '0'; --DTACK will be generated by GARY! + + AS_030 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' or RESET_OUT ='0' else + '0' when AS_000_DMA ='0' and AS_000 ='0' else + '1'; + DS_030 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' or RESET_OUT ='0' else + '0' when DS_000_DMA ='0' and AS_000 ='0' else + '1'; + A(0) <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' or RESET_OUT ='0' --tristate on CPU-Cycle + else A0_DMA; --drive on DMA-Cycle + A(1) <= 'Z'; + AHIGH <= "ZZZZZZZZ" when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR RESET = '0' else x"00"; + SIZE <= "ZZ" when BGACK_030_INT ='1' OR nEXP_SPACE = '1' else + SIZE_DMA; + --rw + RW <= 'Z' when BGACK_030_INT ='1' or RESET_OUT ='0' --tristate on CPU cycle + else RW_000_DMA; --drive on DMA-Cycle + + BGACK_030 <= BGACK_030_INT; + + --fpu + FPU_CS <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A_DECODE(19)='0' and A_DECODE(18)='0' and A_DECODE(17)='1' and A_DECODE(16)='0' AND BGACK_000='1' AND FPU_SENSE ='0' + else '1'; + + --if no copro is installed: + BERR <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A_DECODE(19)='0' and A_DECODE(18)='0' and A_DECODE(17)='1' and A_DECODE(16)='0' AND BGACK_000='1' AND FPU_SENSE ='1' + else 'Z'; + + + --cache inhibit: Tristate for expansion (it decides) and off for the Amiga + CIIN <= '1' WHEN AHIGH(31 downto 24) = x"00" and A_DECODE(23 downto 20) = x"F" and AS_030_D0 ='0' ELSE -- Enable for Kick-rom + 'Z' WHEN nEXP_SPACE = '0' ELSE --Tristate for expansion (it decides) + '0'; --off for the Amiga + + --e and VMA + E <= '1' when + cpu_est = E7 or + cpu_est = E8 or + cpu_est = E9 or + cpu_est = E10 + else '0'; + VMA <= VMA_INT; + + + --AVEC + AVEC <= '1'; + + --as and uds/lds + AS_000 <= 'Z' when BGACK_030_INT ='0' or RESET_OUT ='0' else + '0' when AS_000_INT ='0' and AS_030 ='0' else + '1'; + RW_000 <= 'Z' when BGACK_030_INT ='0' or RESET_OUT ='0' --tristate on DMA-cycle + else RW_000_INT; -- drive on CPU cycle + + UDS_000 <= 'Z' when BGACK_030_INT ='0' or RESET_OUT ='0' else --tristate on DMA cycle + --'1' when DS_000_ENABLE ='0' else + UDS_000_INT when DS_000_ENABLE ='1' -- output on cpu cycle + else '1'; -- datastrobe not ready jet + LDS_000 <= 'Z' when BGACK_030_INT ='0' or RESET_OUT ='0' else --tristate on DMA cycle + --'1' when DS_000_ENABLE ='0' else + LDS_000_INT when DS_000_ENABLE ='1' -- output on cpu cycle + else '1'; -- datastrobe not ready jet + + --dsack + DSACK1 <= 'Z' when nEXP_SPACE = '0' else --tristate on expansionboard cycle + DSACK1_INT when AS_030 = '0' else -- output on amiga cycle + '1'; + + +end Behavioral; diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index 7f8bbe2..0359930 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -425660,3 +425660,1284 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 11/18/16 19:32:22 ########### + +########## Tcl recorder starts at 12/08/16 21:23:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/08/16 21:23:14 ########### + + +########## Tcl recorder starts at 12/08/16 21:23:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/08/16 21:23:14 ########### + + +########## Tcl recorder starts at 12/08/16 21:50:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/08/16 21:50:43 ########### + + +########## Tcl recorder starts at 12/08/16 21:50:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/08/16 21:50:43 ########### + + +########## Tcl recorder starts at 12/29/16 15:51:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 15:51:49 ########### + + +########## Tcl recorder starts at 12/29/16 15:51:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 15:51:49 ########### + + +########## Tcl recorder starts at 12/29/16 15:59:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 15:59:07 ########### + + +########## Tcl recorder starts at 12/29/16 15:59:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 15:59:08 ########### + + +########## Tcl recorder starts at 12/29/16 15:59:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 15:59:47 ########### + + +########## Tcl recorder starts at 12/29/16 15:59:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 15:59:47 ########### + + +########## Tcl recorder starts at 12/29/16 16:00:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 16:00:49 ########### + + +########## Tcl recorder starts at 12/29/16 16:00:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 16:00:49 ########### + + +########## Tcl recorder starts at 12/29/16 16:01:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 16:01:41 ########### + + +########## Tcl recorder starts at 12/29/16 16:01:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/29/16 16:01:42 ########### + diff --git a/Logic/68030_tk-Resetfix-soft_timing.zip b/Logic/68030_tk-Resetfix-soft_timing.zip new file mode 100644 index 0000000000000000000000000000000000000000..aa8897dde672e0db8f64035284d64daf902deca3 GIT binary patch literal 7280 zcmZ`;cRZV6*G`D7TC-N^FiS{{NbRbkcI{EbrmtwhYC zD2l2ot@S;9-|vs_pD+34x$m4j=Q($tT<2WZ$!(}Z31I_)K(wHr=o^MF8l zj9?HWV1*-K(lEQnD2YeTPQy0V{_`J#Fz$;|Z_WvQzjfby2_s8hu{2^Cu5~s|2~F9r z)2t0((ewP|IJljYxxaE80nti^cw6gua`i0;^iy3T&>-zYLFaq((JTCmVf#tD<#@?F z+uU}gHf&gHa7gRvLz{)LpraElSAMUG1?JuG;Nw4o^WC9>?XHW`zm*yn8U9+EuijAp zCAoWcaI`eBsIqu^*0<7OD(TI~zq>GRX5I)4N^>3Oe|Pql`~^8#_s%>ena}Xb_^`m1 zih`5h*#&YWm5%l~S>el?BvQ%Sb^PD2M+X&g1^af{Zw4nCUCmvq?|yDv%;B}^TdzJp zvck-@S7cN`7U`PbM349Cy96kw7>uE%M_W7Cu+! zP3MI%nvdAo<(W&RN!THJ;ZWz| zS&M{dIa?m>&$G1~`RK#;YSiT0$D|(eAJc$e`(y)^UBSg&lYj=5+e%@fel3^%I{aJY z@z0tsA7gx{lKO&M?&8l1%!AA26ofbJ;} zjO}eCR&4fctghkH4PSfi9V3OOc!l)4YASTvD*2Lb^rwR!tn8v3azb zhcYS9HsERLgCBmbSL_j&v3YuMKr#N02>eRtV=H|C4vVrDt!J9XOryGC97#^t> zeRrIaYklUAD_MID8Z9-nd4dakbpG?e?nz`qb&TTtr!eX(c^J%YYGs9EDZ2RVo~4sV zX-0mSg|-3}mzfRb^g!mM;nWYF)lm!0#Ij7GYfTrviA_BV`3 zK(?fAJJbkt_kqf^&=tEQuTlp8dO8Hs-;Dg({mZLsl8aL((|SIqD23z0kInq#<}^Jv zh5W2Zl3UB$R72IeOMzxIO{r6O1G~dUJHEro7QY2dv>}Sxpo9S0#s_!n82c{HPwc+l z%W0K=#Hf}0>0qgtpEkW87x#4X02R(6rOtLOi$~<*p?OCxzi~v*#|F!0m4dV5D0)-| z|Mvbb@q4YTU2u~APgJY?*#SZb>cq&Ou5+2UJnH3`vDm%Wew%R)((Ni`^_G_x-+Qrl zTl;PDY>FMg3ccFU>0BBwyP3oSF7q0FTSiTue$3uF?OENhjbVyUsy1$~v2Tyk_8XsM zE*Q7;{3(u^GPQBu8>d<#JJp%MIHuJ3p(<<)_r3&M@@4z%yqa3oI}>0ZnyRpP5h?oS zR>OLyk7o5$bhLRsU!Bs$wY!)r0V2n5-gdx=h0z~aDewN~naGVM6~o(yiH7r~AChz> z+a15LZA~(4rtEK;+f7(zl|otkreA%?$FsP%Kxs`ZNErp}X? z2Qqu;EwCYnS?cWLvTsoiVbMX`ZaaDG}kOHU0w-zMQ=!;dO#C{=z;20{# z;*eBhSez9@TkNJfb8b zGPmpkW|1w3qTb`~x?F$^GUdK>sZZO2({s3;X1|7}=a_CdE?LUU$MZEC3yI_YCt#9F z4NL@mF%c#UOWWFyCelAz!L6DEBe`x{v&8v?!c@d#J>PIB!B8Is_A<6_Qas2G#e;45m4XLzIcy4j5Z=A!$B zy|UUe%3ZSB+P5E8zia3{pdX+GCh?RU&!-3!KJ^}fV$&H=ex^0q?%6b#8#52xmg**M zs~Hp=lT(nPig5c9=J+JHeO|H!h?Q6FMIpM|mkK(?Va)mK!?rubI09O|Lo6v?WX1hkZ1&z>WYn1IC}S(5!YsV>A{Tgqus8Mdmh&K4l>hXY zej|%VQ*WhKPd*I3$u;aVrSe*v1a7BC)kOD2&eenC^iFcYDk2>&!kW<6daVm??1oqz zy$I3j0vpN`i9x_(veO#{iOuuk*Da8knp7$x9pw$+=kvH>IgSnpFj3bb*peZ zQvOkcKl0vEG&@0e-0c|WaCZQ)MM%+5poHhuF?!q}=X|?-gOiIWJ#FfML6b1q@}uRp zW-PG*BN2M<5(puK@|w61&w-YdAJDBFaJGRZHHU@pKvjqXX6vVTwND@t0CYDholr+g zZPvhlVxt!|*5!3GN2iu~a&Ld+l#XPc{WzN@*KC1DoMr5?x87PayfJ+C3TY;h-KA(@ z#zOSoVCOJeOVPlWU$fK7%p z-Sn**hO9*h=F8LwG=S2ha(z7)7+oHBSo6h~xM*1a8KreWTR^fm*?W=q3!JYYTipEz za?Ik86~=2Z+}n5H$%MIUE&Y);Xzr&k=VY5WI}uM$&u$Ku_C&XyrV(lq)L;j9^GJzn2@frUKj zh84hrTbSr)@8bX!R=y}h73c3MG!xp zESSVm)cJvkV@v_wz%Om%h%7cR18$z0p0zdA7Ay~YlR?q&$>fhB)8J3gLeb4qsSU4@ zdPG*2g9oRkbY-rD%Wg%~Ml+ok7{&`Q7&~#Hr3}sxDBl3sd@VSAG zK26zpZQgF1X4OjE&3RFfwEaBd*>KPa%Zr;=SU0#2@eBw`Y`%4;iLgSfq82Gg4(*Ip z8)Eok`GXVn>%D;i>9M@flDR{rwudo_{X*PDp2oM{TBV5Ebg>9lRC5Y2A@F(?mQZX( zMd;Ox_~q-R2T*heQv0)82r~{X%UDrRrs&;s+UNRyvvx$d;SCpOa=;^jtx03-J`9%Q z3L{aha}D7>22!(fj!0*q(EE&Q-1p(KK*HH<5ab^eSHH#@->@vvsMAky!pPU?n}9h5 zwq&%3?oFNS&zTVk>4cMrmi`=@*Oo;o7GsWj9=ubLWv?w1L=i)b?}0m>V3+`J0;k+k zO@KGIsO-H&a+Hp7(@eT0P(EttT_KM7Z89)T-dp=VAO%3Ak+<<(x^;xLp$@W%3OA=c z7iq<9G$L8*e7d;r`P#H<-Yx2|@beSpa!Tt*)7TwPl_?sYhPQ)AA#2US+$^by3Ac&( zg$QJ-yHAI-*A;V`YMCo9n#)o+otCo>50q-t6YjvD-=;1cP6WG4uc}l*{U}Pu{1(Kwj@)Wh2EiuLe~5D;2mg>r z40=0Wn`i(&V7rI6aXege_)ihGEK(3wjG8TIa+t<))dE$cUK z@hF;>8lc50$pWygX!fWCKIfvSTJag$Uf)?n>=$VUNIfentjDq3L8B%O>kqS&0RvU= zyKEX22PoOYX!PTck%R47S}Z}{{Qc^IV1y;|{<9nnv5)k`=4CA; zBEbwI))PUOqoIRD)QLj=Av88CfNvcCmO!!fkgp7i)`UTQi?24&WJTVp`GmYC?ls{^ z(Os*5?K#$%R%PMW5gl-mblNu~q&P)p={@MD^&TsDu)OZ|zF3?ZeU1gsi~#b!)?9Jl z_2w2wf{cEFAPfj!*y-M&W(8OwYm?H`X*}U#Zfp7DqvElAhn4R>zh2f>y9K~BAK`Te z8UYnAwJ{%O*8RdUNiXP|M|&MMCmb1N1T0--IM05>)LmgOB6a!Jt@@M{H)0%4XB=<% zM1VLl_7|NB0L_d^D?6>vy#W$E;f8hroZJ#8+~^n^6V2Q{MVt(m`Z+x@#I0oi>DH*L4)LL*xHZ~HSiTY7h&N>qzil!#blm$StGJZFpd{GEk2{_@VsVp!@k&?gE+-D_-F{y?edPfZ&M@RPXLr{=s6!-i@DFqkrM#f2Uc zeXLf=7(Dxh0MRcS3g{~ahw##l0XwysNkmwcu3zAF|7iDpF!lA83A z1TSEG+bHCK7=Ce%gDDEU)-4#mX{m@mY4y`QifICt?P>}AMPCsA=zB&y_Gn34 zO^er4=wEiAyWAU$-2T_8>&tXq;ST-zycCzqJ6|M|Fj0HS*P)V8k%gKg`YQsK=$teG&R5HfeZn>{wxLV|KsO8144Gz$;d)b|6p+Wz7 zIO{y(CQtxjzF(76!BqzFc!b93iE1Cov~}XbuL!vC!rMw^v*!r(A(l`1p*RW>Xx+mH~>Z+syY@;hdpdvGW>LI-Sz*>&8 zOXuUO*&+;|)!}Wt9CBI z2A^|RuBs*w{oZNlgz{H`uuez2?^(*PJR^=IJQJR6r!8)goJ>zl<7;Kst-ofT5$41N z=qzz44)~oJCfzEj9yJibvR2&9V7*RCSu4%27ro6BDSNE?A{;7NRcncKIjH)=ztPfE zB|so!)r9nCG&LYb!-4>6fRa(U_6uFN?OQfVpCqQ5gWMrF9Hf+>kEe118Nas5W{27-%J2gUjdjpnAh4YehEl`rRh5D;3h`` zBxb~#;Aui7i=EgrUFlG^)voFiun4a{g1-}L%siT=E{vU`j=^Ob1t+I3yQ$Em=ekiY z|M0s?GFCkg*0-rBKW1Q$Y^^@u& z61~eGmtYq5a9hyJYpnP$XxU%Jh@PzqnH~2cFS@PIbU*fjPHq>XEr>f6qZ%q}wH!t; zZZMN~*3{mhdj{`@=jT0PLQ6pzE~j5;&cM+3T2I!!evgIgEYpTqrP6eDSS%}w-5khz zfK?LSECYzuwo&(eG5afn;KN^RItR$b&1GOF2uoT#x892ms1k3ZGQMtRbX-9srO zW|d{iPl^xf_1Q8A&{BXNU29la*151zUH{dO7Iat1CXxL@VOS`DysAE{O{05Gm^ion zXx>gKdKSSe!ZL!J?p`QhC}+BF}B zN5Gfcs7>JmcvBQ$Qo!{oCc8SAHNOnaAVaQdO4L_wfT>-mWEo;p zYo_QFdYHYQ^rXG=Jga3W{UZ6oOM+sR`MX94$8)MWXXntsh2^XGN7AF2Ww!RfS<_-7 zlEp!2XzV{i#d0OXQA~xySwP2;#(^NMAq$#p@8|$1AEyUa!fdYKwBV56F+NiXM`!jJ zF%NxXHlJST7J!M--y}hMctiz72Qu6ccHd7=6pqjvUyFUA_cToCTlkyGu3xKC8GtG%h-k>{)oilu zv;b6f#1gP1Ux4IIcb5_=>purFv?dtkXX*ACoNe9D9@$|{SWscBgzkDaEmrlFJa=(O zyKvxb9i05+*R@K=5>TJ^Vp<7EWqMz^=UT?#a)0h6HC@Fq1WVEhGxjs~S15=c*5({+ z$xA%Qp8-h@fTdi1iC!2AIh}V=Y!UQmM&6&4$8IzQT>HD82ytL@ul%K<;9rzW?QXGh2|- zi#A9Qb@27(=^0bN}k%0yV^G* z0p*}sr@!l)&4=ps;jS!xP0+e*$?Y_Z7BW#7zh^c=NbHS}58*f=j7ajQ-GkjeG5g%h zO`_=z71N>-= zpgk$Np`}wY(_NVqmshE9v#-(wVSsg55Rkva>|V#B6y5F(StzBM^W$W4Cb*pW#j2vg z{r8=*xGd`&iwfX&>2v`Ikv#+u0_7aRWfFWmEEy6V2d!xT@w$0#PuMuZQ0u~Mo-qS1 z3;uSkaXbxT_I@~K9=mgePS(P=Gx+m>N&n{$=F&q}a;L^-ske*H73c zU-Zn}>PX?$%*L_(lM$*j;y|0k$}z%L*wqY2l>z?|Wc8*JC}2(7B@GQMEu&N+Be}0S zoAmogpTgVHw(!RfD|IiBYH9LR(!=q}Wk1;n1!wc5X;IatlwQ8y%~!q$E>gQqkfyCg zvbPokc|%>R#1n(aP)E$bBt(SxY^Ct9pMpF6R?tP$QJ>Y_G3v>x5RcLoV^s`UG*RLy zJL>PBvz%|D=f8RWPOzUz=YHmYwReUudX9Mg7kP2A=Z3c$N3xyZ-^TKPRjJ literal 0 HcmV?d00001 diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 index 8a540c0..af11c22 100644 --- a/Logic/68030_tk.bl2 +++ b/Logic/68030_tk.bl2 @@ -1,5 +1,5 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Fri Nov 18 19:32:36 2016 +#$ DATE Thu Dec 29 16:01:56 2016 #$ MODULE 68030_tk #$ PINS 75 A_DECODE_2_ A_0_ SIZE_1_ IPL_030_1_ IPL_030_0_ AHIGH_31_ IPL_1_ IPL_0_ \ # A_DECODE_23_ FC_0_ A_1_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 \ diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 index 8737403..2f15fc4 100644 --- a/Logic/68030_tk.bl3 +++ b/Logic/68030_tk.bl3 @@ -1,5 +1,5 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Fri Nov 18 19:32:36 2016 +#$ DATE Thu Dec 29 16:01:56 2016 #$ MODULE 68030_tk #$ PINS 61 A_0_ SIZE_1_ IPL_030_1_ IPL_030_0_ AHIGH_31_ IPL_1_ IPL_0_ A_DECODE_23_ \ # FC_0_ A_1_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 nEXP_SPACE \ diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index aade194..491c0f9 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 2.0.00.17.20.15 -// Design '68030_tk' created Fri Nov 18 19:32:36 2016 +// Design '68030_tk' created Thu Dec 29 16:01:56 2016 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 index 43f1c17..fc3aa63 100644 --- a/Logic/68030_tk.eq3 +++ b/Logic/68030_tk.eq3 @@ -2,7 +2,7 @@ Copyright(C), 1992-2015, Lattice Semiconductor Corp. All Rights Reserved. -Design bus68030 created Fri Nov 18 19:32:36 2016 +Design bus68030 created Thu Dec 29 16:01:56 2016 P-Terms Fan-in Fan-out Type Name (attributes) diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index d075273..091112f 100644 --- a/Logic/68030_tk.ipr +++ b/Logic/68030_tk.ipr @@ -1 +1 @@ -64;0=1?P_reM=E> \ No newline at end of file +6050655){ N diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed index 0c900f7..a7544f4 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Fri Nov 18 19:32:41 2016 +DATE: Thu Dec 29 16:02:00 2016 ABEL mach447a * diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco index 2b54089..3e3384c 100644 --- a/Logic/68030_tk.lco +++ b/Logic/68030_tk.lco @@ -16,8 +16,8 @@ RCS = "$Revision: 1.2 $"; Parent = m4a5.lci; SDS_File = m4a5.sds; Design = 68030_tk.tt4; -DATE = 11/18/16; -TIME = 19:32:41; +DATE = 12/29/16; +TIME = 16:02:00; Source_Format = Pure_VHDL; Type = TT2; Pre_Fit_Time = 1; diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out index e4e66e9..ab5d2b0 100644 --- a/Logic/68030_tk.out +++ b/Logic/68030_tk.out @@ -8870,6 +8870,906 @@ 316 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 314 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 306 inst_DTACK_D0 3 -1 1 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 1 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 1 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 1 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 1 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 1 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 1 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 2 RESET 1 -1 -1 2 1 2 2 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 0 63 -1 + 59 A_1_ 1 -1 -1 1 5 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +115 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 5 2 3 4 6 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 4 5 7 41 -1 1 0 21 + 79 RW_000 5 339 7 3 4 5 6 79 -1 4 0 21 + 31 UDS_000 5 -1 3 3 5 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 5 6 7 30 -1 1 0 21 + 68 A_0_ 5 345 6 2 0 2 68 -1 3 0 21 + 70 RW 5 344 6 2 3 7 70 -1 2 0 21 + 78 SIZE_1_ 5 337 7 1 2 78 -1 3 0 21 + 69 SIZE_0_ 5 340 6 1 2 69 -1 3 0 21 + 40 BERR 5 -1 4 1 0 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 338 1 0 8 -1 9 0 21 + 7 IPL_030_0_ 5 347 1 0 7 -1 9 0 21 + 6 IPL_030_1_ 5 346 1 0 6 -1 9 0 21 + 82 BGACK_030 5 342 7 0 82 -1 3 0 21 + 34 VMA 5 343 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 341 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 342 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 322 SM_AMIGA_6_ 3 -1 1 7 0 1 2 3 5 6 7 -1 -1 3 0 21 + 309 inst_RESET_OUT 3 -1 6 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 311 CLK_000_D_0_ 3 -1 3 6 0 1 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 21 + 300 inst_AS_030_000_SYNC 3 -1 2 3 1 2 3 -1 -1 7 0 21 + 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 335 SM_AMIGA_i_7_ 3 -1 1 3 1 2 7 -1 -1 3 1 21 + 324 SM_AMIGA_1_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 + 301 inst_BGACK_030_INT_D 3 -1 7 3 2 6 7 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 7 3 2 3 4 -1 -1 1 0 21 + 296 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 1 1 21 + 303 inst_DS_000_DMA 3 -1 5 2 0 5 -1 -1 9 0 21 + 302 inst_AS_000_DMA 3 -1 5 2 5 7 -1 -1 7 0 21 + 343 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 332 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 325 SM_AMIGA_0_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 323 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 3 0 21 + 319 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 304 CYCLE_DMA_0_ 3 -1 0 2 0 5 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 331 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 + 330 inst_DSACK1_INT 3 -1 6 2 6 7 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 307 CLK_000_D_3_ 3 -1 2 2 1 7 -1 -1 1 0 21 + 306 inst_VPA_D 3 -1 3 2 0 3 -1 -1 1 0 21 + 347 RN_IPL_030_0_ 3 7 1 1 1 7 -1 9 0 21 + 346 RN_IPL_030_1_ 3 6 1 1 1 6 -1 9 0 21 + 338 RN_IPL_030_2_ 3 8 1 1 1 8 -1 9 0 21 + 329 inst_CLK_030_H 3 -1 5 1 5 -1 -1 8 0 21 + 334 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 5 0 21 + 333 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 5 0 21 + 339 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 326 RST_DLY_0_ 3 -1 6 1 6 -1 -1 4 0 21 + 305 CYCLE_DMA_1_ 3 -1 5 1 5 -1 -1 4 0 21 + 345 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 340 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 337 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 320 inst_DS_000_ENABLE 3 -1 3 1 3 -1 -1 3 0 21 + 344 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 341 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 336 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 328 RST_DLY_2_ 3 -1 6 1 6 -1 -1 2 0 21 + 327 RST_DLY_1_ 3 -1 6 1 6 -1 -1 2 1 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 318 CLK_000_D_4_ 3 -1 7 1 1 -1 -1 1 0 21 + 317 CLK_000_D_2_ 3 -1 7 1 2 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 2 1 1 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 308 inst_DTACK_D0 3 -1 0 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 66 IPL_0_ 1 -1 -1 2 1 2 66 -1 + 59 A_1_ 1 -1 -1 2 2 6 59 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 63 CLK_030 1 -1 -1 1 5 63 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 3 35 -1 + 29 DTACK 1 -1 -1 1 0 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +119 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 6 0 1 2 3 4 7 81 -1 1 0 21 + 79 RW_000 5 345 7 3 0 4 6 79 -1 4 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 31 UDS_000 5 -1 3 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 2 6 7 30 -1 1 0 21 + 70 RW 5 350 6 2 2 7 70 -1 2 0 21 + 78 SIZE_1_ 5 341 7 1 5 78 -1 3 0 21 + 69 SIZE_0_ 5 348 6 1 5 69 -1 3 0 21 + 68 A_0_ 5 340 6 1 5 68 -1 3 0 21 + 40 BERR 5 -1 4 1 2 40 -1 1 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 344 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 343 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 342 1 0 6 -1 10 0 21 + 82 BGACK_030 5 347 7 0 82 -1 3 0 21 + 34 VMA 5 349 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 346 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 347 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 311 CLK_000_D_0_ 3 -1 3 6 0 2 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 7 6 0 2 3 5 6 7 -1 -1 1 0 21 + 307 inst_RESET_OUT 3 -1 6 5 0 3 4 6 7 -1 -1 2 0 21 + 322 SM_AMIGA_6_ 3 -1 5 4 2 5 6 7 -1 -1 3 0 21 + 301 inst_BGACK_030_INT_D 3 -1 7 4 1 5 6 7 -1 -1 1 0 21 + 300 inst_AS_030_000_SYNC 3 -1 1 3 1 3 5 -1 -1 7 0 21 + 302 inst_AS_000_DMA 3 -1 0 3 0 3 7 -1 -1 6 0 21 + 295 cpu_est_3_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 337 SM_AMIGA_i_7_ 3 -1 5 3 1 5 7 -1 -1 3 1 21 + 325 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 3 0 21 + 296 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 + 338 CLK_OUT_INTreg 3 -1 3 3 0 1 6 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 7 3 1 3 4 -1 -1 1 0 21 + 294 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 1 1 21 + 336 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 5 0 21 + 349 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 334 SM_AMIGA_5_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 324 SM_AMIGA_1_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 323 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 3 0 21 + 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 319 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 333 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 332 inst_DSACK1_INT 3 -1 0 2 0 7 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 5 2 2 5 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 4 2 0 3 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 6 2 4 6 -1 -1 1 0 21 + 305 CLK_000_D_3_ 3 -1 5 2 2 5 -1 -1 1 0 21 + 304 inst_VPA_D 3 -1 5 2 2 3 -1 -1 1 0 21 + 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 343 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 342 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 328 CLK_030_PE_0_ 3 -1 0 1 0 -1 -1 9 0 21 + 303 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 308 CLK_030_PE_1_ 3 -1 0 1 0 -1 -1 6 0 21 + 335 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 + 345 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 329 RST_DLY_0_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 4 0 21 + 348 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 341 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 340 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 350 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 339 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 331 RST_DLY_2_ 3 -1 6 1 6 -1 -1 2 0 21 + 330 RST_DLY_1_ 3 -1 6 1 6 -1 -1 2 1 21 + 327 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 2 0 21 + 309 inst_AMIGA_DS 3 -1 2 1 0 -1 -1 2 0 21 + 318 CLK_000_D_4_ 3 -1 2 1 5 -1 -1 1 0 21 + 317 CLK_000_D_2_ 3 -1 7 1 5 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 306 inst_DTACK_D0 3 -1 1 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 1 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 1 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 1 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 1 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 1 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 1 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 2 RESET 1 -1 -1 2 1 2 2 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 0 63 -1 + 59 A_1_ 1 -1 -1 1 5 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +125 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 6 1 2 3 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 4 5 7 41 -1 1 0 21 + 79 RW_000 5 348 7 3 0 4 6 79 -1 4 0 21 + 70 RW 5 355 6 2 5 7 70 -1 2 0 21 + 31 UDS_000 5 -1 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 6 7 30 -1 1 0 21 + 78 SIZE_1_ 5 346 7 1 5 78 -1 3 0 21 + 69 SIZE_0_ 5 356 6 1 5 69 -1 3 0 21 + 68 A_0_ 5 349 6 1 5 68 -1 3 0 21 + 40 BERR 5 -1 4 1 2 40 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 347 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 351 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 350 1 0 6 -1 10 0 21 + 82 BGACK_030 5 353 7 0 82 -1 3 0 21 + 34 VMA 5 354 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 352 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 353 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 314 CLK_000_D_0_ 3 -1 4 6 0 2 3 5 6 7 -1 -1 1 0 21 + 313 CLK_000_D_1_ 3 -1 7 6 0 2 3 5 6 7 -1 -1 1 0 21 + 310 inst_RESET_OUT 3 -1 0 5 0 3 4 6 7 -1 -1 2 0 21 + 301 inst_BGACK_030_INT_D 3 -1 7 4 0 1 6 7 -1 -1 1 0 21 + 300 inst_AS_030_000_SYNC 3 -1 1 3 1 3 5 -1 -1 7 0 21 + 302 inst_AS_000_DMA 3 -1 0 3 0 3 7 -1 -1 6 0 21 + 295 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 + 343 SM_AMIGA_i_7_ 3 -1 5 3 1 5 7 -1 -1 3 1 21 + 330 SM_AMIGA_0_ 3 -1 6 3 5 6 7 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 344 CLK_OUT_INTreg 3 -1 2 3 0 1 6 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 7 3 1 3 4 -1 -1 1 0 21 + 296 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 1 1 21 + 342 SM_AMIGA_2_ 3 -1 2 2 2 6 -1 -1 5 0 21 + 337 inst_DSACK1_INT 3 -1 2 2 2 7 -1 -1 5 0 21 + 331 CYCLE_DMA_0_ 3 -1 5 2 0 5 -1 -1 4 0 21 + 354 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 339 SM_AMIGA_1_ 3 -1 6 2 2 6 -1 -1 3 0 21 + 329 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 328 SM_AMIGA_6_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 326 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 325 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 338 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 + 332 CYCLE_DMA_1_ 3 -1 5 2 0 5 -1 -1 2 0 21 + 327 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 320 CLK_000_D_4_ 3 -1 1 2 1 5 -1 -1 1 0 21 + 315 inst_CLK_OUT_PRE_50 3 -1 3 2 2 3 -1 -1 1 0 21 + 307 CLK_000_D_8_ 3 -1 3 2 2 3 -1 -1 1 0 21 + 306 CLK_000_D_3_ 3 -1 1 2 1 5 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 2 2 0 2 -1 -1 1 0 21 + 304 inst_VPA_D 3 -1 3 2 2 3 -1 -1 1 0 21 + 351 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 350 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 347 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 333 CLK_030_PE_0_ 3 -1 0 1 0 -1 -1 9 0 21 + 303 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 311 CLK_030_PE_1_ 3 -1 0 1 0 -1 -1 6 0 21 + 341 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 + 348 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 334 RST_DLY_0_ 3 -1 0 1 0 -1 -1 4 0 21 + 356 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 349 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 346 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 340 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 3 0 21 + 355 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 352 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 345 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 336 RST_DLY_2_ 3 -1 0 1 0 -1 -1 2 0 21 + 335 RST_DLY_1_ 3 -1 0 1 0 -1 -1 2 1 21 + 312 inst_AMIGA_DS 3 -1 7 1 0 -1 -1 2 0 21 + 324 CLK_000_D_10_ 3 -1 2 1 2 -1 -1 1 0 21 + 323 CLK_000_D_7_ 3 -1 6 1 3 -1 -1 1 0 21 + 322 CLK_000_D_6_ 3 -1 3 1 6 -1 -1 1 0 21 + 321 CLK_000_D_5_ 3 -1 1 1 3 -1 -1 1 0 21 + 319 CLK_000_D_2_ 3 -1 7 1 1 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 6 1 1 -1 -1 1 0 21 + 309 inst_DTACK_D0 3 -1 6 1 2 -1 -1 1 0 21 + 308 CLK_000_D_9_ 3 -1 3 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 1 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 1 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 1 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 1 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 1 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 1 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 66 IPL_0_ 1 -1 -1 2 1 6 66 -1 + 63 CLK_030 1 -1 -1 2 0 2 63 -1 + 59 A_1_ 1 -1 -1 2 0 6 59 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 2 RESET 1 -1 -1 2 1 2 2 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 3 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 4 10 -1 +127 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 7 0 1 3 4 5 6 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 2 4 7 41 -1 1 0 21 + 79 RW_000 5 350 7 3 2 4 6 79 -1 4 0 21 + 70 RW 5 357 6 2 0 7 70 -1 2 0 21 + 31 UDS_000 5 -1 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 6 7 30 -1 1 0 21 + 78 SIZE_1_ 5 348 7 1 0 78 -1 3 0 21 + 69 SIZE_0_ 5 358 6 1 0 69 -1 3 0 21 + 68 A_0_ 5 351 6 1 0 68 -1 3 0 21 + 40 BERR 5 -1 4 1 3 40 -1 1 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 349 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 355 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 353 1 0 6 -1 10 0 21 + 82 BGACK_030 5 354 7 0 82 -1 3 0 21 + 34 VMA 5 356 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 352 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 354 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 314 CLK_000_D_0_ 3 -1 2 6 0 1 3 5 6 7 -1 -1 1 0 21 + 313 CLK_000_D_1_ 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 21 + 310 inst_RESET_OUT 3 -1 6 5 0 3 4 6 7 -1 -1 2 0 21 + 300 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 7 0 21 + 302 inst_AS_000_DMA 3 -1 2 3 2 3 7 -1 -1 6 0 21 + 345 SM_AMIGA_i_7_ 3 -1 0 3 0 5 7 -1 -1 3 1 21 + 332 SM_AMIGA_0_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 330 SM_AMIGA_6_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 + 346 CLK_OUT_INTreg 3 -1 6 3 1 2 6 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 6 3 1 2 6 -1 -1 1 0 21 + 301 inst_BGACK_030_INT_D 3 -1 4 3 5 6 7 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 7 3 3 4 5 -1 -1 1 0 21 + 303 inst_DS_000_DMA 3 -1 2 2 0 2 -1 -1 9 0 21 + 344 SM_AMIGA_2_ 3 -1 3 2 1 3 -1 -1 5 0 21 + 339 inst_DSACK1_INT 3 -1 1 2 1 7 -1 -1 5 0 21 + 333 CYCLE_DMA_0_ 3 -1 0 2 0 2 -1 -1 4 0 21 + 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 342 SM_AMIGA_5_ 3 -1 7 2 0 7 -1 -1 3 0 21 + 341 SM_AMIGA_1_ 3 -1 1 2 1 5 -1 -1 3 0 21 + 331 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 3 0 21 + 328 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 + 327 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 5 2 3 5 -1 -1 3 0 21 + 340 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 + 334 CYCLE_DMA_1_ 3 -1 0 2 0 2 -1 -1 2 0 21 + 329 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 5 2 2 5 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_50 3 -1 5 2 5 6 -1 -1 1 0 21 + 306 CLK_000_D_3_ 3 -1 1 2 0 5 -1 -1 1 0 21 + 296 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 1 1 21 + 355 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 353 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 335 CLK_030_PE_0_ 3 -1 2 1 2 -1 -1 9 0 21 + 311 CLK_030_PE_1_ 3 -1 2 1 2 -1 -1 6 0 21 + 343 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 5 0 21 + 350 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 336 RST_DLY_0_ 3 -1 6 1 6 -1 -1 4 0 21 + 358 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 356 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 351 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 348 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 357 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 352 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 347 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 338 RST_DLY_2_ 3 -1 6 1 6 -1 -1 2 0 21 + 337 RST_DLY_1_ 3 -1 6 1 6 -1 -1 2 1 21 + 312 inst_AMIGA_DS 3 -1 7 1 2 -1 -1 2 0 21 + 326 CLK_000_D_12_ 3 -1 1 1 1 -1 -1 1 0 21 + 325 CLK_000_D_9_ 3 -1 5 1 2 -1 -1 1 0 21 + 324 CLK_000_D_8_ 3 -1 2 1 5 -1 -1 1 0 21 + 323 CLK_000_D_7_ 3 -1 1 1 2 -1 -1 1 0 21 + 322 CLK_000_D_6_ 3 -1 6 1 1 -1 -1 1 0 21 + 321 CLK_000_D_5_ 3 -1 0 1 6 -1 -1 1 0 21 + 320 CLK_000_D_4_ 3 -1 5 1 0 -1 -1 1 0 21 + 319 CLK_000_D_2_ 3 -1 7 1 1 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 5 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 5 1 1 -1 -1 1 0 21 + 309 inst_DTACK_D0 3 -1 2 1 3 -1 -1 1 0 21 + 308 CLK_000_D_11_ 3 -1 1 1 1 -1 -1 1 0 21 + 307 CLK_000_D_10_ 3 -1 2 1 1 -1 -1 1 0 21 + 304 inst_VPA_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 5 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 5 66 -1 + 63 CLK_030 1 -1 -1 2 1 2 63 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 2 RESET 1 -1 -1 2 1 2 2 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 59 A_1_ 1 -1 -1 1 5 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 3 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 2 10 -1 +126 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 6 0 2 3 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 5 0 1 2 4 7 41 -1 1 0 21 + 79 RW_000 5 349 7 3 2 4 6 79 -1 4 0 21 + 70 RW 5 356 6 2 0 7 70 -1 2 0 21 + 31 UDS_000 5 -1 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 6 7 30 -1 1 0 21 + 78 SIZE_1_ 5 347 7 1 3 78 -1 3 0 21 + 69 SIZE_0_ 5 357 6 1 3 69 -1 3 0 21 + 68 A_0_ 5 350 6 1 3 68 -1 3 0 21 + 40 BERR 5 -1 4 1 0 40 -1 1 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 348 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 353 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 351 1 0 6 -1 10 0 21 + 82 BGACK_030 5 354 7 0 82 -1 3 0 21 + 34 VMA 5 355 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 352 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 354 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 314 CLK_000_D_0_ 3 -1 6 8 0 1 2 3 4 5 6 7 -1 -1 1 0 21 + 313 CLK_000_D_1_ 3 -1 4 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 310 inst_RESET_OUT 3 -1 5 6 0 3 4 5 6 7 -1 -1 2 0 21 + 329 SM_AMIGA_6_ 3 -1 1 4 0 1 3 7 -1 -1 3 0 21 + 300 inst_AS_030_000_SYNC 3 -1 5 3 1 3 5 -1 -1 7 0 21 + 302 inst_AS_000_DMA 3 -1 2 3 2 3 7 -1 -1 6 0 21 + 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 344 SM_AMIGA_i_7_ 3 -1 1 3 1 5 7 -1 -1 3 1 21 + 340 SM_AMIGA_1_ 3 -1 6 3 2 6 7 -1 -1 3 0 21 + 345 CLK_OUT_INTreg 3 -1 6 3 1 2 6 -1 -1 1 0 21 + 301 inst_BGACK_030_INT_D 3 -1 7 3 5 6 7 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 7 3 3 4 5 -1 -1 1 0 21 + 296 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 1 1 21 + 303 inst_DS_000_DMA 3 -1 2 2 0 2 -1 -1 9 0 21 + 343 SM_AMIGA_2_ 3 -1 0 2 0 6 -1 -1 5 0 21 + 338 inst_DSACK1_INT 3 -1 2 2 2 7 -1 -1 5 0 21 + 332 CYCLE_DMA_0_ 3 -1 1 2 1 2 -1 -1 4 0 21 + 355 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 331 SM_AMIGA_0_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 327 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 339 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 333 CYCLE_DMA_1_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 320 CLK_000_D_4_ 3 -1 5 2 0 1 -1 -1 1 0 21 + 315 inst_CLK_OUT_PRE_50 3 -1 0 2 0 1 -1 -1 1 0 21 + 308 CLK_000_D_10_ 3 -1 5 2 2 5 -1 -1 1 0 21 + 307 CLK_000_D_9_ 3 -1 2 2 2 5 -1 -1 1 0 21 + 306 CLK_000_D_3_ 3 -1 3 2 1 5 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 1 2 2 6 -1 -1 1 0 21 + 304 inst_VPA_D 3 -1 6 2 0 3 -1 -1 1 0 21 + 353 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 351 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 348 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 334 CLK_030_PE_0_ 3 -1 2 1 2 -1 -1 9 0 21 + 311 CLK_030_PE_1_ 3 -1 2 1 2 -1 -1 6 0 21 + 342 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 5 0 21 + 349 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 335 RST_DLY_0_ 3 -1 5 1 5 -1 -1 4 0 21 + 357 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 350 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 347 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 341 SM_AMIGA_5_ 3 -1 0 1 0 -1 -1 3 0 21 + 330 SM_AMIGA_4_ 3 -1 0 1 0 -1 -1 3 0 21 + 326 inst_LDS_000_INT 3 -1 3 1 3 -1 -1 3 0 21 + 356 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 352 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 346 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 337 RST_DLY_2_ 3 -1 5 1 5 -1 -1 2 0 21 + 336 RST_DLY_1_ 3 -1 5 1 5 -1 -1 2 1 21 + 328 inst_UDS_000_INT 3 -1 3 1 3 -1 -1 2 0 21 + 312 inst_AMIGA_DS 3 -1 7 1 2 -1 -1 2 0 21 + 325 CLK_000_D_11_ 3 -1 5 1 2 -1 -1 1 0 21 + 324 CLK_000_D_8_ 3 -1 6 1 2 -1 -1 1 0 21 + 323 CLK_000_D_7_ 3 -1 5 1 6 -1 -1 1 0 21 + 322 CLK_000_D_6_ 3 -1 6 1 5 -1 -1 1 0 21 + 321 CLK_000_D_5_ 3 -1 0 1 6 -1 -1 1 0 21 + 319 CLK_000_D_2_ 3 -1 7 1 3 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 5 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 6 1 1 -1 -1 1 0 21 + 309 inst_DTACK_D0 3 -1 2 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 5 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 6 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 2 RESET 1 -1 -1 2 1 2 2 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 2 63 -1 + 59 A_1_ 1 -1 -1 1 6 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 +127 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 7 0 1 3 4 5 6 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 2 4 7 41 -1 1 0 21 + 79 RW_000 5 350 7 3 2 4 6 79 -1 4 0 21 + 70 RW 5 357 6 2 0 7 70 -1 2 0 21 + 31 UDS_000 5 -1 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 6 7 30 -1 1 0 21 + 78 SIZE_1_ 5 348 7 1 0 78 -1 3 0 21 + 69 SIZE_0_ 5 358 6 1 0 69 -1 3 0 21 + 68 A_0_ 5 351 6 1 0 68 -1 3 0 21 + 40 BERR 5 -1 4 1 3 40 -1 1 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 349 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 355 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 353 1 0 6 -1 10 0 21 + 82 BGACK_030 5 354 7 0 82 -1 3 0 21 + 34 VMA 5 356 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 352 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 354 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 314 CLK_000_D_0_ 3 -1 2 6 0 1 3 5 6 7 -1 -1 1 0 21 + 313 CLK_000_D_1_ 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 21 + 310 inst_RESET_OUT 3 -1 6 5 0 3 4 6 7 -1 -1 2 0 21 + 300 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 7 0 21 + 302 inst_AS_000_DMA 3 -1 2 3 2 3 7 -1 -1 6 0 21 + 345 SM_AMIGA_i_7_ 3 -1 0 3 0 5 7 -1 -1 3 1 21 + 332 SM_AMIGA_0_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 330 SM_AMIGA_6_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 + 346 CLK_OUT_INTreg 3 -1 6 3 1 2 6 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 6 3 1 2 6 -1 -1 1 0 21 + 301 inst_BGACK_030_INT_D 3 -1 4 3 5 6 7 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 7 3 3 4 5 -1 -1 1 0 21 + 303 inst_DS_000_DMA 3 -1 2 2 0 2 -1 -1 9 0 21 + 344 SM_AMIGA_2_ 3 -1 3 2 1 3 -1 -1 5 0 21 + 339 inst_DSACK1_INT 3 -1 1 2 1 7 -1 -1 5 0 21 + 333 CYCLE_DMA_0_ 3 -1 0 2 0 2 -1 -1 4 0 21 + 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 342 SM_AMIGA_5_ 3 -1 7 2 0 7 -1 -1 3 0 21 + 341 SM_AMIGA_1_ 3 -1 1 2 1 5 -1 -1 3 0 21 + 331 SM_AMIGA_4_ 3 -1 0 2 0 3 -1 -1 3 0 21 + 328 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 + 327 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 5 2 3 5 -1 -1 3 0 21 + 340 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 + 334 CYCLE_DMA_1_ 3 -1 0 2 0 2 -1 -1 2 0 21 + 329 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 5 2 2 5 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_50 3 -1 5 2 5 6 -1 -1 1 0 21 + 306 CLK_000_D_3_ 3 -1 1 2 0 5 -1 -1 1 0 21 + 296 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 1 1 21 + 355 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 353 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 335 CLK_030_PE_0_ 3 -1 2 1 2 -1 -1 9 0 21 + 311 CLK_030_PE_1_ 3 -1 2 1 2 -1 -1 6 0 21 + 343 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 5 0 21 + 350 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 336 RST_DLY_0_ 3 -1 6 1 6 -1 -1 4 0 21 + 358 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 356 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 351 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 348 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 357 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 352 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 347 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 338 RST_DLY_2_ 3 -1 6 1 6 -1 -1 2 0 21 + 337 RST_DLY_1_ 3 -1 6 1 6 -1 -1 2 1 21 + 312 inst_AMIGA_DS 3 -1 7 1 2 -1 -1 2 0 21 + 326 CLK_000_D_12_ 3 -1 1 1 1 -1 -1 1 0 21 + 325 CLK_000_D_9_ 3 -1 5 1 2 -1 -1 1 0 21 + 324 CLK_000_D_8_ 3 -1 2 1 5 -1 -1 1 0 21 + 323 CLK_000_D_7_ 3 -1 1 1 2 -1 -1 1 0 21 + 322 CLK_000_D_6_ 3 -1 6 1 1 -1 -1 1 0 21 + 321 CLK_000_D_5_ 3 -1 0 1 6 -1 -1 1 0 21 + 320 CLK_000_D_4_ 3 -1 5 1 0 -1 -1 1 0 21 + 319 CLK_000_D_2_ 3 -1 7 1 1 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 5 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 5 1 1 -1 -1 1 0 21 + 309 inst_DTACK_D0 3 -1 2 1 3 -1 -1 1 0 21 + 308 CLK_000_D_11_ 3 -1 1 1 1 -1 -1 1 0 21 + 307 CLK_000_D_10_ 3 -1 2 1 1 -1 -1 1 0 21 + 304 inst_VPA_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 5 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 5 66 -1 + 63 CLK_030 1 -1 -1 2 1 2 63 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 2 RESET 1 -1 -1 2 1 2 2 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 59 A_1_ 1 -1 -1 1 5 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 3 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 2 10 -1 +119 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 6 0 1 2 3 4 7 81 -1 1 0 21 + 79 RW_000 5 345 7 3 0 4 6 79 -1 4 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 31 UDS_000 5 -1 3 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 2 6 7 30 -1 1 0 21 + 70 RW 5 350 6 2 2 7 70 -1 2 0 21 + 78 SIZE_1_ 5 341 7 1 5 78 -1 3 0 21 + 69 SIZE_0_ 5 348 6 1 5 69 -1 3 0 21 + 68 A_0_ 5 340 6 1 5 68 -1 3 0 21 + 40 BERR 5 -1 4 1 2 40 -1 1 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 344 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 343 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 342 1 0 6 -1 10 0 21 + 82 BGACK_030 5 347 7 0 82 -1 3 0 21 + 34 VMA 5 349 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 346 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 347 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 311 CLK_000_D_0_ 3 -1 3 6 0 2 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 7 6 0 2 3 5 6 7 -1 -1 1 0 21 + 307 inst_RESET_OUT 3 -1 6 5 0 3 4 6 7 -1 -1 2 0 21 + 322 SM_AMIGA_6_ 3 -1 5 4 2 5 6 7 -1 -1 3 0 21 + 301 inst_BGACK_030_INT_D 3 -1 7 4 1 5 6 7 -1 -1 1 0 21 + 300 inst_AS_030_000_SYNC 3 -1 1 3 1 3 5 -1 -1 7 0 21 + 302 inst_AS_000_DMA 3 -1 0 3 0 3 7 -1 -1 6 0 21 + 295 cpu_est_3_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 337 SM_AMIGA_i_7_ 3 -1 5 3 1 5 7 -1 -1 3 1 21 + 325 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 3 0 21 + 296 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 + 338 CLK_OUT_INTreg 3 -1 3 3 0 1 6 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 7 3 1 3 4 -1 -1 1 0 21 + 294 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 1 1 21 + 336 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 5 0 21 + 349 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 334 SM_AMIGA_5_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 324 SM_AMIGA_1_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 323 SM_AMIGA_4_ 3 -1 0 2 0 2 -1 -1 3 0 21 + 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 319 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 333 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 332 inst_DSACK1_INT 3 -1 0 2 0 7 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 5 2 2 5 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 4 2 0 3 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 6 2 4 6 -1 -1 1 0 21 + 305 CLK_000_D_3_ 3 -1 5 2 2 5 -1 -1 1 0 21 + 304 inst_VPA_D 3 -1 5 2 2 3 -1 -1 1 0 21 + 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 343 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 342 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 328 CLK_030_PE_0_ 3 -1 0 1 0 -1 -1 9 0 21 + 303 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 308 CLK_030_PE_1_ 3 -1 0 1 0 -1 -1 6 0 21 + 335 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 + 345 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 329 RST_DLY_0_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 4 0 21 + 348 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 341 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 340 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 350 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 346 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 339 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 331 RST_DLY_2_ 3 -1 6 1 6 -1 -1 2 0 21 + 330 RST_DLY_1_ 3 -1 6 1 6 -1 -1 2 1 21 + 327 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 2 0 21 + 309 inst_AMIGA_DS 3 -1 2 1 0 -1 -1 2 0 21 + 318 CLK_000_D_4_ 3 -1 2 1 5 -1 -1 1 0 21 + 317 CLK_000_D_2_ 3 -1 7 1 5 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 306 inst_DTACK_D0 3 -1 1 1 2 -1 -1 1 0 21 60 CLK_OSZI 9 -1 0 60 -1 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc index 1b8e1cf..1d59f16 100644 --- a/Logic/68030_tk.plc +++ b/Logic/68030_tk.plc @@ -8,7 +8,7 @@ ; Source file 68030_tk.tt4 ; FITTER-generated Placements. ; DEVICE mach447a -; DATE Fri Nov 18 19:32:41 2016 +; DATE Thu Dec 29 16:02:00 2016 Pin 4 AHIGH_31_ Comb ; S6=1 S9=1 Pair 143 diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd index 1f40fbf..1bcad98 100644 --- a/Logic/68030_tk.prd +++ b/Logic/68030_tk.prd @@ -5,8 +5,8 @@ |--------------------------------------------| -Start: Fri Nov 18 19:32:40 2016 -End : Fri Nov 18 19:32:41 2016 $$$ Elapsed time: 00:00:01 +Start: Thu Dec 29 16:02:00 2016 +End : Thu Dec 29 16:02:00 2016 $$$ Elapsed time: 00:00:00 =========================================================================== Part [E:/ispLEVER_Classic2_0/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt index a40a29e..bd4efef 100644 --- a/Logic/68030_tk.rpt +++ b/Logic/68030_tk.rpt @@ -12,7 +12,7 @@ Project_Summary Project Name : 68030_tk Project Path : C:\Users\Matze\Amiga\Hardwarehacks\68030-TK\GitHub\Logic -Project Fitted on : Fri Nov 18 19:32:41 2016 +Project Fitted on : Thu Dec 29 16:02:00 2016 Device : M4A5-128/64 Package : 100TQFP diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 index b99de3c..d37f5d5 100644 --- a/Logic/68030_tk.tt2 +++ b/Logic/68030_tk.tt2 @@ -1,5 +1,5 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Fri Nov 18 19:32:36 2016 +#$ DATE Thu Dec 29 16:01:56 2016 #$ MODULE 68030_tk #$ PINS 61 AHIGH_31_ IPL_1_ IPL_0_ A_DECODE_23_ FC_0_ A_1_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE DSACK1 DTACK AVEC E AHIGH_30_ VPA AHIGH_29_ AHIGH_28_ RST AHIGH_27_ RESET AHIGH_26_ AHIGH_25_ AMIGA_ADDR_ENABLE AHIGH_24_ AMIGA_BUS_DATA_DIR A_DECODE_22_ AMIGA_BUS_ENABLE_LOW A_DECODE_21_ AMIGA_BUS_ENABLE_HIGH A_DECODE_20_ CIIN A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ A_0_ SIZE_1_ IPL_030_1_ IPL_030_0_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ VMA RW #$ NODES 46 cpu_est_1_ cpu_est_2_ cpu_est_3_ cpu_est_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA inst_VPA_D CLK_000_D_3_ inst_DTACK_D0 inst_RESET_OUT CLK_030_PE_1_ inst_AMIGA_DS CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_2_ CLK_000_D_4_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ CYCLE_DMA_0_ CYCLE_DMA_1_ CLK_030_PE_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_DSACK1_INT inst_AS_000_INT SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ CLK_OUT_INTreg diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 index 9fa727a..9cfabe9 100644 --- a/Logic/68030_tk.tt3 +++ b/Logic/68030_tk.tt3 @@ -1,5 +1,5 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Fri Nov 18 19:32:36 2016 +#$ DATE Thu Dec 29 16:01:56 2016 #$ MODULE 68030_tk #$ PINS 61 AHIGH_31_ IPL_1_ IPL_0_ A_DECODE_23_ FC_0_ A_1_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE DSACK1 DTACK AVEC E AHIGH_30_ VPA AHIGH_29_ AHIGH_28_ RST AHIGH_27_ RESET AHIGH_26_ AHIGH_25_ AMIGA_ADDR_ENABLE AHIGH_24_ AMIGA_BUS_DATA_DIR A_DECODE_22_ AMIGA_BUS_ENABLE_LOW A_DECODE_21_ AMIGA_BUS_ENABLE_HIGH A_DECODE_20_ CIIN A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ A_0_ SIZE_1_ IPL_030_1_ IPL_030_0_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ VMA RW #$ NODES 46 cpu_est_1_ cpu_est_2_ cpu_est_3_ cpu_est_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA inst_VPA_D CLK_000_D_3_ inst_DTACK_D0 inst_RESET_OUT CLK_030_PE_1_ inst_AMIGA_DS CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_2_ CLK_000_D_4_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ CYCLE_DMA_0_ CYCLE_DMA_1_ CLK_030_PE_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_DSACK1_INT inst_AS_000_INT SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ CLK_OUT_INTreg diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 index 5772bf2..33a84d8 100644 --- a/Logic/68030_tk.tt4 +++ b/Logic/68030_tk.tt4 @@ -1,5 +1,5 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Fri Nov 18 19:32:36 2016 +#$ DATE Thu Dec 29 16:01:56 2016 #$ MODULE BUS68030 #$ PINS 61 AHIGH_31_ IPL_1_ IPL_0_ A_DECODE_23_ FC_0_ A_1_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte index 99a4f75..0cfc5a1 100644 --- a/Logic/68030_tk.tte +++ b/Logic/68030_tk.tte @@ -1,5 +1,5 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Fri Nov 18 19:32:36 2016 +#$ DATE Thu Dec 29 16:01:56 2016 #$ MODULE BUS68030 #$ PINS 61 AHIGH_31_ IPL_1_ IPL_0_ A_DECODE_23_ FC_0_ A_1_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl index de660aa..b72a354 100644 --- a/Logic/68030_tk.vcl +++ b/Logic/68030_tk.vcl @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 11/18/16; -TIME = 19:32:40; +DATE = 12/29/16; +TIME = 16:02:00; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco index 6081306..05984ef 100644 --- a/Logic/68030_tk.vco +++ b/Logic/68030_tk.vco @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 11/18/16; -TIME = 19:32:41; +DATE = 12/29/16; +TIME = 16:02:00; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf index b3b5104..548fe7d 100644 --- a/Logic/68030_tk.xrf +++ b/Logic/68030_tk.xrf @@ -2,7 +2,7 @@ Signal Name Cross Reference File ispLEVER Classic 2.0.00.17.20.15 -Design '68030_tk' created Fri Nov 18 19:32:36 2016 +Design '68030_tk' created Thu Dec 29 16:01:56 2016 LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.zip b/Logic/68030_tk.zip new file mode 100644 index 0000000000000000000000000000000000000000..6d92857178a15cdfaa60cd033a8181bf65126b18 GIT binary patch literal 7375 zcmZ`;XH=6xv!+)8>0J~FNRO0A6BMK=y(ZMqJA#NvZwk^8r1vH*(jy%L2uMljp@RVd zB^2o$Zuq|YJTg3n>^JIs*?-auIq>l6?%?Cy z!OeoCfZ{;Qw=N>icD6|KdG|%BRAT!jik}L9Jik6R?7diPyTdVGE+)gAuw7hvzJ^F? zs*Kaxy6@RC_AL0)^=07;^SRAepT*fHP7S)vxTh5&W>e|E9|V#&3Q-5)%n@l z-`0tk&ED;v9%S0)%KE`o8fnYLdwr@Z&~t4Zmhl-7CD*PS&MZIp^uYESRpRD5Xp|N z6Wn}uxp#hrEX`_-tY%~^mAS?qE$%)E+-kIZT_N)F2y>2UIUh>9&^EN=NOryX*T{8! zeO-`nJ<)%$T%};NtFY90Ge5mKbk!J%T~USK2*$8pzk}l zcx_NM-x}@LTRPv$)@mJisIVN+TpiSLb6TK&7&do3ySVY1#vpt7b?5j+5GTTNDydLq zFXR4hl>)8F``y+S<-oXF)QE+pk*Y%8)!~7~{*{)Y!-nX|p;Fd4^Zah?xAAaHlev{CrJO=h>U7v9(;bX;joXvyCi(goRJD$!BUlnKz7K zYUniC*r&8JdslQMAzs_Z%y2|oRHV#{>Td3h!9y~w&iMEnFdsveOwd{Xd9yB3+i%eK z>HxWxH}cM&j4n4XyM4aX*B>t4(oquYlWi!9THZ+z^+8W{ifCI}=I+RGwG*xl`NSLZ z86UdvLA(!l4&QGmd$)-5qCLwhHy6*wv@&DKK9oksbS?&|3S(CGoi|z>QgbsZc0^?} z2!RY;ee9Y~3@)lsK{9h(IoW1g+Ll~TOZT+wlLex;=VwNiEq0t7A9m&Gnzt4cCpzY^ z@$TwL{JThdeceDWq^|T0QR&o{xUChBXnA3vZ(-E$;85)&;1rf!@w4>AK+|I5v6Nxj z@xarm_|g_w7Q9+ycof zv$o1CsfN22pZ}>>1Ca5jWh<%9 z=Fj3Iv(RVJ7noDGW17907W8C0eg2Nxa(eUVRrqK?bHhORXhe!A{v;Aox~Ft7#c%D{ zEkTfir2h};iJsh-TNeiqgYKJ)9DX`?qmTkYBE=GM6W zT(Zs+Gth`ul7qzX^oSz|)qQI-;calI0y*PD1NK(;k%CFHjCJ*=@#voRQJdL@3Y%by zMjn)bi7a2*yoa^jd#hQhKPD6DWI^s7q()U--+{QzUs3*D~Yt5+(VyJBFsO zCNqemDq3k~H6w~eBn%2P^dgx7fp0Idp7SJFQrAl{bB{8L@wljkO(HnSVqV)N{4e9` zS2{wao#K*Jw=$_7YXA|_qVry!8o;gE`;PFr@hHCX$w@)hX024q=>4b328ivY2#N+n zSJn?VYwJF2jJ$LJKr_v1yVloQ(PfUC+6?&)+au+MqW1S~EL;|=7b_`U&h*t0>AfaR z(HR^ehQoxPBp(?!M}R@GaY0l=QzcfbGpku8Z;%X?H(h-rb>QUDW*?NYlj*nP3RSy= z4if{Vr~d2SLjWY>7)7{X2H-m$p_jxY0wKo_;YfFwYET}Q__j3_;;CYgqN(S`8DRnx zc|jti=q?0dDbw}t3*QV2RmbOCWDQF5j&vg&3`^l%>^=X2;|sBcvWbmzWZA~C%NYg-4M zga9U5?G0zcl3BRvykC?rh6M1y=}iJ*y%b#IH3Utu+Hc1>7Ur}rHYge+OD`M-$;XQ& zpg@S_jMq z%9J-iRaQsh>-FPy$wWo{zWQ@cXN^+%A7R|n5RJfL&@N4M34f99eCT9{;D`coP4>LBuqYlj>? zu*)-Pv>P*7mpX_zOfh2p9#GaXKFEX?rXDqxVBq}j{&~W9&VvMJz{%z`W`s$)s=^h9 zO6tR(6StCWImY4vN#OZ7-p}0W$Pt|lD+;JZEoSE8QxYplF){+O(ES-h*Z4s-nxXN7 z!-rmB46C(^6fkp_`-suvgq6*|68hq=JNw58Esv!4R$*P6Q8X^sKk#hEL#j^L7lmNF zF5Hh1s!t^bdnC-;Mv5r!_6)bmX$ZsI`Rhdu!A4xgfAZPfWddnz%pW9V+WlDJnrXPK4MW zq0$SN-S1#tz3>;=uF#yCqz91FBQ`!f<77>ibo$evwNqXjx2U}DPy$Do_bnu`FGAPA z8yM(QY_?@c)J@Gov;k#m4T=#Qj1Pl>KaeUyx%7MhmhPB(XAR!2lvOY>$OFtZEJ zKVb2O@%=HTM?)S#&TW2d`q#vJS9P&4$&stV5!-)Fry+%D(>!T0~9r`Sc^YFg<@PA=+YyRI=)~tQ%M?) zBSWjOAL@eDEHP+)g?nU7&Jlw>42&h}zB>C#HM8=-$~*5_c=xUZ8k%|xH(6p2+LpUH z!0L1#;I7R48Um$I#SLMDGZ&d)_K<;E7cYFF%4%My&Gfq7z?*aDJ6{>J1U=&F$LLs4 zDnre>Qg#SoW?Y`bh+lSdI>L~t!wGTl1cLe+Z%VCLveHyy2TJsQSMph?rblIk)zG8Q zF+wctA>=9U^(0uI_y91y9*zLjuK5B7sNcGw!hJcE^9M*L z^XQjVOUfYP35{LB?UFW;l?i;lHoJLkg?D~`U%eZ9!tYG=ndc7y+q?11<_WpXlv=Fi}pZv&kl zq!Zx(!is)*U+hhrM^-y(d5K&Q6>|cw%s-guR`KlJ(Q3*xs&q8TK9Yy%e^hoal|q4s zQV^^z%}G)=!netxh)alZU-s>6gQ4*kby^>7a|Yk!<0aba)6NEj1x?kUxK%{E9_K+Q zzka|5M)F~u;6c_I#6`MWWb0Ftw7z#021>!?!s#G8xZG$~y`yltldng!Ei%D(-~}*0 z4h_aZ>VNS*PJgJFa)0S6VbeGjW0uvt#>Pi3NTfw)B$dzen`C-j8Q9wxt6Wl&NVfhx zR{5YOa>@^t=XL3dKm1RMiF3w+6uP}KuV|fGUeC{B$hNQ>Yubo}`x;q7(mdUkY8;;s z9Xy-cVo3za;tHt2O;hbpa-8Q|sN+zc6nA_d7^AQeCL1Rk87)g#j6~r%)z?3p(hO&H+Xh{u$M0VK8M1a3Ru>xafCea? zT2?#JxczuwQ`IlYM3Dcsr_JXlg0uSqK*11#ntPA%d}geWzQ9d_o9gY;$z7~cmamWL zMfC~EntZP22<$IxmV2v{m1Vh=A-kmQ!9e%{`uZs>++X+;0zX~ybe?}?C1^7VRG&uq|Y@gq0JvJ)5Q_gdw)(gR|* zPV1r{FRq~0@s%L=4<`b5>31ilijHpvI!?~$?3Abv$ba@cSbAKDf+9uf?5hkrC|v)w zl1UwZdHT#Pp_M|D84~~o-pBHYMcCwo5kgAI?k!6JLuC)aMAbb(743VmEgj}?s2)7N z{G;K%ux7Ymc3djL17y+_YMU%U-;?L{yv&Q;`BhSe-0LnsbcSZL-l#BmM25!cei6xr zeY|J%H!pkTBr1d)N=1;UXV#JYjzbY+LD~v}=(ZS2jX)oKa$UJdDT8cedhbd?$*~-~ zySxLeP|)>Q7%@5{gTGE1R*R`2?Qtuk((5g^v(jhZ9yg3-?8525R?rDP7^f-IhfRSE zL=hJ+?$YuGhL{)2aXIRqW!JugD~pFA*85Wdi`fpBkxgawlIuTfBNx-rz`r29q_&znnEoLPBL!) zEwllWMa;h4@?0bgw;)W#EFX{KKO4yI23zDl2AL#7Jfj^R7qPF7-e*Tm+fo3sz8SKU6RMp)McTy1)8rT3;8oJ zZnE)PKgSz;^AM+YS5=j#`u>T>xj)qJd7db4&`goMUw`9pq91ZsJ$lL7y-D zfdD$FfwRqS4A!mXG;JNDoTPel@B0O)?=b?}r~*b+2_% z=jt*5#wx3JZoB{fk7bP&M)EpYNGxq>@2>vn0_4a$Qm{K%B3h8Z(u|KQpn zz+vE~o_5~o)u4@E()01Jdj8J~PX87$O%cSw(YL_IVO1Wk1Z8^C=^=^D&ICnvo4R@l z<7i@fik3_7B}e?HN-X@wRz}S+>i>I3UBBHWLRw7IIxm~u>@Kdtg#N;v6l1*S-_&AB%qb0vl~dlmL?l1!Zk) z#^RU9K1irj+I!@Yao-k4(*?e;4}9Oxh^_{4Aug@P!jpbeV=0J0@`tC7p>2*uPw_N< zxi*LmH+n$n9EwsjaKG{`qZ4gSo}a`tl-bwUf}RAZ0JCe2#A3wJFV{WToyqSs78s2S z5lu6ykzDk8-FWA+3+WA^GlX!ytiLjrudcIuUTpM0<>q7_7bvTV)N5rP8d8S^Mutf8mfdIc$p&} z1@w@&QUWeK*3yIA8b-**i&vtlQt1;x<5hdr^nB1zSaI0!k*^YM!R0aS}Bzp+!}^WBs@{3=0%YuZn}aDmAYoJgSi6<7)AQ^>*yrhi*)V zDR5jb;R>;YM0&ZUN_Y`cAP8sc9_~l(8pjjH!Ed33hr=(kECBpTwvh*gW05xwfF*o} z5d1njhY;6U$N>?S3B{?HMfoZf^{o(@!C20bPD8rq{vuPXh$L3!6^HRZjFcSq zYDqPJ2*^bA7NH=MYTQ`8Ko2v0PbGo&Ba}d&M_uhchBEz`+u|IB&&uYz)SpOwhdEY| z(c|6H-Wlbg8cI%W-WYPC%Lub-=d_f(#!gJEF}id*8f3xVk+)q z%-$p3I#UuO?OvBw zKZb;H1}lHIFJyQ(e(x|Bdos#w^w;5%(ulF_Zn+aY`zP;$w$5G(tlnH&pk0NCy&eVG zjVA_1iK7Locw8$qp-oB&j0v!V(JJY(dW4J>fD%SeV((e{R&!ykT)Ty_f2g@)4LotDX-0gCQ*6_Ag$S7X8Hcg zhhTf9ZD(OAl4P7fGybL^%Bmf!dO`_@nnbT4z6T|7bzKfKJEtxlM?gt_rlt_r+1UjK zEw1DTO|@5x`+n1@LhcVgKhx}+(_4;)KAVHFCW2h3i;Ced(Sur7C&Bn24?3zDO#Ktz`Cm`Saj*v&cToQ8p+WihlC3An z`Bk=kOPiWtpcTmeEcdhMRRLsYD~JLpfK7mY-+w5FwLyd@$aX_(216p+o#{7 z9|I15e^HjbCJ{$rj`xXSLSH}ww4WXB5XG{wT+&+ihUzb*+dO=54 zq4X0}5H!jAj93yK#`@9p&T**s3hro%IwL0kujse;bNazpac!g|l8Cw?W*E#xb_BKA zEm1Fe5!U>fVdblS#J&GmcKpQMN_f%D=r<*jf`*~H<5?J z>tkWQ3lSzrR(BK1GhHz)1k7V9roT5$Zv|K~t!cGX!Wd`BaBcLI8J4ia8j|Y? zFT-`1fZHtg!u1Bug%r=!rEqQD`n`=g7;#TCh@K^0*rm(U6+#(mGeoq$UpW(VfPfg3 zi=+3IV#;_Md}F9%wYkCZAW_I?K8$dBUo*&sHeSCkwE2>4bMPg5$JbuBvZ-!Nd>%J& zVNXA^LCjq!$#u1=H>FI<=36R!AGd`B^ zAd2#8JYosb9Uop1^cw9^fOEgX+DJ#y%~ALAo1Vs!f*alVeiJVL^NV-Nt7j(<`7Y~s wubFjT;S(_6{r?w`xI0KZe7yU&1Mc;IuOoF{5tH1`;p5&ixG@-aZHb5XKkI>pVgLXD literal 0 HcmV?d00001 diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 index f0e30ea..df6413b 100644 --- a/Logic/BUS68030.bl0 +++ b/Logic/BUS68030.bl0 @@ -1,4 +1,4 @@ -#$ DATE Fri Nov 18 19:32:36 2016 +#$ DATE Thu Dec 29 16:01:56 2016 #$ TOOL EDIF2BLIF version IspLever 1.0 #$ MODULE bus68030 #$ PINS 75 A_DECODE_2_ A_0_ SIZE_1_ IPL_030_1_ IPL_030_0_ AHIGH_31_ IPL_1_ IPL_0_ A_DECODE_23_ FC_0_ A_1_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE DSACK1 DTACK AVEC SIZE_0_ E AHIGH_30_ VPA AHIGH_29_ VMA AHIGH_28_ RST AHIGH_27_ RESET AHIGH_26_ RW AHIGH_25_ AMIGA_ADDR_ENABLE AHIGH_24_ AMIGA_BUS_DATA_DIR A_DECODE_22_ AMIGA_BUS_ENABLE_LOW A_DECODE_21_ AMIGA_BUS_ENABLE_HIGH A_DECODE_20_ CIIN A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ A_DECODE_15_ A_DECODE_14_ A_DECODE_13_ A_DECODE_12_ A_DECODE_11_ A_DECODE_10_ A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ A_DECODE_5_ A_DECODE_4_ A_DECODE_3_ diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 index 252ebe3..1860a37 100644 --- a/Logic/BUS68030.bl1 +++ b/Logic/BUS68030.bl1 @@ -1,5 +1,5 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Fri Nov 18 19:32:36 2016 +#$ DATE Thu Dec 29 16:01:56 2016 #$ MODULE bus68030 #$ PINS 75 A_DECODE_2_ A_0_ SIZE_1_ IPL_030_1_ IPL_030_0_ AHIGH_31_ IPL_1_ IPL_0_ \ # A_DECODE_23_ FC_0_ A_1_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 \ diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi index 6b9ddf5..64d4f60 100644 --- a/Logic/BUS68030.edi +++ b/Logic/BUS68030.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2016 11 18 19 32 31) + (timeStamp 2016 12 29 16 1 51) (author "Synopsys, Inc.") (program "Synplify Pro" (version "I-2014.03LC , mapper maplat, Build 923R")) ) diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index fdec7cd..3c00fbe 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. #-- Synplify OEM project file c:/users/matze/amiga/hardwarehacks/68030-tk/github/logic\BUS68030.prj -#-- Written on Fri Nov 18 19:32:22 2016 +#-- Written on Thu Dec 29 16:01:42 2016 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm index 0f1cf90..bd62af7 100644 --- a/Logic/BUS68030.srm +++ b/Logic/BUS68030.srm @@ -188,8 +188,8 @@ RNP3ONsEDVHC;R( RNP38lFkVDCHRDC(N; P#R3$VM_lRNb"sIF "R\B\:\ks#C#l\\NC0x\l\NH\oN\sEN8sINCOEN \#\ndUjj -0\H\o0LEk\F\Do\HO\jnUdnj-Ujjj-#Lk38PE\M"\"N; POR3DMCNk#b_0.Cb_l0HC3Rjj.d46;jj -RNP3CODNbMk_C#0b04_HRlCjj3jjjjj;P -NR03#lH0D#H00ljCR36j4nj.6;P +RNP3CODNbMk_C#0b04_HRlCj43j66n.jN; +P#R30Dl0H0#0HRlCjj3jjjjj;P NRHFsoM_H#F0_VAR"zU1nj"dj;P NRs3FHNohl"CRAnz1Ujjd"N; P#R3$lM_#_s##HC08;Rj @@ -202,7 +202,7 @@ j;}N; P$R#M#_HlCHG8MDNo;R4 RNP3M#$_#lV_FoskHb_8;Rj RNP3M#$_lMkOsEN#4RU4 -.;N3PR#_$MD HMC8sHRj"{jnn(n-UUnjjj-Ucnwj-qnBj-c6j6gd Ag}(d"N; +.;N3PR#_$MD HMC8sHR6"{jBw.7- qgcg6-(cg g-q 7j-(A476g(dd}Bc"N; POR38#L_NRPC{P NRM#$_VsCCMsCOOC_D FORN{ P$R1#l0CRN{ diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr index ab5582c..d27def8 100644 --- a/Logic/BUS68030.srr +++ b/Logic/BUS68030.srr @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Fri Nov 18 19:32:29 2016 +#Thu Dec 29 16:01:49 2016 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode @@ -49,7 +49,7 @@ State machine has 8 reachable states with original encodings of: At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Nov 18 19:32:29 2016 +# Thu Dec 29 16:01:49 2016 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @@ -59,7 +59,7 @@ File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\synwork\BUS68030_c At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Nov 18 19:32:31 2016 +# Thu Dec 29 16:01:50 2016 ###########################################################] Map & Optimize Report @@ -101,6 +101,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Nov 18 19:32:31 2016 +# Thu Dec 29 16:01:51 2016 ###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs index 63c82f8d2da384e556e8c8dec01bc81035d244ca..bc001f98526cde0cf2376fb944c6beae9262de7f 100644 GIT binary patch delta 11461 zcmV;$EIQNOX5MChABzY80000001JFlPixyS6u;+FJQ4yMB*u2qwDDk z2(ql$kI0gdp2EW|hkZ&Q|6lqr6q>!iChPMxc?F=fU0qh&lpgn7UzksqwLlOQ}4v^nQ#5!Tf z&UJ&wx*>SCdk@)myI#goq;g#t!UFECN>~&F1b3o~^ctkCfEqqSa0(?mhRUhF)s`e7 zi^L^P(|Ob+{Q%_tPuQ|4XUzbmhCVPIGKW&mSJEmC7o=tsB<uBf~_#bunXbd=J^iggBUbEY(SCMc5&O^oBc`W-e4GYj;cH=jX6|rLm zHdrEeki-|8%pSiz-#9{l8 zB&z(F3K94XOBu8fCECq;yf|9^Avk-Yf9PqEtHUqE{@@Y5Ur%243#a` zQiw+SDjII2I`eRIm#)$!orS2mlfD$fxEj?cadDpSKfN5rrK56fmqfd)cDG9N)or?+ zeAvGNv&#YR0R-3tRY8-!11NuIpMEB7hdWN25blIuDV@^8hx6TQcOe9)7ng1@z48vD zVA7z$Rqx6(F6dxFf>Gnjqdnt-T@A>Dj;zMTMxd2yTvSA>YTHO@g(*Wv*eZEy6r{?+Vfrw!JguJ6JvA-m@l#XT@a& z{BI;=+3DlKV}|EjOE!O{udVYg_HiUyvR-d`c)Y(0+;iG`U37P%#f7ZzkDlQh?EYXF z%pF)BZ3x-V<=4rS1%_q)k4t6w>2mV*z}8c?HiF4|?NN6i$9ze96E^+2{nPihp0{+x zfR09u|HU#mww`C)f0?+0fclT}d4u-;xcAxe(O`%5bjzqW5bA%r4JsIl%+S$?#Je*4BB_<~ljoIEZJnzhx&w%SQsB5q4mw=gR zC3Sn&0a^~+5hV@!xR!Oq<<_`1*Vgo~e4GTvh%U1Gr278nOZwTAe3_Uw{GCU)&-`{z zLdP85(rACaf4=1=al&XwZ*S+%dxsNq6qfr4bdC3G#|P^*Z}(wi2=uY0f2@5rT^@kp z1&_3`7(GVoxc;GOSB{}p$L@F??PGN;j@Pkyvkn*|akLIjYUsg>=^;dV2p7zW`VY-< z-)!#r&@pL0V$J^ep~?5AL(O5-Wc_jTp}%)bZeo9%J&(fhPEaoRZg5XoD=t53w$yyu zIG+UnMv~4VXHNvop`^7c`W{6nPdua#%Q$!-AT8P;@?GAdt!TJE@{Y)>%mwj<(`0|W zbjL4Ivq}BGx-aad8I2`PTfM{Nz@}dC=-;c2Gq0`~bb*4}4>?-Rn`&Uv%br01PR zr#zF~2WJA!1(QVxQ-2HR>ES2q(!b|e|HHidcU{|fPn(B!tYQ*^_xf!9{eix&((j|! zRf%tnY3r%~lg%H#v)9oootK3igGD?BK#w%}tm{X!_16oG!89HNl$m_9dvSihcG;M| z{(fO}-2Kg7UdN=%s_KyUM%{Q0!k8Ar4gAHjcim?9cay$!Uw>J%dDpEg-|aTg(5Lwe&gEX1&hUlKpHOByds9^Lm6uk0AL{h5PBX3( zsFlz6FHfqzw63@6fjTa+4j$J5leFuPyV1`+pJKT3?~mGs8B2alS_{^m|k}Zr@+BH4!d= z1&7Xe?)>^5QTcBkRr8Zyt?!YV>rMPlK*_vAyQ|KqTYp|VpkH?7ngN=%W16*X_}lF? zo1GPHuDZ=CT7|n%SxdH$Z4an-_^+wwZ%5XDNq!G?5v&XJ4u^KGJB{mdU$0x8+W8uF zu50GKKiVL>+rOM>&Yq*F)9^@DchS>rlg(Stw^{qBkJt;)!N>FQ9i5NncU3ZB-kRUl@tyJAd|s*Z_&|H< z8uqE-23O4TU559m0eZ8&gYy2C`DDh{TX$qw(6-;ZG6L6SzBOUXfIJ#>2Rbm;MWC+j zY=6xx*NETsggTjFnLrOd*v+&BW&$%nZYdv?F2OF8COuH zyR;bcHDXh92R57U(=A~v7);&SfVlhNv3dh|dNy#U`+>`)9C*9Gfy)LncRJX|_Qc!c z@Wpr6Yi0zanS1ov;PP=MJ}@D5x3~MSgnuzJ3>Qe}$h#c~GaA;>`VOvZSf`GEXtBgD zJBgK1{9iC8kL|Abh_TdVy#iMlei{;m4zsY_*MeITH_P5705?#jophTX~ zKZ!x0zWI%H?;7KybxYkC%iOW~>RA2fgel#et>$;E(Lbs00{Z@|5gmQD|Bh$B<9|$y z?p808Hl-I59UU{%V1cyDjy$)!XMx8agG;tG z+)F>0T)4~|JzgB0XD_&aybRbD*nfjR2`=3!n|wV%1{gfH_uhW4ecE%lro{=*0iB)H zw^Qm;?-1)Z^y2Jk3Pc!Bf)3i9`6K0MBZ|gR{Jc*Mo-~eL3rYvaCULwJsxd4 zp*z+vkIVSS3-97syM35rv;py57!$_!&-*Wp;Aq|5=vOR{U{e45a=#6ZwSUtkY`*`y zNlwsBI^y~y{oz&O;iu2-(Xnl^PM0-T$cx3F%(xG>UyhauOoqNJW?XKWH3(p_4-Uu1 zq61^zU$H0h?Klrt&zkRemY?t}%*QX}xufUk==f#6o8!+hF32gg!2Xu{3qN(7yDVOx zQUBM;Gza`kV!@~Ac?mXsihmv!*Li||hjoVUsnb5K&fR*EyL zNa|Ki5!;lf^B5jH-h088T^i7tz`dNXQ~6oUn`8S~(aJG-g5xkAf0Mc~iH?s2=r`Y* zpo;-L?nmbFF-~w?#$K8Parm8peC)k(@M- z!Y9m|dH(T!gfrjsj_O@Yu(4*7s}3Q5#z80a{Np;|3FC-5zj=br-(-m&=ZHLe#MI@A7kRSf~rFW4xC@P0r@b*$Lm-k~N!c4d@hqW#oqt z4!!dH9A2;`8P)*y9ZjxFKJ-@KfG(&5HTu-M!g0ykF>@H!n#R|Mdp@F#buJdcITQM` zT+knmC#b)@%3)i%{?0g+*M+qot`*K|hUn*Z#Ny1sXMtwi!EEXRZ_M>k$H0oe8I66f zq$&N<>)7vLI_NV$a~5(e)$^f$yLTub=EhUC>Fh(k9p*5!aOm*V7rfaMXGj)mEKk9=DPMFrWU9OpLxW*4RS6_<3#q_YmNkytpeOg(48-jj+3Blv~tb>u8 zCxaa<_1UGJRa(x*e%Ow%<8sEqbqi}m;&xcpWl*VWNsJ*azp&f3vvAG`&#jF;3t^5( zB|WQq1&qz1WT@62M_+@YZRlKEpkKk^a=pBPwdLBY!qsjgHmA?G5>F^%`KY@--_CD; z(du%e=UcUYMSJHsI~citGcM$N*I{@+u5)8q*(UlBVm&X9@Bd=`2z?1v{Ymgaxu#%j z+88?sy&`HJ6J5VwpwAR9jCFPyyTxc0$+gr~@75I}^QztOvKZY?G4 zA&Ga#-|i}}6@F*)ONjgfd{)kH0ZYuy=`)Lc_fXHA-mOYFfPd2jgI&k|Zy;afvCx|{-WC`q zF=zenh-c#bTnf4!FKHT+Fka=qKm25`^Of}9*4FnIYrg1pHGi_d#xL1tMIA)WCx@46 z$McD{!s_9*M4M<6U|*3Ai@!0}Lw#3sYGObNUqIbvh_Xt5@j?RjgrdjU6;*n~Uhzke z>u957dId@K3K^Rc;`_rmIdjqc`4`^@It%0exb80rH?co%B~@m|LOa->^vKv!lL9 z-**ABej(p~H`dJ*Mnqp%x%$xW{;*=N+m*y&(EpBeNY!;U-=UiCkfiHZ*@pX3z_FhS|JBD+_4B1i_o|R+I}})~}LZ{cSh%FVTKiJ)4bbXmhA5 z3~i?!*Oy`Nd3_?g4w|k%GuZ@nn6+T8Hs2)E)yU3&2KK*${wg^)L4^jM1N}eOSN%GU z*@xLg(h~Jujm|WgZf}rP*n9)J@R?Y%sWH2meCVmQ)qLqNhPp|z4%Sz6Iy2a$_u)qQ zV_sONX%lF|SWmINo2Op9#yVic3ud!1iP!VXigoO!Cfh=oVjjZl3DCmM998~TuUgKM z>r5|ypVGB~?;73os&tSi_Ha#3d1g-|&Atdh%z|DV!cg#7kBVh;jHmq|B^j>lvXae} z#7JvAUSPZkyrn4)jt?seCA(yR?wPI(rBgqG#AiM$&W+voxMa-A20+R z7|W%UQQ!Mi$t&5nzaP4DrB6%j>K*;U`bK>ji`kPg6du^pR{?Fch{h*P4m^T~V1m7W zIP)jL`ZAVG?-$0a?fY5mn)Y2ji)*^e9{%3WGhZXd0HN=<6t5Ll$EHEMm1EZ;dS5pj zSOkZ)yh9nQtwBi_OFCF8`KPYy^Goge^{?KcF&{mS*R&K{5*oBN{!#IWct6N#W36H{ zQC{L$>iyTRYqAn!h*KWt?70{ZKca6oRgA?yr(3LB(a7Oz0JiDi;B9@MJkr$8b#^-f zw)75&*VcLH4tc`mBgW~@r3Zsv4%#h=@_8G!FVH*NDI-c|B&;K!Bp1UjZZ-~B3D!}W@LEk<-)5&sre#=dIp zzR5pAjO_zCiGgotVLPQE&;b&;5e&vx@ff1NUC|QWH+5OLu921D4)y*p+)4Sap1J$c zl)QgDxbg=nJ<_{odVkxLn2(h4evOO=wH+*3prtlgvx`g?u6y1D=MjX!pNdYro8Edo zN#(Fd*AqR~Rq-FyZw>>p0sfD1%V%rhsB&BGb6r2Eq*2Cs8XK^7X#s|zs_i5(V7 z)71o7e`bUI<5b)9VDKwnNjg{2RVk)U;R}DMzoHH!(CY)eH`ZnIvFermn>yNBM`FMvjzOo0~PPo8u*99<}v$oVfE8{ij<3)c; zLv=A7@C@{ASLuVP!4+!pp-hilU_5{5EW|?DhE;JPxQ+tQVd>y1_WKw5@O3h+jVXCg z->#n?vN_y@NLm~0U1DPd!eG3B2gz$&k;QfBu`^j+z}a?CV~Rbr^|~Zowv$*6kU8mn ztXIXTWO!2!f3Oj=LOPSN$8kRoKfZs;dZ+Xd$Q${tC03`e~^aw%d0B@9fB1@;jvEbOw4^m)wA;fbBIrT1S>uwj4rDbHX( zHU3>kw-PTXjDJRdpyh-J0GpB@<=&NnEK@e(`Bfg1BYj2-JdQ==IB!?uw*V#xCRvFO z+Le6J%gL{q%_h?iZ0lJJ6b+p}+Nbcx?A;fF!@656gp~bN@#ngISb4wVouv(mYqH2I zCoY>dzNU7my+geQ?b2|U;5~nFd{ep8_ouW6w&z(S}xg zW04+Hj1|Ut^wgBPGVY529X$*kdx5Sm)RkWelQ=EXWrDRLe@Nq|l74>_e@nYP*}p5> zPwvTe+-R2lm1`&Vx!^;f`3*H$q_9MJ18kV$@0=e|_uu4wlO*q(RDL0X{wU%g4_3G) z)Js6GP4xzaZ3>Hty&z&Xtot2fYyY-=0v)JrA8zK_*M5^i`xHc*)+W*KjhI>T@y>*! z)k{QzAxgQa^-YdV&F_D7e$X$CzEIu2oZAaw*3$raiv~!62DIPMrm44C@E^ageNx>% zDYQ@W?Wc2LzCjB|#-8AuR*yXq`LWOa-fMozx5nYvyOa8NCxv$>mG6#pnEC$AliL=O z@+;dWg|(mijegjZ=bapBzxv%_n)B@wQ9bYc&3Pv&CLw)LAg?XZmPH=|`5Egppoe(4 z#}V{Lf}datON_Iim*RUoKCJ1hSjm{KB3`qo^4-jZlRg?{fBQto0&2@v@z6Scg0Tq- z8(E;2MvmX2JbvNP@e6CmFRULweZAp6C2Hp6a5&ua%G#vI1V-!> zM@g{%B91^%S5^JVVK7?RnOiN3q0eTi&phKFnU4d#@9>|w!7N8PJjnJvm*mx|frif#u*TNjOVy4)N=Ev6TD{g|(CfZ!#K3wiI$zk&GUKYwF z=5+D6f4#`z^fJzCML;F92QilOT%I%HoplMDPAi1fhkxa zf8I03X|`sD?Z$D$p?qHPBH`E^_^-ol0lX-V$-Q7fim9vknUqhEJnv{+r1gZrQ-S{= zSTE!}D4pK|zSH$6?kSQs?cd#bQ+*=tspPfX3yAxe9@h{1*Hv8(soM5PWqTxPk7(_Y zcehuF5suL0h(ZO-nVzjSEh2Ud9(*SbTZwo zX~rW=bYpYSR@zA%Nk1avpQSacVjZjY7jA><>&Uk%_9Cw1{5O1qV6$UxOci&ncuRpl zDa^3Md*hg=<2*XG!7z@82*ihNY*UTx*WN+$sbFv)R><&!_$u|bdm4o4nq!Bre>i=E z@IH8R-DYj0p^4$_aqOP18y5J5T$dJ|(6^p>>z=mn3GGY706!w`nE9bVECF%KAfmj# zuBvUW^TQ>VF+CqKzGv*xbk7v#LQ7*$LrfE7h6o4iegtI;Dx5os8=vTSx0&d>3M;QG zJGN-LA06|tSwnjGxOMSeHO!VTe?p+KGiQ^^q6CMw=)se+1~F*N`ACIGWe>*uDJ&Rc z0AA_C3jOQVx!F`(yA8Cp1DSC4DjX@tiO8@7m46ZRWQorvzN7+n8)MPHYfr)ygl#*Z z%h*Ine}lrKiQ;Kb9!>j^V9+HzI=83#1iog*`!UQjCywWwGns2~sPhQ5e>WKIJoUXh zr1&Y6eFyxwjmddrWc-=vsF*n%E6kbM$ygURaR2USM5K2yL~hu&9c>MbZ~XAFg#=s&*ej z-5!Kfe0{}uvx47C?v0T)e+Kiw78tzC7O3mj5&IHH1*nHh+o0)`_K&Bwd*_NOTPl?^ zOo-uAK#MXTqub8MELHY<*3M#!>#pl@P&z(Hf5MY6ruu%{d|^wg zCCrWY=A`l7RE~F{Y`hQRCF|oM}2=P)Wao~vM@3Ct3fE;{$Jj^_Zy*8F?~S zG4AhAb36~tP{zXJ_$0Qll<`2LwYh+k7y!iNq@9hvDa`3WZW-ouPW7Z)8u!88r}?E# zY7bLC724%8RyWaU_A#C(fbY1FaU-DL>|vtlF7{7()`4by*roZSm%}*TpJev^NxCb( z7rx^HL@nqbYTVk1zg5m(+K2W~es9BjVh%{qN78&a|7PwM)pNJV=5Fzm=^;daXR+9A zfR&=G&Efmp%;Eb*za7}jD*lntfvCHl=HW(tE6c6d&&8Xauk!I|>wdMr0Jxdt<@@KT zt?a2f9F)I-gYqgIl$UYP!%a_u9rtVyfE|+9fe2_Us__h7#xtsXvAXS}>;A=iiLEd0 zz}7GL8*?^-jz5GT7#4D)dD%yQyk%1hpRe)5=AOy7cUr7+9cHn$viMegudYL+Tg%b+ z|Ej$h`rh9}hDo^w!d=pkzW-Y8fgpmb91JRdldhvF+Dqb~axxOJO|}2o-Z(N4w4Jv> zBHTv?bW;`gDc^}Qo?&E>++)U*b+6+d#axjoHfd+rB<}sheTq^sPjsk%5}g)the94I zId%%iWsFNbu^Z4*zN(rwvv-MgBUuL9yccx4{*uzH)VbBzsQJI{rT7K2I6csRAJ zGTm#P`WtgL+NQ>KtGQl(XQMK|t>=PFWE;!`x)Vj)#Fz>_eh~~o$-mf_fE@Fok$};< zvNb+Dnfp446XH9BG{blva=so?=5@vKDL$j~2OTfgc?#;hg?l->4mcc4*d~;DK^Ez_ zAbpmwivCrWAE4+{)UU0B+PLFf+nB5X_jQLD)1K_>uFB_D^cxg^+f#mnQ~H+q4M=+@ z_mrE^_Cm*K$eS1qDPc6ExbLQDXJY=MDxY>CSBCWGBk#u7BUts4BKB^}UXE!*}&ri#g8up7@%+jZK0Ii)Th~Gw+*_xn{P56#po$ zu`OW(#Ln|^93bO=21X8RPrg5?T$70ny#ZZ8ZZ1c9&aLm=aa{)EMRYx+xKC0qFX`;H zgvDpMi15r2lV|(yB`)6|d0gT=yL)*-d{%mGb<5{}P8*?X-SHB^iI}TK?sH zkBaN~7mcr}PZc`}HWzs-Ms%ZLv7@#7&VA^=O6z&^zPU>CXvFxJu{4$kSMg-}x=JxU z%neZFn?;=ldMfh^yocVJt+=h$>#Edmq4m2h`)x{n5b?sIPKWl{54*fiOT*A?#Rob! zy=%p1DYH9&*0t0HbsVlh8{{aqr|UIZ3|Pq@j`~gA^@{kWT%S^Wd||fHT?{h+O!ROu1M^xwfNpoW-`4V{MBPsi@ zRjwc8nG{5}x`yi)wB)Y7?*6U5sp@>oS6b4E6TX+`YKi^EX-oy!o+%Hk;ftl%ry73u zE`ERA>Qw5XhDbC+J&(56gD&ez7j7s#sU4UnJ=l@*P#ax?gc!+WVLKMdUuetY3P6 zJ@`HU91$5d`UY>|1>RDy&$atGj8O3w-Dbwz$Y@gHe*F|H=jaN=%p{J=Usf9ftbBEK z&*bu^$FUSyTy71vq3 zm&c)upHsXISZy0~Jhd?lb0c$C@e^`?G}Jv)n^(MI?|(5LWqPfS?^flnRPiu{dzHUc zKA$Q*T$hoff%qqQfXa*cX091i(te0>o^3r3WEDQ9`@U=FOzyj{qt66WuFDVqrk|oJ z{$BYhM3tXH!#UrIjouY>#fDWm*QLGO$vo@V_3xFuU>y7h@sp=&{3Lvby`|)T7Pqv0 zhJ&T6Y(-sWCC}vKnPx|(@j{K}yYgzu`dWrnmzyD*Pg2?+P`an+fn@Z6=P8!_2|1mA zQK$2Bw68HXUexIP;afU?SfleV!`P=PQ zLd`$Z&2+6$%GT<8q%zhzm1pRG_9Gj#QcRVLTE!cik&IVK*6)B;+><#lpT3QyKgQXc zh*jibhztIYgd)@(*19lgKF{0i~1bDWZR#KUq(w z80%AOix<~rMND^`SL%(tKj=?@d9J&@C3x;j#d=ixxvFwK7j(#if38x`B)Z@JJ@lIS z2W=DMW=&8q&Nebuk<0|x3ZAdq6Y5%eo=qXYed=RTd%x6qQuy30i+wD*??vsoj?37& zvz@du?Od6~Flw^?xcSiE4^4lO@)xo<)3Y$l=H85kl#Tn%hkd_kYJ2zv-#DmCgD}qJ zOO)}V?CG10`63cIxW?Q>ne2&i^gXj>OljTqqJ6z}KT4XmESj_RyC3toJn{}bhV>&E zYO%T}%vYGrR}%jcdTi!t=L+F9f!7*-^*YvRMEOk)%cZN^!}O_{d+vWN`?`p!y+OP0 zl{c6Km4=DEf4{J4KiQbF5_>5n7=K6ziGCx z9^b3yU*B+P``al7skfEGfXe4>R$hBcuaL3bJlogshHgLtQI`FAY_NRR==M;3{rr&6 zNx#!D!T~zdtY9$m4q1Ex>Vop@D4z4ZR*X&QEHsjjiK@C@dERMV+tKm1qnfs>u9vQ< zwu|yKUUMRUrvnsY<*^>K;=StDxh8wHywf{^I@lsUb0UWqskx!vLAU8ijs916T~wXL zo9$0Ecc$E*+6F#7o|C&QpTwNTzvtumosRt^GX7L~T#f*IVj?U z#l1lqPVyf7Fl~PnogOQ$(MXEbx=Tah#rTdXP4pvbotK%ij}?B;`tyL_vSgtqqmvQ_SM{x}$H*)`d?mY>- zCk$LLxnH{$dU#o8A4+Z8@_nIg8{U_f+Qy-lJjhzQGykI8KH)oTe}{4S743tPLy;#g zXRdY8VC!B0qvjz&EvIqJZJT4tdl)tItScO~-%|{oHpO}MsXO?C&46}Y-)r=IlQ1zF ze`ZrU`;hu#jDzPf|Iu{^kBv{CK1o8ue+@$6r%*r1C))T#Bt^zoKEY4=Ns*;!qz}U^ zHU#}7KFP200a^A_aufbRSs}|xGKa^BY!``L#O)GA_eoh1-;`zH(kHb%;BjbI#9b2D zF8P#I9QXNC(nS)tn^sM*>?e6E?Q>SKe=M6kD&n%AWZAeQ&fwA@p~_;n;$G-w;|q=d9#J{~PDZCo zxu$_B1Fd_mk~@~mrWmUZat3AQ5>!X8HK4eOh4Te5(LYsTt4LaIJxAJk%^TcvlSne1 f4(FW|o73`q^`i(6Yn#)P^D<%x_X1Jv&#M3cf8en9 delta 11440 zcmV;hEKk$kX5D6gABzY80000001JFl-)q}25Pr{JaU=vbNP_KTY2&ADA%nt}j;7s{ zz{s)^ACV;^otL@fkKf7b3f;pVgoN(CANNVq=@eS;NGtlg>#8`S(WA*|0((sc1Hr{3 zk+$G0eDMf-_Y=}vNH_U1%a#6QHM{)fMZ4<8@EevgXdz0p>(y{kSpE<^`$GTH<2+qXC25u=AC}n**Os|>3?GDOBt0`! zHh7Xk)Y4bca4prDi|gBDnat@d#ECQMN+FCpp&BGE&h!1}r^C=xDA%-$XqVM)Hml8& z*XZN!HvqHA0q+3>V1lYlle_~cf9DzfOxg~2oHilc3BOW0rH2payVq_Y1g965u0Or< z4kLfkp#D|w$}=wLU_$&+rH5* zJ@R{3)L?(img{@C*ZO+6`9NDYW9P=*8iyO>=KlZrmh0c#-22|+jem1-fA9xi559Xb zzxiO?h+V)f@#(|k&5gIUE-oiGH`Do}cN5&~#3wFx0(!gsbZK1lt_C+Zty!Zb+nf6n zcgD7BV{N&n$E)DpMhPAc`^XR=RL{H%Vx_H+4lGG)GDS^wiwS$?{ld_A!Bl&uYavR-@C9mp|X(%ytkzi$7Wd0Wq0 zx?(^_qsISY85~>BGw#1k+<{MLkMeng_Wrn^vE`$|4(sWbQEwpBfAtxR%*Fj2Ce8>< zLel;nm;s~VU#Pw_F7B=Eq-Pk1mMS}$G0&ZSI6!?Z@jqg>Ywvrf%ozFmLJI8UzZr61phBU^at{8Eg53e^lSWJ%H%y%Q&>T-Aj z=hE=#AJ&W=EYE;Re{spRea0)uFBHx7xa`|F+uOzS-r>X?h2=g1UE}@Q@xgk{+kMy=0)4FMAL|*LE)T%) z{72ddMvu`tu77CSm1C&Yu{&Nz`&b>p@j5nd)&XNAj@H3R4Lx`w0`~bb*4}4>?-Rn`&Uv%br01PR zr#ueLlimkq0xblSMF>-Wf%Ek6lXdCe3#|WP(fzxwZM>(=LpxS63Bh}Pw)p-)Usvh( z(d(+jx5l*f)c?sA58v7AXqC=OAjcqx#{lS&CZBcvXtw@(fialIV}LS~Z+0)v@7FFH z)7RfGjE=j%*~{ygbXip$^4_Q$&p{Z|Vz`07SoW^l?EY@jm+mWnYc}t?b>+L==3Nuy z@ANYT!41c)_{c3)30C(8UwVY-ewZD!%g4itj_69@c5b zbpo~W#s1|<)tA=wRy|P1CDy^?I$)A^{c$(?*(bCM^iC>iv)>)Jev&%5C(FayuHwP6 ztuSs2DB13hSJBUZ6>!$k_-B>wvVuO;%C4xt8aIAcwj;;dj@tps52Nw-CT&LF#|LU{ z8*X_lzPF<0XdKfS%<~TC8TC|PiqziDSXG%;tEtvkDD}*+&0n1F(F^?^RgT;DmuyXh z0kGiE`OckR-yhbo$F5Hy4=_6R;PBp zMxEG!=??$Y@0=-}n zb*L=YJ%43z0Xop{RMEg9`t=MF;I-dn5nDz5)}o{MlP&EcduK+%N$bM?v!~`0eYj5B zO!~#HpB|{Hui1^?>w!I8j~Jj zG7ZZ)IcKlk=J{RpblYT$*7I%FKI$WO06O@1F}|aV(c-R3CM;TuyE?uzzFRCRb)Ff} zUb=>7)NuVPX3boN_o)GTv%Q1zvn`vEIa_btkzqmGv)+~AyDpnq6Snlpqd|9|17lqT z>e|kK*UWN__+3w^lNpu?^e_Y4*=JYojJcMif0IzVu^T;ZXLL3vZ0&BD!7T>u_|)$i zS5T$9v>5U=VpDSmHrs4Qw}df&Fm>kx;_ipX>h0lq* z6K{{hmzld>Gs7Rv-J{R?mydJtfeER*z1@d@C5)M2xIj8b-t9n`(XfuzcW_<9I(7U* zizRm1X*6Jl$LAndH$T1Z@PxV}!iwv#4_9z49;KsSEWa-MP4??4Vh@tHzdfdr=rZ01 zCGv#+Nelw@&2OxG*BBqITk6JG=8i2^$Lc>POzGxqwYX!A{z-io(Dz@B=;*WkcRc%l z9cN;6w>mn%pbMPRPpM0@*VRdNCVD#y`^RYp*9|#=&#XWag1yo|Va-bY;gvlcov$%r zPiQ~A2-Y0#%~NT#-{>CegE?oD4@hR~lX!jn?CgYRQ+grM(J?a(=1aTm$aA}U=6meX zzhqm(y`1@z3zvDL$BU!$?D_YPmpr1H zKxZfQ?UcIIJH+};{TP`rMx(>mqt7tkNbuRW$2-9gk+&SB)jo@{(Np-AAD%cToLyXa zk4M{1=#Dka<1+s7!n-)uZXf0tZ9se%#)Pr`^ZrZ2KU#M;`W4F~nAAVN+;9DVW9@Va zTkQXCk`r{3j<`Nae|VL6_~~I)0h&=J<1r3vvoAu)n4L!cQIN zE{oS^)cna`G9>e{|d(YppO9MLNyO$GoDnE;Pb8J5=S~(_9a2&?tZ&EiV(ebeW z{pMQ}bTOdE{m48%#tDwg*h`ZjZhws4pFkl)xzS`V%p}MA!wC6DGMcKtsqO#6qJlcYqqD0N7ovuxf0v(o!$MtX9pk+OYH~Jb&Q8pnEm^bq)__ib zQAU0U;m|8@mct9SB*PlOzN5)?$%o$R8_)%HpvD>Xu5etkcFY`xwWjg);hv9ZW1Wjd zaL$DOEEn{L;|V(3UgfZ@Tz}`B%Im_~57!E3HAD1sJ0du9@L8Z4cQBv2z#DUY)G@H) zZ$@L^D``r<^g8xCm=5~P&zwMxrFuSpboUPB!`yhPHl2OQx5FHU77iVr`hqum;tWZk z#v=8r3K92R;bU~}=IYn3oTa+wIs%nmJjTRlnm9{Y?c$8~NjE89dLLagWI@%>+{AE7Uysy_)n zDAyE>O&enep;tuBW1{Q#3-p=dg|W^qW49RXi}bphR{;zUY9%IAp70d;3<8Ky^EY}o z&aI`SJtXn&_}g9OwZiXgehHC(fX~YLEntbcIelia?;h$|(7RO$r+lV=*?q+8lKCQS z6T_L{RZJr1ORtCBt@=8jEMl44e)-yYpBC}Xb?jTl$QAiP#7>(F459iJmB%c;p2N4I zh{_u{|7t$$WIl-PC?226=O0mSj??SgDATvGt~kz2+GyF>n>aKWb{dcUcUW8K1O2he z)hRL6WW3e)6lYDw*o?=2*aTh>kMRrp9#PiMy)$C2IG)hX3-E86V6f}h{|)4eJQjL$ z#@hnpBo?gy9q~+@pG!fv<0VaF62`0i_lKYCb+MBE+uHj6V$B!5uI5kn*Z3v-tf+&? z`Q-3Y?RY-XR#-i}mS_`g0_-c&VevP{dZ_PePE8C*;Q`cbhA69l6fY!TPbhkvUs0t; z>=l0mxsEnUrdNAywDae1~ejLz1pvWgG5a znr7t~a`9p|WBo_73-{Cq9++-8fVb+4V+I*8tS0g)r8`%F2`m5yJ1Qi;14)p(A zU-j!aW*_DgNlSF*YILT_bbEuW!sZ*$h0nyAO^x}@!bt>#OIG1N_xb+Epo)49PW zy$?6aAM?UGO`AXy#(IkF-8}W;HP!(mUND=DNxYt4R;*(;HQ5%z6!Q>XPkc%V?6B#DamkU zmz8X;Bt}}}@dD#T;4MvYaI9@Y50-n_(yav+cpQs^{lFL%d=?nESQ`Gs#j#%s>bnzz zPL{s0bmjL_y>n+E$7fClln&;D#=OjbVcn;GG>{#Ca&0aLORDqIs_#e#9gp-`Ptf z^#McBfw5dl8TGwSmAsOD`}?80Q2MmQuHMlvtZ&qpv6wv>L*aofedW_ui)eh( zHr6UO6Xhk2rQU!2x+W_zhB)PM&Yp|$@FV&+R>fHSbGpU46^$Ie`e2(54&HV)Babw- zbDiCefGxcP;0h(v%M0s9bllA8?utLRaWeqlfo|I7NxZA%;lPh8=?Qd9eZKouw1(>y_gakTxFY^7 ztc-ot+I^FMgc#cgauNgI&cb#|L!bjBa>E~tui`O8f4ib3yl?8Va$O@U!yW4VVYrj> zT|IO6qbYfRxqmeqr1VJdn(6&*Q(`_+#?NYGJgDtp$pS64!I}*+S-9?b6P!m70)Hwx z@oswS^(2+U9$ioLSXae=RKGb4$Oia7#x0+%g`>)C`HbuOK_!hc&ePa{wM*;DN?u)v zkx1;YP@1kL$oexI>>sDvrU!#x`AX8cimpmAbqZg9Nc|Oc7=d0N=)JKnn~znm?BCSU z)*@$*AN;x0IV~W#AA@Y3)%?tQTdwdWSfd`BS%chqF4S>8$l0rMJ+In4*F55{g z2gscCKGv&ZR5H9NhdmWxZ2+i1Ekm*Eohn$99r&NaLN-Ie`~; zaCc8A>=b{&eu>1soF1rlO^t!Tz7}yO-EPd*E!hBLb2f-2+3j@Q*68|@XZ+yueeUV@ zOS&Gaus)3E<+qtVQ)Q1NJcgs*9=Q}Tff5F$#sd2Y78Z8dM*2Kv=kUbN+0y$jC)hB5 z{FG;~pBn$Jqg#m=6vjWJKhSbQ1b|J+k8tMTaVAiIyTD5-*1M_JyTT~q z^=>lB`@XV6RyGVwSl*~`CYZD-*KVGtndy2)-Ba;PR~s4Kq`CUIJ%%LHph{*cB^CH*LW{+4!o zvVT{$pWKt{xX~>8E7wl!bHRr|^BZciNMVWc2G}sg-#I^`?!U?VCQ05msr*6&{ZYh0 z9;|RpsF#3Vo9Yb;+Y}ZPdqKo}Sob@|*8Xk#1UgXLKHSW;ul**4_9=)qtxcle8!@xw zzf>#n&0Vv{GeYNeWAL4Iky+Wtfv9;77dUB4QRiiO;c~P;6Hv} z`=q*kQfQy#+fV1he1jH_j6K0QtsZ+K@?)R-z1RGbZ;ivTcPI7lP73c%D&HOHF!TMJ zC$}vm%3Tr?28~v~+&pSENe)YSoAXXmOhWpgKwhCNEsH(`@-x4JD_=UCO7uJuTzTR-35;b#jI2`VI=J(^N-!HI%<84SF{eC)@ zz=)mVC<*po#1RPUs;WOZ3`Q$EcdKPF^w})+nP>bX^Kqc}9sV;nnB^#k2iY@I>5K#2 zrqudq`gVdXvij?|e@FHAkdBde=VO+_!tX40sJ$npxFtoKJB}#`1b58c2IFd~#%v&1 zC2iUoZLSB^*Wne@I3wjdR2Vbz1%{fBfGJY{$&e1eTT3r z*J(RzKa{BgLbR*e(yj^>HXh5WDdJe^wJ?XRm?^fR`LQ+oiko1xi8fcb510E)a+rL) zmxXePIbA$%e=l-4y^Ql3xmGoOC4Tvwe=NC{$XDg3|Mz~fq~5n^2=jzU-UppLGZE+Q z$~Y>K#H`XUeu@qLqNRJKeKP8qU6jQ@G}%jrX@_VwV%i_`tS_9(SawYwRL0i#eOg&g zwH?;Ay(2KS=xU4GF76BZ;b*)P^Fy$o1lM4SM^{~Af8AdaGr)L~)bDiHRAbx5yhj|q zoS9rCIkhCVQt_^GUl8&gu}a6c>Y5t!z2-N2QMPLl7sq^1h)xG;LrSn_Bce)y=E z5v@J)?)C~X0y0-oBAblmU}>DYRL^fjlRQaEe}<~KD4ixYKocy^`}QvR%2cj3kM@9v zPNusx&3J@~Zfp+PN;`=o=|^Pzv$SSatYg*w!mVF@9r;$pUc_~r|Auc6Y7sf%veEZK|>T+B---(ZhV`qndV-P86xp?!%M;77zAGd~oFB_K{2 zM3nc}Rkh7^ez@c^rspHZ_l#Yd?wP_|Xld+eh-re%5aD3mkDzQpg>xry;}ae4HWPhU zVdZsY#}-ZZqhmfcYe)|tw=TY`hS~BUe*_vkb2h0gN^oe49y}>)5QD~?k5q_M_F&AP z!h$gd;FUhC(7#@tn@zQ~+dx}8kO^n6!jW>Ehzv_m`4>S?miTPqODbTuF%}KH_9RR} z*tP?@j7@~}Hz+)sD4zD@(X<~423^9Vb9<^!;A>{QAHzIz;&{#llerd$I*(9$e}mD^ zQ{THoil0K+cfgO^n4CvO#-E9fikZW)!d#jiLa&pYnn+*S{_ywn-dew`rjxpL{(1U* zTU-8!KTGk<5Bz3(t4H(}v^!<-taOcnyx2N$Me7vf;d<8d%%T^vlV zY%b@3es_(bW z16x`xVQ#!PCyn=}a=Z&=<9!e>Q9qBDg=txguU300RO~?TOPoE=Qm)7k0w$y`A7t!qfOusP$Tqq{$QZWBEWo{ZDgK`Y%f7i8HEpDBsd$Wc| z)#CHEhK=hnO95hI*ry~fxvt+y{8Q?8Q8&TDD&r2!lXX17rTvS0QEz2@;4QEoGqoWj zPv$Dd{rzc<=b;(OSa=+t#1@t^9%!^S7jO~-fS8=Lv(Yz&IUUF?!<^2ko^(s&KG^#- zzqCp1Vd|$syIkfbR65N*#`6U59RnFR0{YD!CW`K2|CDDPXx4{anm>9ujN|=DX5XKr zyW)G{I|d+XK?hOe)=vDba{kgjw1@J08{QLhK!QG!=EM0na~D+4U69RP@RR8wM1Lm; zb{k-&C~I^0J~wmte$j6SHnWO-BT-X6LJXJleWn?JodsCVBb( zIch6=styO`Z{VQ33J2w79Q1J0lVHa^8~9*{Bz7PI8bLLl!OM6?l`mGeeRSQwcrUT_ z#U0rC1%G4CM$qwx5ClUYH=37y#D7~hwea~GKWy%qe0!(GD%W8aTPuri)%WT;M7p&c zegCi8i=prRO=OsqYarYu4e9%@t2dqFpJXz-MaF* zirC>eKW@)s)5&x_qN36s?K-iZSmnQ2f$90JpZSKAU8(8D-6W56rG9L35&NzMeB0#K z^Cr{1)~UZSXQORuY`2>0b$>Q0o3-^^kcn)AnLu}`PSV z{vdzVaZZIp<8}S}o<>!%WvTCVv>U#w&sxlJ&iBOE^lfYsR9HMSf}45Ygv>Rw9i;e2 zagA*W8z6R`kK+ItH-9j4SbOsQN#&YMZ0HT>3UYHf(sOQo?~dy-7%!shA;o=?dU;7_ zuO%!#%SD7|j+i{#=MTEGvkyoik3f1I@dA|_5avF2&XAUeA%}-+IY1=sMlO|WP3g~y zKZ&0F{eOyKiFX-R z^e{I-k#81t8tAFaFYq3EYqsLHTCb~8zlGNCw(Pel^+Ci7i#i?JXFu%nJ}nJHvlSoc z-1M#$pQX(1Sbx`27u0dM0&S3^*q*M}Xfa?Ve>mzlb=NE6n{s_h@$tZHqq`Vn{+aR@ z0sl#%4>nG6d9djpxwfIi% zo7C*6uA-@`ene_tP`)2gN~aN_shrpQk?vaq>0s`h4d}Qz|3^6E#W>qs3=`PBVGZ`7 zUzhrjE|*QM-b|{AWD zdl$dIZgnd4P;x|WZ4d+ropxK>6J%O!4;He-jDLZik?*Jb$S{vbwnrd-4snlG_!M*TctDoAQ3H-oidHyl1%| zF=j^Ad-!N==kCXB?-TSb!G~o#c)wT|R8_32)Gw0s3;B*JU)`^`F75qG{UUOoU)C?Z z9)J9ve~yR@8-0Vf@B(is*yq~)97d>ki*7SxZe%nmald|wm2-3jVrCLYZe9il309p?~g~+PvZwd;g31DAQ|oe77oprHY3s+^hVp z^7&Nh;kt|*4a7ge15{qjH*?LHlJ-N4^K9#RAgk~(-S=HXXL8?t9epO4a$SD-H~kb< z@%PG4A*%co8qWDvZ1k?6D>kgkxi0PHPUcy^u79uO1!Mm|h@U)F<0s)e>@6j?xPPVX zGaM{kWh?43D|sd-&onzSjTdS(-<4NO*4Hwuy4(!ee3H`sfYLoh4bD$mgEM_D##rI;!gwTd@3BN?xftlt5xxF>U9K7AWYe~hy? z5v$0>5EuMymHxdQ#uu9c#MX{uKE~pC3|Oz)w@n9wT;5I6WP4&dMSUFo{@6b~|0b@7 zTEC#4KgJG|dMO$<0RP0XFENd2u`iNN31M;%5B*6hZCd^8=u_evKxE_gTbXA`IBluV z)SXkI>oaxF6m$9e?(CDwDIo!;lk6#?f8K1eo=!2=r`8rPuFHy;?l`a18+m`wp8)e* zcYRCn+?R^=sP=PJ<$5mYkOlu-rJhN2zx{jYHS-VJCdSR0pkSPBWUL~Y3$PWunQl+0 zYw3A5h5YuZk45eMQs+tGbGIz^vFN@RwdXo6W9QCx(#o`RWrAVUWc_jTp}!xRe5o6bx)YDFq^L={w4I-+|$k#!fOJr zHD=Z8Sf>%?H#sbqu5J(0r)KWCf4A)GBBu5R?Y@&wWp%Wkns53fOcT$sHetxXh9Wr} zBh=0gsm|dVDm_@xnsTX*f8NYwpo|Mj{G@o6xG59d+Z^T$^=9A;raX&;&JBHirRO>o zzLVu9`>xI>!85&8{g{gf>IYs%0#*Ov(mm)o5!E%U`;su19uC_LtmC8~FQv0i3O*=x z{+@0VsrVV0Yeo5)aQ&=@v~pc3+vvTydXsjlWRhrQvK{nmfiZR>lR7L!Pb?7P#rgf( zWn*1l;hc^U+kXB1!q~V=bh^s+HNuFsWhhh5k29bDF&Vh*UijJhGHPwiZ+d^Y^9f>E zMDtP1Cx6Uc#Ih*K#UKbhCTBFWlg%t63w#Y<`>`v}xzY0#llv?ne?hyLW3{l4BG$8Y zeO=o}QCTjHb*zXJOnmyKGt8553+$aV#deu(!lkUp>-=2F53XbiEGd_LSsU}UFJrG! z{^0p-M`9sUe1hyUUb01;F1lTUd|p)$^}!xU~K46ob^;%3(m|^ENB5 zy`@*k*lwQfYj{I9AigNe{ya8VK5KM)sJ?!F$mgWrX&B)EooSXo7*J^^(>d3F@f z`Cco=rgRn>$;U)hU9UXvw65*wc-v7;+f~;~*Hqg@c^a=dk$=+xim~!ok6H0vb?aP{ zy;|Ps9YGx|h|iqJ;YDh0sCUq9dQzkR)m;}=XYpqHQ_Yt$WIAL*bkcN}I2R}^PA4R9fifc5IVzutl zPTf16Dcy^qJa3`6ui}x{qnNk+Je4u5m=7OHa(R|!mTynKtL!!K6Ld$H+QhthGDlQsN*krr zCLyxq%wi3z2V%leNb{J^2FubwJsWL-Sc78JS3>)G>*A#b4+;; zqh_9Ug`@U+ilNh{IIlD64*pMBUl3(Qmvh1hiCj5i4LY9?e z4v!PrE)u(l+a-$bld>YdDa*p8PilF<Y-Hs{jDO6ke$S diff --git a/Logic/Programming.xcf b/Logic/Programming.xcf index 308e284..af14317 100644 --- a/Logic/Programming.xcf +++ b/Logic/Programming.xcf @@ -1,6 +1,6 @@ - + JTAG @@ -19,14 +19,15 @@ 0 C:\Users\Matze\Amiga\Hardwarehacks\68030-TK\GitHub\Logic\68030_tk.jed - 10/15/16 23:48:29 - 0x1315 + 12/29/16 15:52:11 + 0x82ED Erase,Program,Verify diff --git a/Logic/bus68030.exf b/Logic/bus68030.exf index faad6e2..73a2373 100644 --- a/Logic/bus68030.exf +++ b/Logic/bus68030.exf @@ -54,7 +54,7 @@ Section Member Rename Array-Notation Array Number Port FC_0_ FC[0] 3 1 End Section Cross Reference File -Design 'BUS68030' created Fri Nov 18 19:32:36 2016 +Design 'BUS68030' created Thu Dec 29 16:01:56 2016 Type New Name Original Name // ---------------------------------------------------------------------- Inst i_z3939 AS_030 diff --git a/Logic/bus68030.srf b/Logic/bus68030.srf index 9617cc4..d27def8 100644 --- a/Logic/bus68030.srf +++ b/Logic/bus68030.srf @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Fri Nov 18 19:32:29 2016 +#Thu Dec 29 16:01:49 2016 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode @@ -49,7 +49,7 @@ State machine has 8 reachable states with original encodings of: At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Nov 18 19:32:29 2016 +# Thu Dec 29 16:01:49 2016 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @@ -59,6 +59,48 @@ File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\synwork\BUS68030_c At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Nov 18 19:32:31 2016 +# Thu Dec 29 16:01:50 2016 + +###########################################################] +Map & Optimize Report + +Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May 6 2014 +Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use or distribution of the software is strictly prohibited. +Product Version I-2014.03LC +@N: MF248 |Running in 64-bit mode. +@N:"c:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":132:38:132:40|Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0] +Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral)) +original code -> new code + 000 -> 00000000 + 001 -> 00000011 + 010 -> 00000101 + 011 -> 00001001 + 100 -> 00010001 + 101 -> 00100001 + 110 -> 01000001 + 111 -> 10000001 +--------------------------------------- +Resource Usage Report + +Simple gate primitives: +DFF 57 uses +BI_DIR 19 uses +BUFTH 3 uses +IBUF 38 uses +OBUF 15 uses +AND2 277 uses +INV 236 uses +OR2 23 uses +XOR2 8 uses + + +@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. +I-2014.03LC +Mapper successful! + +At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Thu Dec 29 16:01:51 2016 ###########################################################] diff --git a/Logic/dm/BUS68030_comp.xdm b/Logic/dm/BUS68030_comp.xdm index 88e9350..4faa0ad 100644 --- a/Logic/dm/BUS68030_comp.xdm +++ b/Logic/dm/BUS68030_comp.xdm @@ -27,8 +27,8 @@ SRSqS SRSqSSqSSqSS +/>SqSSqSS S SR"/ /S<7>CV diff --git a/Logic/run_options.txt b/Logic/run_options.txt index 9a62b56..979996b 100644 --- a/Logic/run_options.txt +++ b/Logic/run_options.txt @@ -1,7 +1,7 @@ #-- Synopsys, Inc. #-- Version I-2014.03LC #-- Project file C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\run_options.txt -#-- Written on Fri Nov 18 19:32:29 2016 +#-- Written on Thu Dec 29 16:01:49 2016 #project files diff --git a/Logic/synlog/BUS68030_multi_srs_gen.srr b/Logic/synlog/BUS68030_multi_srs_gen.srr index 6d3db1a..5e2a06a 100644 --- a/Logic/synlog/BUS68030_multi_srs_gen.srr +++ b/Logic/synlog/BUS68030_multi_srs_gen.srr @@ -5,6 +5,6 @@ File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\synwork\BUS68030_c At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Nov 18 19:32:31 2016 +# Thu Dec 29 16:01:50 2016 ###########################################################] diff --git a/Logic/synlog/bus68030_fpga_mapper.srr b/Logic/synlog/bus68030_fpga_mapper.srr index 2150646..676291d 100644 --- a/Logic/synlog/bus68030_fpga_mapper.srr +++ b/Logic/synlog/bus68030_fpga_mapper.srr @@ -35,6 +35,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Nov 18 19:32:31 2016 +# Thu Dec 29 16:01:51 2016 ###########################################################] diff --git a/Logic/synlog/report/BUS68030_compiler_runstatus.xml b/Logic/synlog/report/BUS68030_compiler_runstatus.xml index 5a8289a..3e1ea28 100644 --- a/Logic/synlog/report/BUS68030_compiler_runstatus.xml +++ b/Logic/synlog/report/BUS68030_compiler_runstatus.xml @@ -35,7 +35,7 @@ The file contains the job information from compiler to be displayed as part of t - - 1479493949 + 1483023709 \ No newline at end of file diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml index 0ee4b71..e9316ba 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml +++ b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml @@ -40,7 +40,7 @@ The file contains the job information from mapper to be displayed as part of the 105MB -1479493951 +1483023711 diff --git a/Logic/syntmp/BUS68030_srr.htm b/Logic/syntmp/BUS68030_srr.htm index 226641d..f7ce836 100644 --- a/Logic/syntmp/BUS68030_srr.htm +++ b/Logic/syntmp/BUS68030_srr.htm @@ -1,5 +1,5 @@

-
+
 #Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014
 #install: E:\ispLEVER_Classic2_0\synpbase
 #OS: Windows 7 6.2
@@ -8,32 +8,32 @@
 #Implementation: logic
 
 $ Start of Compile
-#Fri Nov 18 19:32:29 2016
+#Thu Dec 29 16:01:49 2016
 
 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
 
-@N:CD720 : std.vhd(123) | Setting time resolution to ns
-@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
+@N:CD720 : std.vhd(123) | Setting time resolution to ns
+@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
 File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd changed - recompiling
 VHDL syntax check successful!
 File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd changed - recompiling
-@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
-@N:CD233 : 68030-68000-bus.vhd(70) | Using sequential encoding for type sm_e
-@N:CD233 : 68030-68000-bus.vhd(87) | Using sequential encoding for type sm_68000
-@W:CD638 : 68030-68000-bus.vhd(129) | Signal clk_out_pre is undriven 
-@W:CD638 : 68030-68000-bus.vhd(133) | Signal clk_030_h is undriven 
+@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
+@N:CD233 : 68030-68000-bus.vhd(70) | Using sequential encoding for type sm_e
+@N:CD233 : 68030-68000-bus.vhd(87) | Using sequential encoding for type sm_68000
+@W:CD638 : 68030-68000-bus.vhd(129) | Signal clk_out_pre is undriven 
+@W:CD638 : 68030-68000-bus.vhd(133) | Signal clk_030_h is undriven 
 Post processing for work.bus68030.behavioral
-@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register AS_000_D0_3  
-@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register DS_030_D0_3  
-@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register nEXP_SPACE_D0_3  
-@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register BGACK_030_INT_PRE_2  
-@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_OUT_EXP_INT_1  
-@W:CL169 : 68030-68000-bus.vhd(127) | Pruning register CLK_OUT_PRE_25_3  
-@W:CL169 : 68030-68000-bus.vhd(156) | Pruning register CLK_030_D0_2  
-@W:CL271 : 68030-68000-bus.vhd(132) | Pruning bits 12 to 5 of CLK_000_D_3(12 downto 0) -- not in use ... 
-@N:CL201 : 68030-68000-bus.vhd(132) | Trying to extract state machine for register SM_AMIGA
+@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register AS_000_D0_3  
+@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register DS_030_D0_3  
+@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register nEXP_SPACE_D0_3  
+@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register BGACK_030_INT_PRE_2  
+@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_OUT_EXP_INT_1  
+@W:CL169 : 68030-68000-bus.vhd(127) | Pruning register CLK_OUT_PRE_25_3  
+@W:CL169 : 68030-68000-bus.vhd(156) | Pruning register CLK_030_D0_2  
+@W:CL271 : 68030-68000-bus.vhd(132) | Pruning bits 12 to 5 of CLK_000_D_3(12 downto 0) -- not in use ... 
+@N:CL201 : 68030-68000-bus.vhd(132) | Trying to extract state machine for register SM_AMIGA
 Extracted state machine for register SM_AMIGA
 State machine has 8 reachable states with original encodings of:
    000
@@ -44,24 +44,24 @@ State machine has 8 reachable states with original encodings of:
    101
    110
    111
-@N:CL201 : 68030-68000-bus.vhd(132) | Trying to extract state machine for register cpu_est
-@W:CL246 : 68030-68000-bus.vhd(24) | Input port bits 15 to 2 of a_decode(23 downto 2) are unused 
+@N:CL201 : 68030-68000-bus.vhd(132) | Trying to extract state machine for register cpu_est
+@W:CL246 : 68030-68000-bus.vhd(24) | Input port bits 15 to 2 of a_decode(23 downto 2) are unused 
 @END
 
 At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Fri Nov 18 19:32:29 2016
+# Thu Dec 29 16:01:49 2016
 
 ###########################################################]
 Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\synwork\BUS68030_comp.srs changed - recompiling
 
 At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Fri Nov 18 19:32:31 2016
+# Thu Dec 29 16:01:50 2016
 
 ###########################################################]
 Map & Optimize Report
@@ -69,8 +69,8 @@ Map & Optimize Report
 Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May  6 2014
 Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use or distribution of the software is strictly prohibited.
 Product Version I-2014.03LC 
-@N:MF248 :  | Running in 64-bit mode. 
-@N: : 68030-68000-bus.vhd(132) | Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0]
+@N:MF248 :  | Running in 64-bit mode. 
+@N: : 68030-68000-bus.vhd(132) | Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0]
 Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral))
 original code -> new code
    000 -> 00000000
@@ -96,14 +96,14 @@ OR2             23 uses
 XOR2            8 uses
 
 
-@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
+@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
 I-2014.03LC 
 Mapper successful!
 
 At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Fri Nov 18 19:32:31 2016
+# Thu Dec 29 16:01:51 2016
 
 ###########################################################]
 
diff --git a/Logic/syntmp/BUS68030_toc.htm b/Logic/syntmp/BUS68030_toc.htm
index a55eb31..d33688c 100644
--- a/Logic/syntmp/BUS68030_toc.htm
+++ b/Logic/syntmp/BUS68030_toc.htm
@@ -16,7 +16,7 @@
 
  • Mapper Report
  • -
  • Session Log (19:32 18-Nov) +
  • Session Log (16:01 29-Dec)
    • diff --git a/Logic/syntmp/run_option.xml b/Logic/syntmp/run_option.xml index 6336888..a6aaffc 100644 --- a/Logic/syntmp/run_option.xml +++ b/Logic/syntmp/run_option.xml @@ -3,7 +3,7 @@ Synopsys, Inc. Version I-2014.03LC Project file C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\syntmp\run_option.xml - Written on Fri Nov 18 19:32:29 2016 + Written on Thu Dec 29 16:01:49 2016 --> diff --git a/Logic/syntmp/statusReport.html b/Logic/syntmp/statusReport.html index a84036a..ac2d20b 100644 --- a/Logic/syntmp/statusReport.html +++ b/Logic/syntmp/statusReport.html @@ -38,7 +38,7 @@ - 0m:00s - -18.11.2016
      19:32:29 +29.12.2016
      16:01:49 @@ -49,12 +49,12 @@ 0m:00s 0m:00s 105MB -18.11.2016
      19:32:31 +29.12.2016
      16:01:51 Multi-srs Generator - Complete0m:01s18.11.2016
      19:32:31 + Complete0m:01s29.12.2016
      16:01:50 \ No newline at end of file diff --git a/Logic/synwork/BUS68030_comp.fdep b/Logic/synwork/BUS68030_comp.fdep index 74cf7b2..3048e9d 100644 --- a/Logic/synwork/BUS68030_comp.fdep +++ b/Logic/synwork/BUS68030_comp.fdep @@ -9,7 +9,7 @@ #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\umr_capim.vhd":1401220368 #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\arith.vhd":1401220122 #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\unsigned.vhd":1401220122 -#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\github\\logic\\68030-68000-bus.vhd":1479493940 +#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\github\\logic\\68030-68000-bus.vhd":1483023695 0 "C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.fdeporig b/Logic/synwork/BUS68030_comp.fdeporig index b732480..3ac167f 100644 --- a/Logic/synwork/BUS68030_comp.fdeporig +++ b/Logic/synwork/BUS68030_comp.fdeporig @@ -9,7 +9,7 @@ #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\umr_capim.vhd":1401220368 #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\arith.vhd":1401220122 #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\unsigned.vhd":1401220122 -#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\github\\logic\\68030-68000-bus.vhd":1479493940 +#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\github\\logic\\68030-68000-bus.vhd":1483023695 0 "C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.srs b/Logic/synwork/BUS68030_comp.srs index c56c2243139cb2bdbc6fdee1dc4626cf375a6eb0..1789330fb4f4d7f14eee76eb73a3f90720ab4f34 100644 GIT binary patch delta 681 zcmV;a0#^O7W3OY7Gk;xc+b|S{@B1qb>CFalke$?Ra<`_16-qbybtN#eB-NZxgXHM#wfwq7ScJ-`${_J_-J}yYbEniKsmzQsQXq`BRqb+xQ4Cideuq`PtrPN zG;o~ANV+0R%lmW==Ywhmkeu8?Wli^z&XphMaHaVo!c9L~Rev`gK5aikx?Zo6NQj}P zccXg_g!@K0aHjHmW+gB!z&H4J&TuuE6NV3+)SmfhBnI`?^j1mF>f6oTW)>1}`f&bmm!FX(2}!i($6f~a za{C3&ghU(kfR^#{Ln5jcMYs`4*TKmj1MXb0HY7M{$A8{Wl#sOOGbDowNu@*<63e=f zxQ5x7W;6!0AtMAu+2D=CMOABKWieQm`v?qc&o>8gr5zTl{M$utk%hf(9i<)fzc7_`s%Dg8^^4fm4dXjpoSH82J4$Ob zHk7f@YQ6;DWU^{NwgEqRjM z%)=jkC$}ARurW$7wuN-}dmc%5$4AoxTdTNN5{d!t2HiDS4)FN(^A&7O+hL}_DocGvBh*$9~l-9H_=>q*Qhf6IM0dBg%Vt-Y8_^|y5$$Fhdfe=DX z=LYv22=_HQaHjNoZWVAX!PoS6&Ip{$NhA7JY0rHy5`sEwI*ZD4JAgO;2DW?vqniN= z1-&C25<>`MCD%g19(_FtdSzQT$qS<;1}z7s1P*(P-YX5XJ*6F4tE^JN&~x%1>hP&) zz!1>}xj9%xZhvJj0&ot-f<)&n7X7pv67X;AhA}M*PmU?E#scXK!f}!gQ5^+bE8h_0 zw=v>;u?W}Z36e89=*=?Sq-&tVUOnIQ&A0o@o9nyHY>d3=#`A}})mglZ$0%6yL#Iaf za{D>WjFC3vfh^PIkC7}J5)nqCu12T6_q225%^1<79e+AMQO2ktpJUYH7?mi~F|xcJ zBUf`9(u}5nW=!LlM0xLxqeZN=u`2JiR3&O9^9GB+DaO6AyV=q4_ThFjyVx*>uDiN; zytvJ`4b=sTG>;hzmJ99_V+HC}#+b;TYS}E*4>j9Rp(be>pTyb!V@R1XLeR*Fsn`}R z?})Wb;(zR9d3yRTmh*B!gWs0ueHTV|F0VO#x?Ob;pqELq$_|0j)Sgtbhd}kM%?0nU zeFIyr&fWu~+Vk~6Txmzes{VG7TVheKTgO<-{ZC5eEmoW{s(u-|y5?d>i&N7@e#clv z#`+=@T7}|ufxdAZ6}@|vIbCP#ZQ9i^pCeWwO$X|E|8=mfN$X P1OhDx=Re)+Z+!p&SyxfW diff --git a/Logic/synwork/BUS68030_mult.srs b/Logic/synwork/BUS68030_mult.srs index 63c82f8d2da384e556e8c8dec01bc81035d244ca..bc001f98526cde0cf2376fb944c6beae9262de7f 100644 GIT binary patch delta 11461 zcmV;$EIQNOX5MChABzY80000001JFlPixyS6u;+FJQ4yMB*u2qwDDk z2(ql$kI0gdp2EW|hkZ&Q|6lqr6q>!iChPMxc?F=fU0qh&lpgn7UzksqwLlOQ}4v^nQ#5!Tf z&UJ&wx*>SCdk@)myI#goq;g#t!UFECN>~&F1b3o~^ctkCfEqqSa0(?mhRUhF)s`e7 zi^L^P(|Ob+{Q%_tPuQ|4XUzbmhCVPIGKW&mSJEmC7o=tsB<uBf~_#bunXbd=J^iggBUbEY(SCMc5&O^oBc`W-e4GYj;cH=jX6|rLm zHdrEeki-|8%pSiz-#9{l8 zB&z(F3K94XOBu8fCECq;yf|9^Avk-Yf9PqEtHUqE{@@Y5Ur%243#a` zQiw+SDjII2I`eRIm#)$!orS2mlfD$fxEj?cadDpSKfN5rrK56fmqfd)cDG9N)or?+ zeAvGNv&#YR0R-3tRY8-!11NuIpMEB7hdWN25blIuDV@^8hx6TQcOe9)7ng1@z48vD zVA7z$Rqx6(F6dxFf>Gnjqdnt-T@A>Dj;zMTMxd2yTvSA>YTHO@g(*Wv*eZEy6r{?+Vfrw!JguJ6JvA-m@l#XT@a& z{BI;=+3DlKV}|EjOE!O{udVYg_HiUyvR-d`c)Y(0+;iG`U37P%#f7ZzkDlQh?EYXF z%pF)BZ3x-V<=4rS1%_q)k4t6w>2mV*z}8c?HiF4|?NN6i$9ze96E^+2{nPihp0{+x zfR09u|HU#mww`C)f0?+0fclT}d4u-;xcAxe(O`%5bjzqW5bA%r4JsIl%+S$?#Je*4BB_<~ljoIEZJnzhx&w%SQsB5q4mw=gR zC3Sn&0a^~+5hV@!xR!Oq<<_`1*Vgo~e4GTvh%U1Gr278nOZwTAe3_Uw{GCU)&-`{z zLdP85(rACaf4=1=al&XwZ*S+%dxsNq6qfr4bdC3G#|P^*Z}(wi2=uY0f2@5rT^@kp z1&_3`7(GVoxc;GOSB{}p$L@F??PGN;j@Pkyvkn*|akLIjYUsg>=^;dV2p7zW`VY-< z-)!#r&@pL0V$J^ep~?5AL(O5-Wc_jTp}%)bZeo9%J&(fhPEaoRZg5XoD=t53w$yyu zIG+UnMv~4VXHNvop`^7c`W{6nPdua#%Q$!-AT8P;@?GAdt!TJE@{Y)>%mwj<(`0|W zbjL4Ivq}BGx-aad8I2`PTfM{Nz@}dC=-;c2Gq0`~bb*4}4>?-Rn`&Uv%br01PR zr#zF~2WJA!1(QVxQ-2HR>ES2q(!b|e|HHidcU{|fPn(B!tYQ*^_xf!9{eix&((j|! zRf%tnY3r%~lg%H#v)9oootK3igGD?BK#w%}tm{X!_16oG!89HNl$m_9dvSihcG;M| z{(fO}-2Kg7UdN=%s_KyUM%{Q0!k8Ar4gAHjcim?9cay$!Uw>J%dDpEg-|aTg(5Lwe&gEX1&hUlKpHOByds9^Lm6uk0AL{h5PBX3( zsFlz6FHfqzw63@6fjTa+4j$J5leFuPyV1`+pJKT3?~mGs8B2alS_{^m|k}Zr@+BH4!d= z1&7Xe?)>^5QTcBkRr8Zyt?!YV>rMPlK*_vAyQ|KqTYp|VpkH?7ngN=%W16*X_}lF? zo1GPHuDZ=CT7|n%SxdH$Z4an-_^+wwZ%5XDNq!G?5v&XJ4u^KGJB{mdU$0x8+W8uF zu50GKKiVL>+rOM>&Yq*F)9^@DchS>rlg(Stw^{qBkJt;)!N>FQ9i5NncU3ZB-kRUl@tyJAd|s*Z_&|H< z8uqE-23O4TU559m0eZ8&gYy2C`DDh{TX$qw(6-;ZG6L6SzBOUXfIJ#>2Rbm;MWC+j zY=6xx*NETsggTjFnLrOd*v+&BW&$%nZYdv?F2OF8COuH zyR;bcHDXh92R57U(=A~v7);&SfVlhNv3dh|dNy#U`+>`)9C*9Gfy)LncRJX|_Qc!c z@Wpr6Yi0zanS1ov;PP=MJ}@D5x3~MSgnuzJ3>Qe}$h#c~GaA;>`VOvZSf`GEXtBgD zJBgK1{9iC8kL|Abh_TdVy#iMlei{;m4zsY_*MeITH_P5705?#jophTX~ zKZ!x0zWI%H?;7KybxYkC%iOW~>RA2fgel#et>$;E(Lbs00{Z@|5gmQD|Bh$B<9|$y z?p808Hl-I59UU{%V1cyDjy$)!XMx8agG;tG z+)F>0T)4~|JzgB0XD_&aybRbD*nfjR2`=3!n|wV%1{gfH_uhW4ecE%lro{=*0iB)H zw^Qm;?-1)Z^y2Jk3Pc!Bf)3i9`6K0MBZ|gR{Jc*Mo-~eL3rYvaCULwJsxd4 zp*z+vkIVSS3-97syM35rv;py57!$_!&-*Wp;Aq|5=vOR{U{e45a=#6ZwSUtkY`*`y zNlwsBI^y~y{oz&O;iu2-(Xnl^PM0-T$cx3F%(xG>UyhauOoqNJW?XKWH3(p_4-Uu1 zq61^zU$H0h?Klrt&zkRemY?t}%*QX}xufUk==f#6o8!+hF32gg!2Xu{3qN(7yDVOx zQUBM;Gza`kV!@~Ac?mXsihmv!*Li||hjoVUsnb5K&fR*EyL zNa|Ki5!;lf^B5jH-h088T^i7tz`dNXQ~6oUn`8S~(aJG-g5xkAf0Mc~iH?s2=r`Y* zpo;-L?nmbFF-~w?#$K8Parm8peC)k(@M- z!Y9m|dH(T!gfrjsj_O@Yu(4*7s}3Q5#z80a{Np;|3FC-5zj=br-(-m&=ZHLe#MI@A7kRSf~rFW4xC@P0r@b*$Lm-k~N!c4d@hqW#oqt z4!!dH9A2;`8P)*y9ZjxFKJ-@KfG(&5HTu-M!g0ykF>@H!n#R|Mdp@F#buJdcITQM` zT+knmC#b)@%3)i%{?0g+*M+qot`*K|hUn*Z#Ny1sXMtwi!EEXRZ_M>k$H0oe8I66f zq$&N<>)7vLI_NV$a~5(e)$^f$yLTub=EhUC>Fh(k9p*5!aOm*V7rfaMXGj)mEKk9=DPMFrWU9OpLxW*4RS6_<3#q_YmNkytpeOg(48-jj+3Blv~tb>u8 zCxaa<_1UGJRa(x*e%Ow%<8sEqbqi}m;&xcpWl*VWNsJ*azp&f3vvAG`&#jF;3t^5( zB|WQq1&qz1WT@62M_+@YZRlKEpkKk^a=pBPwdLBY!qsjgHmA?G5>F^%`KY@--_CD; z(du%e=UcUYMSJHsI~citGcM$N*I{@+u5)8q*(UlBVm&X9@Bd=`2z?1v{Ymgaxu#%j z+88?sy&`HJ6J5VwpwAR9jCFPyyTxc0$+gr~@75I}^QztOvKZY?G4 zA&Ga#-|i}}6@F*)ONjgfd{)kH0ZYuy=`)Lc_fXHA-mOYFfPd2jgI&k|Zy;afvCx|{-WC`q zF=zenh-c#bTnf4!FKHT+Fka=qKm25`^Of}9*4FnIYrg1pHGi_d#xL1tMIA)WCx@46 z$McD{!s_9*M4M<6U|*3Ai@!0}Lw#3sYGObNUqIbvh_Xt5@j?RjgrdjU6;*n~Uhzke z>u957dId@K3K^Rc;`_rmIdjqc`4`^@It%0exb80rH?co%B~@m|LOa->^vKv!lL9 z-**ABej(p~H`dJ*Mnqp%x%$xW{;*=N+m*y&(EpBeNY!;U-=UiCkfiHZ*@pX3z_FhS|JBD+_4B1i_o|R+I}})~}LZ{cSh%FVTKiJ)4bbXmhA5 z3~i?!*Oy`Nd3_?g4w|k%GuZ@nn6+T8Hs2)E)yU3&2KK*${wg^)L4^jM1N}eOSN%GU z*@xLg(h~Jujm|WgZf}rP*n9)J@R?Y%sWH2meCVmQ)qLqNhPp|z4%Sz6Iy2a$_u)qQ zV_sONX%lF|SWmINo2Op9#yVic3ud!1iP!VXigoO!Cfh=oVjjZl3DCmM998~TuUgKM z>r5|ypVGB~?;73os&tSi_Ha#3d1g-|&Atdh%z|DV!cg#7kBVh;jHmq|B^j>lvXae} z#7JvAUSPZkyrn4)jt?seCA(yR?wPI(rBgqG#AiM$&W+voxMa-A20+R z7|W%UQQ!Mi$t&5nzaP4DrB6%j>K*;U`bK>ji`kPg6du^pR{?Fch{h*P4m^T~V1m7W zIP)jL`ZAVG?-$0a?fY5mn)Y2ji)*^e9{%3WGhZXd0HN=<6t5Ll$EHEMm1EZ;dS5pj zSOkZ)yh9nQtwBi_OFCF8`KPYy^Goge^{?KcF&{mS*R&K{5*oBN{!#IWct6N#W36H{ zQC{L$>iyTRYqAn!h*KWt?70{ZKca6oRgA?yr(3LB(a7Oz0JiDi;B9@MJkr$8b#^-f zw)75&*VcLH4tc`mBgW~@r3Zsv4%#h=@_8G!FVH*NDI-c|B&;K!Bp1UjZZ-~B3D!}W@LEk<-)5&sre#=dIp zzR5pAjO_zCiGgotVLPQE&;b&;5e&vx@ff1NUC|QWH+5OLu921D4)y*p+)4Sap1J$c zl)QgDxbg=nJ<_{odVkxLn2(h4evOO=wH+*3prtlgvx`g?u6y1D=MjX!pNdYro8Edo zN#(Fd*AqR~Rq-FyZw>>p0sfD1%V%rhsB&BGb6r2Eq*2Cs8XK^7X#s|zs_i5(V7 z)71o7e`bUI<5b)9VDKwnNjg{2RVk)U;R}DMzoHH!(CY)eH`ZnIvFermn>yNBM`FMvjzOo0~PPo8u*99<}v$oVfE8{ij<3)c; zLv=A7@C@{ASLuVP!4+!pp-hilU_5{5EW|?DhE;JPxQ+tQVd>y1_WKw5@O3h+jVXCg z->#n?vN_y@NLm~0U1DPd!eG3B2gz$&k;QfBu`^j+z}a?CV~Rbr^|~Zowv$*6kU8mn ztXIXTWO!2!f3Oj=LOPSN$8kRoKfZs;dZ+Xd$Q${tC03`e~^aw%d0B@9fB1@;jvEbOw4^m)wA;fbBIrT1S>uwj4rDbHX( zHU3>kw-PTXjDJRdpyh-J0GpB@<=&NnEK@e(`Bfg1BYj2-JdQ==IB!?uw*V#xCRvFO z+Le6J%gL{q%_h?iZ0lJJ6b+p}+Nbcx?A;fF!@656gp~bN@#ngISb4wVouv(mYqH2I zCoY>dzNU7my+geQ?b2|U;5~nFd{ep8_ouW6w&z(S}xg zW04+Hj1|Ut^wgBPGVY529X$*kdx5Sm)RkWelQ=EXWrDRLe@Nq|l74>_e@nYP*}p5> zPwvTe+-R2lm1`&Vx!^;f`3*H$q_9MJ18kV$@0=e|_uu4wlO*q(RDL0X{wU%g4_3G) z)Js6GP4xzaZ3>Hty&z&Xtot2fYyY-=0v)JrA8zK_*M5^i`xHc*)+W*KjhI>T@y>*! z)k{QzAxgQa^-YdV&F_D7e$X$CzEIu2oZAaw*3$raiv~!62DIPMrm44C@E^ageNx>% zDYQ@W?Wc2LzCjB|#-8AuR*yXq`LWOa-fMozx5nYvyOa8NCxv$>mG6#pnEC$AliL=O z@+;dWg|(mijegjZ=bapBzxv%_n)B@wQ9bYc&3Pv&CLw)LAg?XZmPH=|`5Egppoe(4 z#}V{Lf}datON_Iim*RUoKCJ1hSjm{KB3`qo^4-jZlRg?{fBQto0&2@v@z6Scg0Tq- z8(E;2MvmX2JbvNP@e6CmFRULweZAp6C2Hp6a5&ua%G#vI1V-!> zM@g{%B91^%S5^JVVK7?RnOiN3q0eTi&phKFnU4d#@9>|w!7N8PJjnJvm*mx|frif#u*TNjOVy4)N=Ev6TD{g|(CfZ!#K3wiI$zk&GUKYwF z=5+D6f4#`z^fJzCML;F92QilOT%I%HoplMDPAi1fhkxa zf8I03X|`sD?Z$D$p?qHPBH`E^_^-ol0lX-V$-Q7fim9vknUqhEJnv{+r1gZrQ-S{= zSTE!}D4pK|zSH$6?kSQs?cd#bQ+*=tspPfX3yAxe9@h{1*Hv8(soM5PWqTxPk7(_Y zcehuF5suL0h(ZO-nVzjSEh2Ud9(*SbTZwo zX~rW=bYpYSR@zA%Nk1avpQSacVjZjY7jA><>&Uk%_9Cw1{5O1qV6$UxOci&ncuRpl zDa^3Md*hg=<2*XG!7z@82*ihNY*UTx*WN+$sbFv)R><&!_$u|bdm4o4nq!Bre>i=E z@IH8R-DYj0p^4$_aqOP18y5J5T$dJ|(6^p>>z=mn3GGY706!w`nE9bVECF%KAfmj# zuBvUW^TQ>VF+CqKzGv*xbk7v#LQ7*$LrfE7h6o4iegtI;Dx5os8=vTSx0&d>3M;QG zJGN-LA06|tSwnjGxOMSeHO!VTe?p+KGiQ^^q6CMw=)se+1~F*N`ACIGWe>*uDJ&Rc z0AA_C3jOQVx!F`(yA8Cp1DSC4DjX@tiO8@7m46ZRWQorvzN7+n8)MPHYfr)ygl#*Z z%h*Ine}lrKiQ;Kb9!>j^V9+HzI=83#1iog*`!UQjCywWwGns2~sPhQ5e>WKIJoUXh zr1&Y6eFyxwjmddrWc-=vsF*n%E6kbM$ygURaR2USM5K2yL~hu&9c>MbZ~XAFg#=s&*ej z-5!Kfe0{}uvx47C?v0T)e+Kiw78tzC7O3mj5&IHH1*nHh+o0)`_K&Bwd*_NOTPl?^ zOo-uAK#MXTqub8MELHY<*3M#!>#pl@P&z(Hf5MY6ruu%{d|^wg zCCrWY=A`l7RE~F{Y`hQRCF|oM}2=P)Wao~vM@3Ct3fE;{$Jj^_Zy*8F?~S zG4AhAb36~tP{zXJ_$0Qll<`2LwYh+k7y!iNq@9hvDa`3WZW-ouPW7Z)8u!88r}?E# zY7bLC724%8RyWaU_A#C(fbY1FaU-DL>|vtlF7{7()`4by*roZSm%}*TpJev^NxCb( z7rx^HL@nqbYTVk1zg5m(+K2W~es9BjVh%{qN78&a|7PwM)pNJV=5Fzm=^;daXR+9A zfR&=G&Efmp%;Eb*za7}jD*lntfvCHl=HW(tE6c6d&&8Xauk!I|>wdMr0Jxdt<@@KT zt?a2f9F)I-gYqgIl$UYP!%a_u9rtVyfE|+9fe2_Us__h7#xtsXvAXS}>;A=iiLEd0 zz}7GL8*?^-jz5GT7#4D)dD%yQyk%1hpRe)5=AOy7cUr7+9cHn$viMegudYL+Tg%b+ z|Ej$h`rh9}hDo^w!d=pkzW-Y8fgpmb91JRdldhvF+Dqb~axxOJO|}2o-Z(N4w4Jv> zBHTv?bW;`gDc^}Qo?&E>++)U*b+6+d#axjoHfd+rB<}sheTq^sPjsk%5}g)the94I zId%%iWsFNbu^Z4*zN(rwvv-MgBUuL9yccx4{*uzH)VbBzsQJI{rT7K2I6csRAJ zGTm#P`WtgL+NQ>KtGQl(XQMK|t>=PFWE;!`x)Vj)#Fz>_eh~~o$-mf_fE@Fok$};< zvNb+Dnfp446XH9BG{blva=so?=5@vKDL$j~2OTfgc?#;hg?l->4mcc4*d~;DK^Ez_ zAbpmwivCrWAE4+{)UU0B+PLFf+nB5X_jQLD)1K_>uFB_D^cxg^+f#mnQ~H+q4M=+@ z_mrE^_Cm*K$eS1qDPc6ExbLQDXJY=MDxY>CSBCWGBk#u7BUts4BKB^}UXE!*}&ri#g8up7@%+jZK0Ii)Th~Gw+*_xn{P56#po$ zu`OW(#Ln|^93bO=21X8RPrg5?T$70ny#ZZ8ZZ1c9&aLm=aa{)EMRYx+xKC0qFX`;H zgvDpMi15r2lV|(yB`)6|d0gT=yL)*-d{%mGb<5{}P8*?X-SHB^iI}TK?sH zkBaN~7mcr}PZc`}HWzs-Ms%ZLv7@#7&VA^=O6z&^zPU>CXvFxJu{4$kSMg-}x=JxU z%neZFn?;=ldMfh^yocVJt+=h$>#Edmq4m2h`)x{n5b?sIPKWl{54*fiOT*A?#Rob! zy=%p1DYH9&*0t0HbsVlh8{{aqr|UIZ3|Pq@j`~gA^@{kWT%S^Wd||fHT?{h+O!ROu1M^xwfNpoW-`4V{MBPsi@ zRjwc8nG{5}x`yi)wB)Y7?*6U5sp@>oS6b4E6TX+`YKi^EX-oy!o+%Hk;ftl%ry73u zE`ERA>Qw5XhDbC+J&(56gD&ez7j7s#sU4UnJ=l@*P#ax?gc!+WVLKMdUuetY3P6 zJ@`HU91$5d`UY>|1>RDy&$atGj8O3w-Dbwz$Y@gHe*F|H=jaN=%p{J=Usf9ftbBEK z&*bu^$FUSyTy71vq3 zm&c)upHsXISZy0~Jhd?lb0c$C@e^`?G}Jv)n^(MI?|(5LWqPfS?^flnRPiu{dzHUc zKA$Q*T$hoff%qqQfXa*cX091i(te0>o^3r3WEDQ9`@U=FOzyj{qt66WuFDVqrk|oJ z{$BYhM3tXH!#UrIjouY>#fDWm*QLGO$vo@V_3xFuU>y7h@sp=&{3Lvby`|)T7Pqv0 zhJ&T6Y(-sWCC}vKnPx|(@j{K}yYgzu`dWrnmzyD*Pg2?+P`an+fn@Z6=P8!_2|1mA zQK$2Bw68HXUexIP;afU?SfleV!`P=PQ zLd`$Z&2+6$%GT<8q%zhzm1pRG_9Gj#QcRVLTE!cik&IVK*6)B;+><#lpT3QyKgQXc zh*jibhztIYgd)@(*19lgKF{0i~1bDWZR#KUq(w z80%AOix<~rMND^`SL%(tKj=?@d9J&@C3x;j#d=ixxvFwK7j(#if38x`B)Z@JJ@lIS z2W=DMW=&8q&Nebuk<0|x3ZAdq6Y5%eo=qXYed=RTd%x6qQuy30i+wD*??vsoj?37& zvz@du?Od6~Flw^?xcSiE4^4lO@)xo<)3Y$l=H85kl#Tn%hkd_kYJ2zv-#DmCgD}qJ zOO)}V?CG10`63cIxW?Q>ne2&i^gXj>OljTqqJ6z}KT4XmESj_RyC3toJn{}bhV>&E zYO%T}%vYGrR}%jcdTi!t=L+F9f!7*-^*YvRMEOk)%cZN^!}O_{d+vWN`?`p!y+OP0 zl{c6Km4=DEf4{J4KiQbF5_>5n7=K6ziGCx z9^b3yU*B+P``al7skfEGfXe4>R$hBcuaL3bJlogshHgLtQI`FAY_NRR==M;3{rr&6 zNx#!D!T~zdtY9$m4q1Ex>Vop@D4z4ZR*X&QEHsjjiK@C@dERMV+tKm1qnfs>u9vQ< zwu|yKUUMRUrvnsY<*^>K;=StDxh8wHywf{^I@lsUb0UWqskx!vLAU8ijs916T~wXL zo9$0Ecc$E*+6F#7o|C&QpTwNTzvtumosRt^GX7L~T#f*IVj?U z#l1lqPVyf7Fl~PnogOQ$(MXEbx=Tah#rTdXP4pvbotK%ij}?B;`tyL_vSgtqqmvQ_SM{x}$H*)`d?mY>- zCk$LLxnH{$dU#o8A4+Z8@_nIg8{U_f+Qy-lJjhzQGykI8KH)oTe}{4S743tPLy;#g zXRdY8VC!B0qvjz&EvIqJZJT4tdl)tItScO~-%|{oHpO}MsXO?C&46}Y-)r=IlQ1zF ze`ZrU`;hu#jDzPf|Iu{^kBv{CK1o8ue+@$6r%*r1C))T#Bt^zoKEY4=Ns*;!qz}U^ zHU#}7KFP200a^A_aufbRSs}|xGKa^BY!``L#O)GA_eoh1-;`zH(kHb%;BjbI#9b2D zF8P#I9QXNC(nS)tn^sM*>?e6E?Q>SKe=M6kD&n%AWZAeQ&fwA@p~_;n;$G-w;|q=d9#J{~PDZCo zxu$_B1Fd_mk~@~mrWmUZat3AQ5>!X8HK4eOh4Te5(LYsTt4LaIJxAJk%^TcvlSne1 f4(FW|o73`q^`i(6Yn#)P^D<%x_X1Jv&#M3cf8en9 delta 11440 zcmV;hEKk$kX5D6gABzY80000001JFl-)q}25Pr{JaU=vbNP_KTY2&ADA%nt}j;7s{ zz{s)^ACV;^otL@fkKf7b3f;pVgoN(CANNVq=@eS;NGtlg>#8`S(WA*|0((sc1Hr{3 zk+$G0eDMf-_Y=}vNH_U1%a#6QHM{)fMZ4<8@EevgXdz0p>(y{kSpE<^`$GTH<2+qXC25u=AC}n**Os|>3?GDOBt0`! zHh7Xk)Y4bca4prDi|gBDnat@d#ECQMN+FCpp&BGE&h!1}r^C=xDA%-$XqVM)Hml8& z*XZN!HvqHA0q+3>V1lYlle_~cf9DzfOxg~2oHilc3BOW0rH2payVq_Y1g965u0Or< z4kLfkp#D|w$}=wLU_$&+rH5* zJ@R{3)L?(img{@C*ZO+6`9NDYW9P=*8iyO>=KlZrmh0c#-22|+jem1-fA9xi559Xb zzxiO?h+V)f@#(|k&5gIUE-oiGH`Do}cN5&~#3wFx0(!gsbZK1lt_C+Zty!Zb+nf6n zcgD7BV{N&n$E)DpMhPAc`^XR=RL{H%Vx_H+4lGG)GDS^wiwS$?{ld_A!Bl&uYavR-@C9mp|X(%ytkzi$7Wd0Wq0 zx?(^_qsISY85~>BGw#1k+<{MLkMeng_Wrn^vE`$|4(sWbQEwpBfAtxR%*Fj2Ce8>< zLel;nm;s~VU#Pw_F7B=Eq-Pk1mMS}$G0&ZSI6!?Z@jqg>Ywvrf%ozFmLJI8UzZr61phBU^at{8Eg53e^lSWJ%H%y%Q&>T-Aj z=hE=#AJ&W=EYE;Re{spRea0)uFBHx7xa`|F+uOzS-r>X?h2=g1UE}@Q@xgk{+kMy=0)4FMAL|*LE)T%) z{72ddMvu`tu77CSm1C&Yu{&Nz`&b>p@j5nd)&XNAj@H3R4Lx`w0`~bb*4}4>?-Rn`&Uv%br01PR zr#ueLlimkq0xblSMF>-Wf%Ek6lXdCe3#|WP(fzxwZM>(=LpxS63Bh}Pw)p-)Usvh( z(d(+jx5l*f)c?sA58v7AXqC=OAjcqx#{lS&CZBcvXtw@(fialIV}LS~Z+0)v@7FFH z)7RfGjE=j%*~{ygbXip$^4_Q$&p{Z|Vz`07SoW^l?EY@jm+mWnYc}t?b>+L==3Nuy z@ANYT!41c)_{c3)30C(8UwVY-ewZD!%g4itj_69@c5b zbpo~W#s1|<)tA=wRy|P1CDy^?I$)A^{c$(?*(bCM^iC>iv)>)Jev&%5C(FayuHwP6 ztuSs2DB13hSJBUZ6>!$k_-B>wvVuO;%C4xt8aIAcwj;;dj@tps52Nw-CT&LF#|LU{ z8*X_lzPF<0XdKfS%<~TC8TC|PiqziDSXG%;tEtvkDD}*+&0n1F(F^?^RgT;DmuyXh z0kGiE`OckR-yhbo$F5Hy4=_6R;PBp zMxEG!=??$Y@0=-}n zb*L=YJ%43z0Xop{RMEg9`t=MF;I-dn5nDz5)}o{MlP&EcduK+%N$bM?v!~`0eYj5B zO!~#HpB|{Hui1^?>w!I8j~Jj zG7ZZ)IcKlk=J{RpblYT$*7I%FKI$WO06O@1F}|aV(c-R3CM;TuyE?uzzFRCRb)Ff} zUb=>7)NuVPX3boN_o)GTv%Q1zvn`vEIa_btkzqmGv)+~AyDpnq6Snlpqd|9|17lqT z>e|kK*UWN__+3w^lNpu?^e_Y4*=JYojJcMif0IzVu^T;ZXLL3vZ0&BD!7T>u_|)$i zS5T$9v>5U=VpDSmHrs4Qw}df&Fm>kx;_ipX>h0lq* z6K{{hmzld>Gs7Rv-J{R?mydJtfeER*z1@d@C5)M2xIj8b-t9n`(XfuzcW_<9I(7U* zizRm1X*6Jl$LAndH$T1Z@PxV}!iwv#4_9z49;KsSEWa-MP4??4Vh@tHzdfdr=rZ01 zCGv#+Nelw@&2OxG*BBqITk6JG=8i2^$Lc>POzGxqwYX!A{z-io(Dz@B=;*WkcRc%l z9cN;6w>mn%pbMPRPpM0@*VRdNCVD#y`^RYp*9|#=&#XWag1yo|Va-bY;gvlcov$%r zPiQ~A2-Y0#%~NT#-{>CegE?oD4@hR~lX!jn?CgYRQ+grM(J?a(=1aTm$aA}U=6meX zzhqm(y`1@z3zvDL$BU!$?D_YPmpr1H zKxZfQ?UcIIJH+};{TP`rMx(>mqt7tkNbuRW$2-9gk+&SB)jo@{(Np-AAD%cToLyXa zk4M{1=#Dka<1+s7!n-)uZXf0tZ9se%#)Pr`^ZrZ2KU#M;`W4F~nAAVN+;9DVW9@Va zTkQXCk`r{3j<`Nae|VL6_~~I)0h&=J<1r3vvoAu)n4L!cQIN zE{oS^)cna`G9>e{|d(YppO9MLNyO$GoDnE;Pb8J5=S~(_9a2&?tZ&EiV(ebeW z{pMQ}bTOdE{m48%#tDwg*h`ZjZhws4pFkl)xzS`V%p}MA!wC6DGMcKtsqO#6qJlcYqqD0N7ovuxf0v(o!$MtX9pk+OYH~Jb&Q8pnEm^bq)__ib zQAU0U;m|8@mct9SB*PlOzN5)?$%o$R8_)%HpvD>Xu5etkcFY`xwWjg);hv9ZW1Wjd zaL$DOEEn{L;|V(3UgfZ@Tz}`B%Im_~57!E3HAD1sJ0du9@L8Z4cQBv2z#DUY)G@H) zZ$@L^D``r<^g8xCm=5~P&zwMxrFuSpboUPB!`yhPHl2OQx5FHU77iVr`hqum;tWZk z#v=8r3K92R;bU~}=IYn3oTa+wIs%nmJjTRlnm9{Y?c$8~NjE89dLLagWI@%>+{AE7Uysy_)n zDAyE>O&enep;tuBW1{Q#3-p=dg|W^qW49RXi}bphR{;zUY9%IAp70d;3<8Ky^EY}o z&aI`SJtXn&_}g9OwZiXgehHC(fX~YLEntbcIelia?;h$|(7RO$r+lV=*?q+8lKCQS z6T_L{RZJr1ORtCBt@=8jEMl44e)-yYpBC}Xb?jTl$QAiP#7>(F459iJmB%c;p2N4I zh{_u{|7t$$WIl-PC?226=O0mSj??SgDATvGt~kz2+GyF>n>aKWb{dcUcUW8K1O2he z)hRL6WW3e)6lYDw*o?=2*aTh>kMRrp9#PiMy)$C2IG)hX3-E86V6f}h{|)4eJQjL$ z#@hnpBo?gy9q~+@pG!fv<0VaF62`0i_lKYCb+MBE+uHj6V$B!5uI5kn*Z3v-tf+&? z`Q-3Y?RY-XR#-i}mS_`g0_-c&VevP{dZ_PePE8C*;Q`cbhA69l6fY!TPbhkvUs0t; z>=l0mxsEnUrdNAywDae1~ejLz1pvWgG5a znr7t~a`9p|WBo_73-{Cq9++-8fVb+4V+I*8tS0g)r8`%F2`m5yJ1Qi;14)p(A zU-j!aW*_DgNlSF*YILT_bbEuW!sZ*$h0nyAO^x}@!bt>#OIG1N_xb+Epo)49PW zy$?6aAM?UGO`AXy#(IkF-8}W;HP!(mUND=DNxYt4R;*(;HQ5%z6!Q>XPkc%V?6B#DamkU zmz8X;Bt}}}@dD#T;4MvYaI9@Y50-n_(yav+cpQs^{lFL%d=?nESQ`Gs#j#%s>bnzz zPL{s0bmjL_y>n+E$7fClln&;D#=OjbVcn;GG>{#Ca&0aLORDqIs_#e#9gp-`Ptf z^#McBfw5dl8TGwSmAsOD`}?80Q2MmQuHMlvtZ&qpv6wv>L*aofedW_ui)eh( zHr6UO6Xhk2rQU!2x+W_zhB)PM&Yp|$@FV&+R>fHSbGpU46^$Ie`e2(54&HV)Babw- zbDiCefGxcP;0h(v%M0s9bllA8?utLRaWeqlfo|I7NxZA%;lPh8=?Qd9eZKouw1(>y_gakTxFY^7 ztc-ot+I^FMgc#cgauNgI&cb#|L!bjBa>E~tui`O8f4ib3yl?8Va$O@U!yW4VVYrj> zT|IO6qbYfRxqmeqr1VJdn(6&*Q(`_+#?NYGJgDtp$pS64!I}*+S-9?b6P!m70)Hwx z@oswS^(2+U9$ioLSXae=RKGb4$Oia7#x0+%g`>)C`HbuOK_!hc&ePa{wM*;DN?u)v zkx1;YP@1kL$oexI>>sDvrU!#x`AX8cimpmAbqZg9Nc|Oc7=d0N=)JKnn~znm?BCSU z)*@$*AN;x0IV~W#AA@Y3)%?tQTdwdWSfd`BS%chqF4S>8$l0rMJ+In4*F55{g z2gscCKGv&ZR5H9NhdmWxZ2+i1Ekm*Eohn$99r&NaLN-Ie`~; zaCc8A>=b{&eu>1soF1rlO^t!Tz7}yO-EPd*E!hBLb2f-2+3j@Q*68|@XZ+yueeUV@ zOS&Gaus)3E<+qtVQ)Q1NJcgs*9=Q}Tff5F$#sd2Y78Z8dM*2Kv=kUbN+0y$jC)hB5 z{FG;~pBn$Jqg#m=6vjWJKhSbQ1b|J+k8tMTaVAiIyTD5-*1M_JyTT~q z^=>lB`@XV6RyGVwSl*~`CYZD-*KVGtndy2)-Ba;PR~s4Kq`CUIJ%%LHph{*cB^CH*LW{+4!o zvVT{$pWKt{xX~>8E7wl!bHRr|^BZciNMVWc2G}sg-#I^`?!U?VCQ05msr*6&{ZYh0 z9;|RpsF#3Vo9Yb;+Y}ZPdqKo}Sob@|*8Xk#1UgXLKHSW;ul**4_9=)qtxcle8!@xw zzf>#n&0Vv{GeYNeWAL4Iky+Wtfv9;77dUB4QRiiO;c~P;6Hv} z`=q*kQfQy#+fV1he1jH_j6K0QtsZ+K@?)R-z1RGbZ;ivTcPI7lP73c%D&HOHF!TMJ zC$}vm%3Tr?28~v~+&pSENe)YSoAXXmOhWpgKwhCNEsH(`@-x4JD_=UCO7uJuTzTR-35;b#jI2`VI=J(^N-!HI%<84SF{eC)@ zz=)mVC<*po#1RPUs;WOZ3`Q$EcdKPF^w})+nP>bX^Kqc}9sV;nnB^#k2iY@I>5K#2 zrqudq`gVdXvij?|e@FHAkdBde=VO+_!tX40sJ$npxFtoKJB}#`1b58c2IFd~#%v&1 zC2iUoZLSB^*Wne@I3wjdR2Vbz1%{fBfGJY{$&e1eTT3r z*J(RzKa{BgLbR*e(yj^>HXh5WDdJe^wJ?XRm?^fR`LQ+oiko1xi8fcb510E)a+rL) zmxXePIbA$%e=l-4y^Ql3xmGoOC4Tvwe=NC{$XDg3|Mz~fq~5n^2=jzU-UppLGZE+Q z$~Y>K#H`XUeu@qLqNRJKeKP8qU6jQ@G}%jrX@_VwV%i_`tS_9(SawYwRL0i#eOg&g zwH?;Ay(2KS=xU4GF76BZ;b*)P^Fy$o1lM4SM^{~Af8AdaGr)L~)bDiHRAbx5yhj|q zoS9rCIkhCVQt_^GUl8&gu}a6c>Y5t!z2-N2QMPLl7sq^1h)xG;LrSn_Bce)y=E z5v@J)?)C~X0y0-oBAblmU}>DYRL^fjlRQaEe}<~KD4ixYKocy^`}QvR%2cj3kM@9v zPNusx&3J@~Zfp+PN;`=o=|^Pzv$SSatYg*w!mVF@9r;$pUc_~r|Auc6Y7sf%veEZK|>T+B---(ZhV`qndV-P86xp?!%M;77zAGd~oFB_K{2 zM3nc}Rkh7^ez@c^rspHZ_l#Yd?wP_|Xld+eh-re%5aD3mkDzQpg>xry;}ae4HWPhU zVdZsY#}-ZZqhmfcYe)|tw=TY`hS~BUe*_vkb2h0gN^oe49y}>)5QD~?k5q_M_F&AP z!h$gd;FUhC(7#@tn@zQ~+dx}8kO^n6!jW>Ehzv_m`4>S?miTPqODbTuF%}KH_9RR} z*tP?@j7@~}Hz+)sD4zD@(X<~423^9Vb9<^!;A>{QAHzIz;&{#llerd$I*(9$e}mD^ zQ{THoil0K+cfgO^n4CvO#-E9fikZW)!d#jiLa&pYnn+*S{_ywn-dew`rjxpL{(1U* zTU-8!KTGk<5Bz3(t4H(}v^!<-taOcnyx2N$Me7vf;d<8d%%T^vlV zY%b@3es_(bW z16x`xVQ#!PCyn=}a=Z&=<9!e>Q9qBDg=txguU300RO~?TOPoE=Qm)7k0w$y`A7t!qfOusP$Tqq{$QZWBEWo{ZDgK`Y%f7i8HEpDBsd$Wc| z)#CHEhK=hnO95hI*ry~fxvt+y{8Q?8Q8&TDD&r2!lXX17rTvS0QEz2@;4QEoGqoWj zPv$Dd{rzc<=b;(OSa=+t#1@t^9%!^S7jO~-fS8=Lv(Yz&IUUF?!<^2ko^(s&KG^#- zzqCp1Vd|$syIkfbR65N*#`6U59RnFR0{YD!CW`K2|CDDPXx4{anm>9ujN|=DX5XKr zyW)G{I|d+XK?hOe)=vDba{kgjw1@J08{QLhK!QG!=EM0na~D+4U69RP@RR8wM1Lm; zb{k-&C~I^0J~wmte$j6SHnWO-BT-X6LJXJleWn?JodsCVBb( zIch6=styO`Z{VQ33J2w79Q1J0lVHa^8~9*{Bz7PI8bLLl!OM6?l`mGeeRSQwcrUT_ z#U0rC1%G4CM$qwx5ClUYH=37y#D7~hwea~GKWy%qe0!(GD%W8aTPuri)%WT;M7p&c zegCi8i=prRO=OsqYarYu4e9%@t2dqFpJXz-MaF* zirC>eKW@)s)5&x_qN36s?K-iZSmnQ2f$90JpZSKAU8(8D-6W56rG9L35&NzMeB0#K z^Cr{1)~UZSXQORuY`2>0b$>Q0o3-^^kcn)AnLu}`PSV z{vdzVaZZIp<8}S}o<>!%WvTCVv>U#w&sxlJ&iBOE^lfYsR9HMSf}45Ygv>Rw9i;e2 zagA*W8z6R`kK+ItH-9j4SbOsQN#&YMZ0HT>3UYHf(sOQo?~dy-7%!shA;o=?dU;7_ zuO%!#%SD7|j+i{#=MTEGvkyoik3f1I@dA|_5avF2&XAUeA%}-+IY1=sMlO|WP3g~y zKZ&0F{eOyKiFX-R z^e{I-k#81t8tAFaFYq3EYqsLHTCb~8zlGNCw(Pel^+Ci7i#i?JXFu%nJ}nJHvlSoc z-1M#$pQX(1Sbx`27u0dM0&S3^*q*M}Xfa?Ve>mzlb=NE6n{s_h@$tZHqq`Vn{+aR@ z0sl#%4>nG6d9djpxwfIi% zo7C*6uA-@`ene_tP`)2gN~aN_shrpQk?vaq>0s`h4d}Qz|3^6E#W>qs3=`PBVGZ`7 zUzhrjE|*QM-b|{AWD zdl$dIZgnd4P;x|WZ4d+ropxK>6J%O!4;He-jDLZik?*Jb$S{vbwnrd-4snlG_!M*TctDoAQ3H-oidHyl1%| zF=j^Ad-!N==kCXB?-TSb!G~o#c)wT|R8_32)Gw0s3;B*JU)`^`F75qG{UUOoU)C?Z z9)J9ve~yR@8-0Vf@B(is*yq~)97d>ki*7SxZe%nmald|wm2-3jVrCLYZe9il309p?~g~+PvZwd;g31DAQ|oe77oprHY3s+^hVp z^7&Nh;kt|*4a7ge15{qjH*?LHlJ-N4^K9#RAgk~(-S=HXXL8?t9epO4a$SD-H~kb< z@%PG4A*%co8qWDvZ1k?6D>kgkxi0PHPUcy^u79uO1!Mm|h@U)F<0s)e>@6j?xPPVX zGaM{kWh?43D|sd-&onzSjTdS(-<4NO*4Hwuy4(!ee3H`sfYLoh4bD$mgEM_D##rI;!gwTd@3BN?xftlt5xxF>U9K7AWYe~hy? z5v$0>5EuMymHxdQ#uu9c#MX{uKE~pC3|Oz)w@n9wT;5I6WP4&dMSUFo{@6b~|0b@7 zTEC#4KgJG|dMO$<0RP0XFENd2u`iNN31M;%5B*6hZCd^8=u_evKxE_gTbXA`IBluV z)SXkI>oaxF6m$9e?(CDwDIo!;lk6#?f8K1eo=!2=r`8rPuFHy;?l`a18+m`wp8)e* zcYRCn+?R^=sP=PJ<$5mYkOlu-rJhN2zx{jYHS-VJCdSR0pkSPBWUL~Y3$PWunQl+0 zYw3A5h5YuZk45eMQs+tGbGIz^vFN@RwdXo6W9QCx(#o`RWrAVUWc_jTp}!xRe5o6bx)YDFq^L={w4I-+|$k#!fOJr zHD=Z8Sf>%?H#sbqu5J(0r)KWCf4A)GBBu5R?Y@&wWp%Wkns53fOcT$sHetxXh9Wr} zBh=0gsm|dVDm_@xnsTX*f8NYwpo|Mj{G@o6xG59d+Z^T$^=9A;raX&;&JBHirRO>o zzLVu9`>xI>!85&8{g{gf>IYs%0#*Ov(mm)o5!E%U`;su19uC_LtmC8~FQv0i3O*=x z{+@0VsrVV0Yeo5)aQ&=@v~pc3+vvTydXsjlWRhrQvK{nmfiZR>lR7L!Pb?7P#rgf( zWn*1l;hc^U+kXB1!q~V=bh^s+HNuFsWhhh5k29bDF&Vh*UijJhGHPwiZ+d^Y^9f>E zMDtP1Cx6Uc#Ih*K#UKbhCTBFWlg%t63w#Y<`>`v}xzY0#llv?ne?hyLW3{l4BG$8Y zeO=o}QCTjHb*zXJOnmyKGt8553+$aV#deu(!lkUp>-=2F53XbiEGd_LSsU}UFJrG! z{^0p-M`9sUe1hyUUb01;F1lTUd|p)$^}!xU~K46ob^;%3(m|^ENB5 zy`@*k*lwQfYj{I9AigNe{ya8VK5KM)sJ?!F$mgWrX&B)EooSXo7*J^^(>d3F@f z`Cco=rgRn>$;U)hU9UXvw65*wc-v7;+f~;~*Hqg@c^a=dk$=+xim~!ok6H0vb?aP{ zy;|Ps9YGx|h|iqJ;YDh0sCUq9dQzkR)m;}=XYpqHQ_Yt$WIAL*bkcN}I2R}^PA4R9fifc5IVzutl zPTf16Dcy^qJa3`6ui}x{qnNk+Je4u5m=7OHa(R|!mTynKtL!!K6Ld$H+QhthGDlQsN*krr zCLyxq%wi3z2V%leNb{J^2FubwJsWL-Sc78JS3>)G>*A#b4+;; zqh_9Ug`@U+ilNh{IIlD64*pMBUl3(Qmvh1hiCj5i4LY9?e z4v!PrE)u(l+a-$bld>YdDa*p8PilF<Y-Hs{jDO6ke$S diff --git a/Logic/synwork/BUS68030_mult_srs/skeleton.srs b/Logic/synwork/BUS68030_mult_srs/skeleton.srs index ccb7ca09920ffb44ececefefe7432a82a4a35159..cad3475ba199b245c7b367042ab0b88a89b3861e 100644 GIT binary patch delta 1174 zcmV;H1Zn$%3WExeVg(-C?Qx)yXCHr_iYey-OvWs^jlw2zZ?y!PQN)sR_2Vo6@2NB8 zjp8hEwc89vAx1a)aF_%d{7go-klln4MtPGbI_4}719}ZTMmWl%%?-vI_7@8>cI^V! zv|q0JM#uB`2WEP?X`=iZ8EE`Pujh@Pz;JwavTcJuLVY-DT>VUgz{CTW$)Rb$s%Lv5x<_>p6`07n> zKn4oO<85zS)Pi@kC-VGOtP3egoj`xhvEZq@nrj||b}jtlJ?3Mz=5E0?pFzdfz2B?? ze{JISK}+38?NhWC9d755v}x^E(tvyQ#xw3Z|Jj6{-=XOu)t(vb%npAES()lQ#a+eg zf*ZEOp-Oj(-Wu~L#RB)D_iezy)aHt(f$0({PvB17t)G3WY&fp!JJIe_VWG^70j?_Hkp!~P z)dNjL5;1L2<`>Q_A*6rr17$}l_N`>HBj(}$7OT5G$m3Rc*qOvziK-&FrFZy(-=Sx& zkO<;csAB)$`!j-4p3xrPPgl8Io$s70TR;z5Tv=aN&{`+G;$abh|J6tE7b*vGsda!2 z8xYpqr)%ujYz;{e>OeO!qUh7rk{r>})$$IubGznlE^AQLN|S#_!z2sKsSf|bdoWW{ zBju(IY5hR@6(5LI?*-r35GNFMm0usgq(@Bp8z%iICJyeDfAm-#JJmNJ?a(qgs1_3+_MgM8PPo-?xYcKImEQvj zcSrwaM(@Fpad&^48x6{QTX%C+TZx{-c^+ewHN#L(O3ZkU&wym_kP>BfG|t+P0({X9<%ae98M1$PX8E(%M*voKf$4F z^d}ArIkP^^VL@y9!eK!_#i8nB6026T)Z)0`h}Zmf(Rf##@Yg!w@*w)AV>tTqcQ2fsV_Oni&SfgGE#DODoW5Z9a!L=__c7V+&=jsu-i$40KEc6&67UAu z;1FwRBMorUXwBPWE$6eE;b_rAzfkej@mlE)vqt(X|1*qt<)fT?(r15_rV4{I{Khsh o#NQ|~m&O0*qxKH~00960;&M>n0ssI2|NjF3z7PiKz1j)@04WJrng9R* delta 1173 zcmV;G1Zw+(3W5rdVg;Vq?XjVeXCHsI#gy{^CS#V|Mq!h*(eXU~ftg;en<&3V1{#0S>v^LmFdUzqY+K`xP#=yOS3lDrxgEv&ImUk>`@(|e z`X`+63%=b>x6@0$<$QbjI2F&A{Bq0vt4Dt2J&~e#y7;A?xf2rvN%y^(NBIpC1TCLztlBXOL)d?SzC|{*MGQk;QTSBU4scsIHRYP^G6M0vxx*b1zIxLe zkb%PSc-z|+wcs7?i9Ej*t3parC(vJUEO_cJ=bFc$T?zkqkNH@wxLa_|XHfA~?>DQ! zUzxah&{8*2`xLE3hnsmMZCd%2G~iyn@r=99e>P#~cWAmuwPyx9vqOJEmZmySaaZxW z;D+sRsM4L{x8{apGl?VRe!qjXa%w~QCu3j<>aTjf{kd4F_lQ{d4Gh;>;6?ysjqz2W zz88y~dSd~5vB16PeH$<^wYlPHV7f%g6Sz}%>t~-T8;+~`PPF?}SSTzqz-1*ol0X)^ zdZ39&BBm|M{KB~P%Um%MuJ<`z zZgS}OytZYZ%FjWMBg~s{m?co^)8F`Ir}_q@9a<&_)nekq{&Tq13Ag$UxB4uu@_Rtx z?&!bF=sg%R?rwi`qd}Q(>Ta%TE75Z}&tr_TW*F*83EI@nIed4992z0(ra0K9dS9{T zud$FiWv8;MFgVJ1lrZ0Pwd!w>Gy1FwtF@YTt9<;P4?dtnYU+-Y>oHu{P{u zZugkwn2p~P>r~8II>XvFuKK+(tFJQqHP=}(F17L7xto9W^*EzjX_wm9?aY9!o#{y! z#IUAgOqg4a-@~Ko_h``iLiY2%_uIw=gju4mgPzmzn3W&naC+cy`o}nYI&t{)CpeUi z{={J+XV#}VEND$%I4tO=I8=R1V%2JvS{(Ol@tWT*8tzn!@$To3Z80CpZ{T0^T4S z9AYhPqybJEt$BN_<$P8%94&h27b?CwUMt;U)<~b_e}?g{e3Wxf`s^>$RAF$2-`F~a n_!~v$viSde)cyeg0RR8ua!}v`00030{{sNm)#U{)+6n*w&5&n1 diff --git a/Logic/synwork/BUS68030_s.srs b/Logic/synwork/BUS68030_s.srs index c56c2243139cb2bdbc6fdee1dc4626cf375a6eb0..1789330fb4f4d7f14eee76eb73a3f90720ab4f34 100644 GIT binary patch delta 681 zcmV;a0#^O7W3OY7Gk;xc+b|S{@B1qb>CFalke$?Ra<`_16-qbybtN#eB-NZxgXHM#wfwq7ScJ-`${_J_-J}yYbEniKsmzQsQXq`BRqb+xQ4Cideuq`PtrPN zG;o~ANV+0R%lmW==Ywhmkeu8?Wli^z&XphMaHaVo!c9L~Rev`gK5aikx?Zo6NQj}P zccXg_g!@K0aHjHmW+gB!z&H4J&TuuE6NV3+)SmfhBnI`?^j1mF>f6oTW)>1}`f&bmm!FX(2}!i($6f~a za{C3&ghU(kfR^#{Ln5jcMYs`4*TKmj1MXb0HY7M{$A8{Wl#sOOGbDowNu@*<63e=f zxQ5x7W;6!0AtMAu+2D=CMOABKWieQm`v?qc&o>8gr5zTl{M$utk%hf(9i<)fzc7_`s%Dg8^^4fm4dXjpoSH82J4$Ob zHk7f@YQ6;DWU^{NwgEqRjM z%)=jkC$}ARurW$7wuN-}dmc%5$4AoxTdTNN5{d!t2HiDS4)FN(^A&7O+hL}_DocGvBh*$9~l-9H_=>q*Qhf6IM0dBg%Vt-Y8_^|y5$$Fhdfe=DX z=LYv22=_HQaHjNoZWVAX!PoS6&Ip{$NhA7JY0rHy5`sEwI*ZD4JAgO;2DW?vqniN= z1-&C25<>`MCD%g19(_FtdSzQT$qS<;1}z7s1P*(P-YX5XJ*6F4tE^JN&~x%1>hP&) zz!1>}xj9%xZhvJj0&ot-f<)&n7X7pv67X;AhA}M*PmU?E#scXK!f}!gQ5^+bE8h_0 zw=v>;u?W}Z36e89=*=?Sq-&tVUOnIQ&A0o@o9nyHY>d3=#`A}})mglZ$0%6yL#Iaf za{D>WjFC3vfh^PIkC7}J5)nqCu12T6_q225%^1<79e+AMQO2ktpJUYH7?mi~F|xcJ zBUf`9(u}5nW=!LlM0xLxqeZN=u`2JiR3&O9^9GB+DaO6AyV=q4_ThFjyVx*>uDiN; zytvJ`4b=sTG>;hzmJ99_V+HC}#+b;TYS}E*4>j9Rp(be>pTyb!V@R1XLeR*Fsn`}R z?})Wb;(zR9d3yRTmh*B!gWs0ueHTV|F0VO#x?Ob;pqELq$_|0j)Sgtbhd}kM%?0nU zeFIyr&fWu~+Vk~6Txmzes{VG7TVheKTgO<-{ZC5eEmoW{s(u-|y5?d>i&N7@e#clv z#`+=@T7}|ufxdAZ6}@|vIbCP#ZQ9i^pCeWwO$X|E|8=mfN$X P1OhDx=Re)+Z+!p&SyxfW