Cache-Disable-Option on PCB

This commit is contained in:
MHeinrichs 2014-06-09 21:16:51 +02:00
parent fecb45b004
commit f9b66f9c1d
15 changed files with 16546 additions and 1932 deletions

View File

@ -2720,8 +2720,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
<element name="R2" library="rcl" package="R0603" value="4,7k" x="74.2188" y="52.578" smashed="yes" rot="R180">
<attribute name="NAME" x="75.1586" y="54.3814" size="0.8128" layer="25" rot="R180"/>
</element>
<element name="R12" library="rcl" package="R0603" value="4,7k" x="64.1604" y="50.7492" smashed="yes">
<attribute name="NAME" x="63.2206" y="49.2506" size="0.8128" layer="25"/>
<element name="R12" library="rcl" package="R0603" value="4,7k" x="64.1604" y="52.8828" smashed="yes">
<attribute name="NAME" x="65.8114" y="52.6034" size="0.8128" layer="25"/>
</element>
<element name="R13" library="rcl" package="R0603" value="4,7k" x="79.0956" y="33.0708" smashed="yes" rot="R90">
<attribute name="NAME" x="78.359" y="31.9786" size="0.8128" layer="25" rot="R90"/>
@ -2738,8 +2738,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<element name="R20" library="rcl" package="R0603" value="4,7k" x="77.8764" y="25.7556" smashed="yes" rot="R270">
<attribute name="NAME" x="78.7146" y="26.8986" size="0.8128" layer="25" rot="R270"/>
</element>
<element name="R21" library="rcl" package="R0603" value="4,7k" x="64.1604" y="52.8828" smashed="yes">
<attribute name="NAME" x="65.8114" y="52.2986" size="0.8128" layer="25"/>
<element name="R21" library="rcl" package="R0603" value="4,7k" x="64.1604" y="55.0164" smashed="yes">
<attribute name="NAME" x="65.8114" y="54.4322" size="0.8128" layer="25"/>
</element>
<element name="RN2" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="71.628" smashed="yes" rot="R90">
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="71.628" size="1.778" layer="27" rot="R90" display="off"/>
@ -2912,6 +2912,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<element name="R23" library="rcl" package="R0603" value="4,7k" x="73.7616" y="49.0728" smashed="yes">
<attribute name="NAME" x="72.8218" y="50.0126" size="0.8128" layer="25"/>
</element>
<element name="R24" library="rcl" package="R0603" value="4,7k" x="64.1604" y="50.7492" smashed="yes">
<attribute name="NAME" x="63.373" y="49.2506" size="0.8128" layer="25"/>
</element>
</elements>
<signals>
<signal name="D0">
@ -5671,17 +5674,21 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<signal name="MMUDIS">
<contactref element="IC1" pad="F13"/>
<contactref element="R21" pad="1"/>
<wire x1="59.7662" y1="52.8828" x2="63.3104" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="59.7662" y1="52.8828" x2="60.5028" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="60.5028" y1="52.8828" x2="62.6364" y2="55.0164" width="0.1524" layer="1"/>
<wire x1="62.6364" y1="55.0164" x2="63.3104" y2="55.0164" width="0.1524" layer="1"/>
</signal>
<signal name="CDIS">
<contactref element="IC1" pad="H12"/>
<contactref element="R12" pad="1"/>
<wire x1="61.2648" y1="48.006" x2="61.2648" y2="49.8348" width="0.1524" layer="1"/>
<wire x1="61.2648" y1="49.8348" x2="62.1792" y2="50.7492" width="0.1524" layer="1"/>
<wire x1="62.1792" y1="50.7492" x2="63.3104" y2="50.7492" width="0.1524" layer="1"/>
<wire x1="61.2648" y1="48.006" x2="61.2648" y2="51.9684" width="0.1524" layer="1"/>
<wire x1="61.2648" y1="51.9684" x2="62.1792" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="62.1792" y1="52.8828" x2="63.3104" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="57.2262" y1="47.8028" x2="58.0898" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="58.0898" y1="46.9392" x2="60.198" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="60.198" y1="46.9392" x2="61.2648" y2="48.006" width="0.1524" layer="1"/>
<contactref element="R24" pad="1"/>
<wire x1="63.3104" y1="50.7492" x2="63.3104" y2="52.8828" width="0.1524" layer="1"/>
</signal>
<signal name="STATUS">
<contactref element="IC1" pad="J12"/>
@ -5700,7 +5707,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="39.9796" y1="31.0896" x2="39.9796" y2="33.4264" width="0.1524" layer="1"/>
<wire x1="41.148" y1="37.0332" x2="41.148" y2="44.3484" width="0.1524" layer="1"/>
<via x="41.148" y="49.4284" extent="1-16" drill="0.3"/>
<wire x1="41.148" y1="44.3484" x2="40.8432" y2="45.7962" width="0" layer="19" extent="1-16"/>
<wire x1="41.148" y1="44.3484" x2="41.148" y2="49.4284" width="0.1524" layer="1"/>
<wire x1="41.148" y1="49.4284" x2="32.7406" y2="49.4284" width="0.1524" layer="16"/>
<wire x1="77.0128" y1="47.3456" x2="65.3288" y2="47.3456" width="0.1524" layer="16"/>
@ -5731,7 +5737,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="40.6908" y1="64.77" x2="40.6908" y2="66.294" width="0.1524" layer="1"/>
<wire x1="40.6908" y1="66.294" x2="41.148" y2="66.7512" width="0.1524" layer="1"/>
<wire x1="41.148" y1="66.7512" x2="41.148" y2="67.4624" width="0.1524" layer="1"/>
<wire x1="40.8622" y1="45.7772" x2="40.8432" y2="45.7962" width="0" layer="19" extent="1-16"/>
<contactref element="R23" pad="2"/>
<wire x1="74.6116" y1="49.0728" x2="76.3388" y2="47.3456" width="0.1524" layer="1"/>
<wire x1="76.3388" y1="47.3456" x2="76.962" y2="47.3456" width="0.1524" layer="1"/>
@ -5754,7 +5759,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="37.846" y1="52.1716" x2="37.6428" y2="51.9684" width="0.1524" layer="16"/>
<wire x1="37.6428" y1="51.9684" x2="30.2006" y2="51.9684" width="0.1524" layer="16"/>
<wire x1="30.2006" y1="51.9684" x2="29.2862" y2="52.8828" width="0.1524" layer="16"/>
<wire x1="43.1484" y1="47.3772" x2="42.2148" y2="48.3108" width="0" layer="19" extent="1-16"/>
<contactref element="X1" pad="A9"/>
<wire x1="86.2076" y1="32.3088" x2="87.63" y2="33.7312" width="0.1524" layer="16"/>
<wire x1="39.2684" y1="30.6832" x2="39.2684" y2="27.5082" width="0.1524" layer="16"/>
@ -5766,10 +5770,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="79.4004" y1="31.3944" x2="65.9892" y2="31.3944" width="0.1524" layer="16"/>
<wire x1="65.9892" y1="31.3944" x2="65.3796" y2="32.004" width="0.1524" layer="16"/>
<wire x1="65.3796" y1="32.004" x2="39.4716" y2="32.004" width="0.1524" layer="16"/>
<wire x1="42.2148" y1="48.3108" x2="42.2148" y2="52.1208" width="0" layer="19" extent="1-16"/>
<wire x1="42.2148" y1="52.1208" x2="42.2148" y2="52.1208" width="0" layer="19" extent="1-16"/>
<wire x1="42.2148" y1="52.1208" x2="42.164" y2="52.1716" width="0" layer="19" extent="1-16"/>
<wire x1="42.164" y1="52.1716" x2="40.5384" y2="52.1716" width="0" layer="19" extent="1-16"/>
<wire x1="40.6908" y1="37.0332" x2="39.7764" y2="36.1188" width="0.1524" layer="1"/>
<wire x1="39.7764" y1="36.1188" x2="39.0144" y2="36.1188" width="0.1524" layer="1"/>
<wire x1="39.0144" y1="36.1188" x2="38.5572" y2="35.6616" width="0.1524" layer="1"/>
@ -5926,7 +5926,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="40.9956" y1="66.1416" x2="41.4528" y2="66.5988" width="0.1524" layer="1"/>
<wire x1="41.4528" y1="66.5988" x2="41.4528" y2="67.6656" width="0.1524" layer="1"/>
<wire x1="41.4528" y1="67.6656" x2="40.7896" y2="68.3288" width="0.1524" layer="1"/>
<wire x1="41.7576" y1="47.5488" x2="42.5768" y2="46.5772" width="0" layer="19" extent="1-16"/>
<wire x1="37.6428" y1="46.1772" x2="39.0144" y2="47.5488" width="0.1524" layer="16"/>
<wire x1="39.0144" y1="47.5488" x2="41.7576" y2="47.5488" width="0.1524" layer="16"/>
<contactref element="R9" pad="2"/>
@ -6241,7 +6240,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="R20" pad="2"/>
<contactref element="R18" pad="1"/>
<contactref element="R19" pad="1"/>
<wire x1="65.0104" y1="50.7492" x2="65.0104" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="65.0104" y1="52.8828" x2="65.0104" y2="55.0164" width="0.1524" layer="1"/>
<wire x1="42.5082" y1="56.8706" x2="41.9862" y2="57.3926" width="0.6096" layer="1"/>
<wire x1="41.9862" y1="57.3926" x2="41.9862" y2="60.5028" width="0.6096" layer="1"/>
<contactref element="C8" pad="1"/>
@ -6390,9 +6389,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="5.4864" y1="3.429" x2="5.4864" y2="1.7894" width="0.8128" layer="1"/>
<wire x1="5.4864" y1="1.7894" x2="5.3734" y2="1.6764" width="0.8128" layer="1"/>
<wire x1="10.9728" y1="37.214" x2="10.9728" y2="38.862" width="0.8128" layer="1"/>
<wire x1="65.0104" y1="52.8828" x2="65.0104" y2="54.4712" width="0.1524" layer="1"/>
<wire x1="65.0104" y1="54.4712" x2="64.4652" y2="55.0164" width="0.1524" layer="1"/>
<via x="64.4652" y="55.0164" extent="1-16" drill="0.3"/>
<wire x1="65.0104" y1="55.0164" x2="65.0104" y2="54.4712" width="0.1524" layer="1"/>
<via x="65.0748" y="57.7596" extent="1-16" drill="0.3"/>
<wire x1="75.3872" y1="44.6532" x2="75.3986" y2="44.6646" width="0.4064" layer="1"/>
<wire x1="75.3986" y1="44.6646" x2="75.3986" y2="46.609" width="0.4064" layer="1"/>
<wire x1="75.3986" y1="46.609" x2="75.424" y2="46.6344" width="0.4064" layer="1"/>
@ -6469,6 +6467,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="78.7908" y="28.194" extent="1-16" drill="0.3"/>
<wire x1="72.9116" y1="49.0728" x2="72.2376" y2="49.7468" width="0.1524" layer="1"/>
<wire x1="72.2376" y1="49.7468" x2="72.2376" y2="52.578" width="0.1524" layer="1"/>
<wire x1="65.0748" y1="57.7596" x2="65.0748" y2="55.0808" width="0.1524" layer="1"/>
<wire x1="65.0748" y1="55.0808" x2="65.0104" y2="55.0164" width="0.1524" layer="1"/>
<wire x1="69.8246" y1="88.6596" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="59.2328" y1="98.4504" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="54.6354" y1="96.608" x2="57.1754" y2="98.4788" width="0" layer="19" extent="1-1"/>
@ -6489,8 +6489,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="34.3662" y1="47.8028" x2="31.8262" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="37.3012" y1="45.2882" x2="34.3662" y2="47.8028" width="0" layer="19" extent="1-1"/>
<wire x1="41.9862" y1="40.1828" x2="37.2872" y2="43.1038" width="0" layer="19" extent="1-1"/>
<wire x1="64.4652" y1="55.0164" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="68.7324" y1="49.2896" x2="65.0104" y2="50.7492" width="0" layer="19" extent="1-1"/>
<wire x1="65.0104" y1="52.8828" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="68.7324" y1="49.2896" x2="65.0104" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="72.2376" y1="49.7468" x2="68.7324" y2="49.2896" width="0" layer="19" extent="1-1"/>
<wire x1="75.424" y1="46.6344" x2="72.9116" y2="49.0728" width="0" layer="19" extent="1-1"/>
<wire x1="79.8576" y1="36.7928" x2="75.4126" y2="44.6278" width="0" layer="19" extent="1-1"/>
@ -6651,8 +6651,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="44.2896" y1="77.4132" x2="44.8678" y2="76.835" width="0.3048" layer="1"/>
<via x="62.992" y="58.0136" extent="1-16" drill="0.3"/>
<via x="69.7484" y="58.42" extent="1-16" drill="0.3"/>
<via x="62.8396" y="55.372" extent="1-16" drill="0.3"/>
<via x="65.4304" y="55.3212" extent="1-16" drill="0.3"/>
<wire x1="62.8396" y1="55.372" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-16"/>
<wire x1="64.0588" y1="55.3212" x2="64.0588" y2="55.3212" width="0" layer="19" extent="1-16"/>
<via x="60.7568" y="86.5124" extent="1-16" drill="0.3"/>
<via x="46.5328" y="84.4804" extent="1-16" drill="0.3"/>
<via x="72.6948" y="84.328" extent="1-16" drill="0.3"/>
@ -6979,6 +6979,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="16.7894" y="42.0624" extent="1-16" drill="0.3"/>
<wire x1="15.24" y1="42.037" x2="16.764" y2="42.037" width="0.1524" layer="1"/>
<wire x1="16.764" y1="42.037" x2="16.7894" y2="42.0624" width="0.1524" layer="1"/>
<contactref element="R24" pad="2"/>
<wire x1="85.7504" y1="96.1644" x2="86.5124" y2="98.7552" width="0" layer="19" extent="1-1"/>
<wire x1="87.7316" y1="93.8784" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
<wire x1="91.9988" y1="93.5736" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
@ -6991,8 +6992,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="73.2028" y1="61.4172" x2="75.5904" y2="67.2846" width="0" layer="19" extent="1-1"/>
<wire x1="69.7484" y1="58.42" x2="73.2028" y2="61.4172" width="0" layer="19" extent="1-1"/>
<wire x1="67.8688" y1="60.8076" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="65.4304" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="62.8396" y1="55.372" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="64.0588" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="62.8396" y1="55.372" x2="64.0588" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="76.5556" y1="56.9976" x2="73.2028" y2="61.4172" width="0" layer="19" extent="1-1"/>
<wire x1="77.6224" y1="57.0484" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
@ -7136,7 +7137,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
<wire x1="71.4248" y1="49.6824" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="71.4248" y1="49.6824" x2="64.0588" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="72.5424" y1="46.6344" x2="71.4248" y2="49.6824" width="0" layer="19" extent="1-1"/>
<wire x1="78.867" y1="46.482" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
<wire x1="77.1906" y1="39.5224" x2="78.867" y2="46.482" width="0" layer="19" extent="1-1"/>
@ -7159,7 +7160,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
<wire x1="91.5416" y1="62.7888" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="42.672" x2="88.1888" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="42.672" x2="88.4936" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="22.2504" x2="88.1888" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="15.9512" x2="88.4936" y2="22.2504" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="13.4112" x2="87.63" y2="15.9512" width="0" layer="19" extent="1-1"/>
@ -7170,6 +7171,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="90.2716" y1="1.2192" x2="91.6432" y2="1.2192" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="97.9932" x2="1.8288" y2="83.3628" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="98.9076" x2="1.016" y2="97.9932" width="0" layer="19" extent="1-1"/>
<wire x1="65.0104" y1="50.7492" x2="65.0104" y2="48.0704" width="0.1524" layer="1"/>
<wire x1="65.0104" y1="48.0704" x2="66.1416" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="66.1416" y1="46.9392" x2="66.1416" y2="45.72" width="0.1524" layer="1"/>
<via x="66.1416" y="45.72" extent="1-16" drill="0.3"/>
<wire x1="66.1416" y1="45.72" x2="66.5988" y2="45.72" width="0" layer="19" extent="1-16"/>
<wire x1="66.5988" y1="45.72" x2="66.7512" y2="45.8724" width="0" layer="19" extent="1-16"/>
<wire x1="66.7512" y1="45.8724" x2="72.5424" y2="46.6344" width="0" layer="19" extent="1-16"/>
</signal>
<signal name="A27">
<wire x1="82.55" y1="69.2912" x2="75.3872" y2="69.2912" width="0.1524" layer="16"/>
@ -8241,11 +8249,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
</signals>
<errors>
<approved hash="18,30,4daddc4e8f67e619"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,933d5dc68ee6b8e9"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,a0a8a9f4a989a0d5"/>
<approved hash="18,30,93e4923c922593fd"/>
</errors>

View File

@ -5404,12 +5404,10 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="37.9476" y="31.6992" extent="1-16" drill="0.3"/>
<wire x1="40.386" y1="37.338" x2="40.386" y2="43.434" width="0.1524" layer="1"/>
<wire x1="40.386" y1="43.434" x2="39.9288" y2="43.8912" width="0.1524" layer="1"/>
<wire x1="39.9288" y1="43.8912" x2="39.9288" y2="46.482" width="0.1524" layer="1"/>
<wire x1="39.9288" y1="46.482" x2="39.4716" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="39.4716" y1="46.9392" x2="39.4716" y2="53.0352" width="0.1524" layer="1"/>
<via x="39.4716" y="53.0352" extent="1-16" drill="0.3"/>
<wire x1="39.4716" y1="53.0352" x2="39.9288" y2="53.4924" width="0.1524" layer="16"/>
<wire x1="39.9288" y1="53.4924" x2="47.3964" y2="53.4924" width="0.1524" layer="16"/>
<wire x1="39.9288" y1="43.8912" x2="39.9288" y2="53.1876" width="0.1524" layer="1"/>
<via x="39.9288" y="53.1876" extent="1-16" drill="0.3"/>
<wire x1="39.9288" y1="53.1876" x2="40.2336" y2="53.4924" width="0.1524" layer="16"/>
<wire x1="40.2336" y1="53.4924" x2="47.3964" y2="53.4924" width="0.1524" layer="16"/>
<wire x1="47.3964" y1="53.4924" x2="48.006" y2="54.102" width="0.1524" layer="16"/>
<wire x1="48.006" y1="54.102" x2="54.864" y2="54.102" width="0.1524" layer="16"/>
<wire x1="60.6552" y1="60.198" x2="58.5216" y2="58.0644" width="0.1524" layer="1"/>
@ -5566,7 +5564,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="44.958" y1="88.392" x2="68.58" y2="88.392" width="0.1524" layer="16"/>
<wire x1="71.9328" y1="85.0392" x2="71.9328" y2="64.77" width="0.1524" layer="1"/>
<wire x1="71.9328" y1="64.77" x2="80.772" y2="55.9308" width="0.1524" layer="1"/>
<wire x1="80.772" y1="55.9308" x2="80.772" y2="33.2232" width="0.1524" layer="1"/>
<wire x1="80.772" y1="55.9308" x2="80.772" y2="35.6616" width="0.1524" layer="1"/>
<contactref element="R8" pad="2"/>
<contactref element="X1" pad="A6"/>
<wire x1="43.8912" y1="87.3252" x2="39.3192" y2="87.3252" width="0.1524" layer="16"/>
@ -5575,11 +5573,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="39.3192" y1="94.7876" x2="39.2896" y2="94.8172" width="0.1524" layer="1"/>
<wire x1="39.3192" y1="87.3252" x2="39.3192" y2="82.296" width="0.1524" layer="1"/>
<wire x1="39.3192" y1="82.296" x2="36.7284" y2="79.7052" width="0.1524" layer="1"/>
<wire x1="80.772" y1="33.2232" x2="81.534" y2="32.4612" width="0.1524" layer="1"/>
<wire x1="81.534" y1="32.4612" x2="85.9536" y2="32.4612" width="0.1524" layer="1"/>
<wire x1="85.9536" y1="32.4612" x2="86.4108" y2="32.004" width="0.1524" layer="1"/>
<wire x1="86.4108" y1="32.004" x2="86.4108" y2="27.3304" width="0.1524" layer="1"/>
<wire x1="86.4108" y1="27.3304" x2="87.63" y2="26.1112" width="0.1524" layer="1"/>
<wire x1="80.772" y1="35.6616" x2="81.534" y2="34.8996" width="0.1524" layer="1"/>
<wire x1="81.534" y1="34.8996" x2="88.392" y2="34.8996" width="0.1524" layer="1"/>
<wire x1="88.392" y1="34.8996" x2="88.8492" y2="34.4424" width="0.1524" layer="1"/>
<wire x1="88.8492" y1="34.4424" x2="88.8492" y2="27.3304" width="0.1524" layer="1"/>
<wire x1="88.8492" y1="27.3304" x2="87.63" y2="26.1112" width="0.1524" layer="1"/>
<via x="35.814" y="40.8432" extent="1-16" drill="0.3"/>
<wire x1="36.4236" y1="77.1144" x2="36.4236" y2="78.0288" width="0.1524" layer="1"/>
<wire x1="36.4236" y1="78.0288" x2="36.7284" y2="78.3336" width="0.1524" layer="1"/>
@ -5612,17 +5610,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="65.9892" y1="32.9184" x2="66.9036" y2="32.9184" width="0.1524" layer="16"/>
<wire x1="66.9036" y1="32.9184" x2="68.1228" y2="31.6992" width="0.1524" layer="16"/>
<wire x1="68.1228" y1="31.6992" x2="80.4672" y2="31.6992" width="0.1524" layer="16"/>
<wire x1="86.4108" y1="33.3756" x2="86.4108" y2="34.29" width="0.1524" layer="16"/>
<wire x1="86.4108" y1="34.29" x2="87.0204" y2="34.8996" width="0.1524" layer="16"/>
<wire x1="87.0204" y1="34.8996" x2="88.392" y2="34.8996" width="0.1524" layer="16"/>
<wire x1="88.392" y1="34.8996" x2="89.3064" y2="33.9852" width="0.1524" layer="16"/>
<wire x1="89.3064" y1="33.9852" x2="89.3064" y2="31.5468" width="0.1524" layer="16"/>
<wire x1="89.3064" y1="31.5468" x2="89.0016" y2="31.242" width="0.1524" layer="16"/>
<wire x1="89.0016" y1="31.242" x2="87.6808" y2="31.242" width="0.1524" layer="16"/>
<wire x1="87.6808" y1="31.242" x2="87.63" y2="31.1912" width="0.1524" layer="16"/>
<wire x1="80.6196" y1="31.8516" x2="80.9244" y2="32.1564" width="0.1524" layer="16"/>
<wire x1="80.9244" y1="32.1564" x2="85.1916" y2="32.1564" width="0.1524" layer="16"/>
<wire x1="85.1916" y1="32.1564" x2="86.4108" y2="33.3756" width="0.1524" layer="16"/>
<wire x1="79.0956" y1="32.2208" x2="80.2504" y2="32.2208" width="0.1524" layer="1"/>
<wire x1="80.2504" y1="32.2208" x2="80.6196" y2="31.8516" width="0.1524" layer="1"/>
<via x="80.6196" y="31.8516" extent="1-16" drill="0.3"/>
@ -5632,6 +5619,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="30.48" y1="38.4048" x2="30.48" y2="40.386" width="0.1524" layer="1"/>
<wire x1="30.48" y1="40.386" x2="29.2862" y2="41.5798" width="0.1524" layer="1"/>
<wire x1="29.2862" y1="41.5798" x2="29.2862" y2="42.7228" width="0.1524" layer="1"/>
<wire x1="80.6196" y1="31.8516" x2="81.0768" y2="32.3088" width="0.1524" layer="1"/>
<wire x1="81.0768" y1="32.3088" x2="86.5124" y2="32.3088" width="0.1524" layer="1"/>
<wire x1="86.5124" y1="32.3088" x2="87.63" y2="31.1912" width="0.1524" layer="1"/>
</signal>
<signal name="CBACK">
<contactref element="IC1" pad="J01"/>
@ -5708,24 +5698,22 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="41.1734" y1="29.8958" x2="39.9796" y2="31.0896" width="0.1524" layer="16"/>
<via x="39.9796" y="31.0896" extent="1-16" drill="0.3"/>
<wire x1="39.9796" y1="31.0896" x2="39.9796" y2="33.4264" width="0.1524" layer="1"/>
<wire x1="40.9956" y1="36.8808" x2="40.9956" y2="44.196" width="0.1524" layer="1"/>
<wire x1="40.9956" y1="44.196" x2="40.8432" y2="44.3484" width="0.1524" layer="1"/>
<via x="40.8432" y="49.276" extent="1-16" drill="0.3"/>
<wire x1="40.8432" y1="44.3484" x2="40.8432" y2="45.7962" width="0" layer="19" extent="1-16"/>
<wire x1="40.8432" y1="44.3484" x2="40.8432" y2="49.276" width="0.1524" layer="1"/>
<wire x1="40.8432" y1="49.276" x2="32.893" y2="49.276" width="0.1524" layer="16"/>
<wire x1="41.148" y1="37.0332" x2="41.148" y2="44.3484" width="0.1524" layer="1"/>
<via x="41.148" y="49.4284" extent="1-16" drill="0.3"/>
<wire x1="41.148" y1="44.3484" x2="41.148" y2="49.4284" width="0.1524" layer="1"/>
<wire x1="41.148" y1="49.4284" x2="32.7406" y2="49.4284" width="0.1524" layer="16"/>
<wire x1="77.0128" y1="47.3456" x2="65.3288" y2="47.3456" width="0.1524" layer="16"/>
<wire x1="60.198" y1="44.3484" x2="40.8432" y2="44.3484" width="0.1524" layer="16"/>
<via x="40.8432" y="44.3484" extent="1-16" drill="0.3"/>
<wire x1="40.8432" y1="49.276" x2="40.8432" y2="55.9308" width="0.1524" layer="1"/>
<wire x1="40.8432" y1="55.9308" x2="40.386" y2="56.388" width="0.1524" layer="1"/>
<wire x1="60.198" y1="44.3484" x2="41.148" y2="44.3484" width="0.1524" layer="16"/>
<via x="41.148" y="44.3484" extent="1-16" drill="0.3"/>
<wire x1="41.148" y1="49.4284" x2="41.148" y2="55.626" width="0.1524" layer="1"/>
<wire x1="41.148" y1="55.626" x2="40.386" y2="56.388" width="0.1524" layer="1"/>
<wire x1="40.386" y1="56.388" x2="40.386" y2="61.4172" width="0.1524" layer="1"/>
<wire x1="40.386" y1="61.4172" x2="40.0812" y2="61.722" width="0.1524" layer="1"/>
<wire x1="40.0812" y1="61.722" x2="40.0812" y2="62.484" width="0.1524" layer="1"/>
<wire x1="40.0812" y1="62.484" x2="40.386" y2="62.7888" width="0.1524" layer="1"/>
<wire x1="40.386" y1="62.7888" x2="40.386" y2="64.4652" width="0.1524" layer="1"/>
<wire x1="40.386" y1="64.4652" x2="40.6908" y2="64.77" width="0.1524" layer="1"/>
<wire x1="32.893" y1="49.276" x2="31.8262" y2="50.3428" width="0.1524" layer="16"/>
<wire x1="32.7406" y1="49.4284" x2="31.8262" y2="50.3428" width="0.1524" layer="16"/>
<contactref element="IC7" pad="81"/>
<wire x1="40.2896" y1="78.8172" x2="40.2896" y2="68.3208" width="0.1524" layer="1"/>
<wire x1="40.2896" y1="68.3208" x2="41.148" y2="67.4624" width="0.1524" layer="1"/>
@ -5736,13 +5724,12 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="60.198" y1="44.3484" x2="60.5028" y2="44.6532" width="0.1524" layer="16"/>
<wire x1="60.5028" y1="44.6532" x2="62.6364" y2="44.6532" width="0.1524" layer="16"/>
<wire x1="62.6364" y1="44.6532" x2="65.3288" y2="47.3456" width="0.1524" layer="16"/>
<wire x1="40.9956" y1="36.8808" x2="40.386" y2="36.2712" width="0.1524" layer="1"/>
<wire x1="41.148" y1="37.0332" x2="40.386" y2="36.2712" width="0.1524" layer="1"/>
<wire x1="40.386" y1="36.2712" x2="40.386" y2="33.8328" width="0.1524" layer="1"/>
<wire x1="40.386" y1="33.8328" x2="39.9796" y2="33.4264" width="0.1524" layer="1"/>
<wire x1="40.6908" y1="64.77" x2="40.6908" y2="66.294" width="0.1524" layer="1"/>
<wire x1="40.6908" y1="66.294" x2="41.148" y2="66.7512" width="0.1524" layer="1"/>
<wire x1="41.148" y1="66.7512" x2="41.148" y2="67.4624" width="0.1524" layer="1"/>
<wire x1="40.8622" y1="45.7772" x2="40.8432" y2="45.7962" width="0" layer="19" extent="1-16"/>
<contactref element="R23" pad="2"/>
<wire x1="74.6116" y1="49.0728" x2="76.3388" y2="47.3456" width="0.1524" layer="1"/>
<wire x1="76.3388" y1="47.3456" x2="76.962" y2="47.3456" width="0.1524" layer="1"/>
@ -5757,17 +5744,14 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="IC3" pad="31"/>
<wire x1="39.9034" y1="5.0686" x2="39.9034" y2="26.8732" width="0.1524" layer="1"/>
<via x="39.9034" y="26.8732" extent="1-16" drill="0.3"/>
<wire x1="40.6908" y1="37.0332" x2="40.6908" y2="43.5864" width="0.1524" layer="1"/>
<wire x1="40.6908" y1="43.5864" x2="40.2336" y2="44.0436" width="0.1524" layer="1"/>
<wire x1="40.2336" y1="44.0436" x2="40.2336" y2="47.0916" width="0.1524" layer="1"/>
<wire x1="40.2336" y1="47.0916" x2="40.0812" y2="47.244" width="0.1524" layer="1"/>
<via x="40.0812" y="52.1716" extent="1-16" drill="0.3"/>
<wire x1="40.0812" y1="47.244" x2="40.0812" y2="52.1716" width="0.1524" layer="1"/>
<wire x1="40.0812" y1="52.1716" x2="37.846" y2="52.1716" width="0.1524" layer="16"/>
<wire x1="40.6908" y1="37.0332" x2="40.6908" y2="43.7388" width="0.1524" layer="1"/>
<wire x1="40.6908" y1="43.7388" x2="40.5384" y2="43.8912" width="0.1524" layer="1"/>
<via x="40.5384" y="52.1716" extent="1-16" drill="0.3"/>
<wire x1="40.5384" y1="43.8912" x2="40.5384" y2="52.1716" width="0.1524" layer="1"/>
<wire x1="40.5384" y1="52.1716" x2="37.846" y2="52.1716" width="0.1524" layer="16"/>
<wire x1="37.846" y1="52.1716" x2="37.6428" y2="51.9684" width="0.1524" layer="16"/>
<wire x1="37.6428" y1="51.9684" x2="30.2006" y2="51.9684" width="0.1524" layer="16"/>
<wire x1="30.2006" y1="51.9684" x2="29.2862" y2="52.8828" width="0.1524" layer="16"/>
<wire x1="43.1484" y1="47.3772" x2="42.2148" y2="48.3108" width="0" layer="19" extent="1-16"/>
<contactref element="X1" pad="A9"/>
<wire x1="86.2076" y1="32.3088" x2="87.63" y2="33.7312" width="0.1524" layer="16"/>
<wire x1="39.2684" y1="30.6832" x2="39.2684" y2="27.5082" width="0.1524" layer="16"/>
@ -5779,10 +5763,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="79.4004" y1="31.3944" x2="65.9892" y2="31.3944" width="0.1524" layer="16"/>
<wire x1="65.9892" y1="31.3944" x2="65.3796" y2="32.004" width="0.1524" layer="16"/>
<wire x1="65.3796" y1="32.004" x2="39.4716" y2="32.004" width="0.1524" layer="16"/>
<wire x1="42.2148" y1="48.3108" x2="42.2148" y2="52.1208" width="0" layer="19" extent="1-16"/>
<wire x1="42.2148" y1="52.1208" x2="42.2148" y2="52.1208" width="0" layer="19" extent="1-16"/>
<wire x1="42.2148" y1="52.1208" x2="42.164" y2="52.1716" width="0" layer="19" extent="1-16"/>
<wire x1="42.164" y1="52.1716" x2="40.0812" y2="52.1716" width="0" layer="19" extent="1-16"/>
<wire x1="40.6908" y1="37.0332" x2="39.7764" y2="36.1188" width="0.1524" layer="1"/>
<wire x1="39.7764" y1="36.1188" x2="39.0144" y2="36.1188" width="0.1524" layer="1"/>
<wire x1="39.0144" y1="36.1188" x2="38.5572" y2="35.6616" width="0.1524" layer="1"/>
@ -5912,15 +5892,15 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="30.9372" y1="42.3672" x2="32.766" y2="40.5384" width="0.1524" layer="1"/>
<wire x1="32.766" y1="40.5384" x2="32.766" y2="29.4132" width="0.1524" layer="1"/>
<wire x1="32.766" y1="29.4132" x2="32.4612" y2="29.1084" width="0.1524" layer="1"/>
<via x="41.6052" y="47.5488" extent="1-16" drill="0.3"/>
<via x="41.7576" y="47.5488" extent="1-16" drill="0.3"/>
<wire x1="82.55" y1="64.2112" x2="73.8632" y2="64.2112" width="0.1524" layer="16"/>
<wire x1="73.8632" y1="64.2112" x2="71.374" y2="61.722" width="0.1524" layer="16"/>
<wire x1="71.374" y1="61.722" x2="40.9956" y2="61.722" width="0.1524" layer="16"/>
<wire x1="40.9956" y1="61.722" x2="40.6908" y2="62.0268" width="0.1524" layer="16"/>
<via x="40.6908" y="62.0268" extent="1-16" drill="0.3"/>
<wire x1="40.6908" y1="62.0268" x2="40.6908" y2="56.5404" width="0.1524" layer="1"/>
<wire x1="40.6908" y1="56.5404" x2="41.6052" y2="55.626" width="0.1524" layer="1"/>
<wire x1="41.6052" y1="55.626" x2="41.6052" y2="47.5488" width="0.1524" layer="1"/>
<wire x1="40.6908" y1="56.5404" x2="41.7576" y2="55.4736" width="0.1524" layer="1"/>
<wire x1="41.7576" y1="55.4736" x2="41.7576" y2="47.5488" width="0.1524" layer="1"/>
<wire x1="40.6908" y1="62.0268" x2="40.6908" y2="63.7032" width="0.1524" layer="1"/>
<contactref element="IC7" pad="82"/>
<wire x1="40.7896" y1="78.8172" x2="40.7896" y2="68.3288" width="0.1524" layer="1"/>
@ -5939,9 +5919,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="40.9956" y1="66.1416" x2="41.4528" y2="66.5988" width="0.1524" layer="1"/>
<wire x1="41.4528" y1="66.5988" x2="41.4528" y2="67.6656" width="0.1524" layer="1"/>
<wire x1="41.4528" y1="67.6656" x2="40.7896" y2="68.3288" width="0.1524" layer="1"/>
<wire x1="41.6052" y1="47.5488" x2="42.5768" y2="46.5772" width="0" layer="19" extent="1-16"/>
<wire x1="37.6428" y1="46.1772" x2="39.0144" y2="47.5488" width="0.1524" layer="16"/>
<wire x1="39.0144" y1="47.5488" x2="41.6052" y2="47.5488" width="0.1524" layer="16"/>
<wire x1="39.0144" y1="47.5488" x2="41.7576" y2="47.5488" width="0.1524" layer="16"/>
<contactref element="R9" pad="2"/>
<wire x1="80.4028" y1="64.6176" x2="80.8092" y2="64.2112" width="0.1524" layer="1"/>
<wire x1="80.8092" y1="64.2112" x2="82.55" y2="64.2112" width="0.1524" layer="1"/>
@ -5953,7 +5932,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="33.0708" y1="41.4782" x2="31.8262" y2="42.7228" width="0.1524" layer="1"/>
<wire x1="32.9184" y1="43.7388" x2="39.2176" y2="43.7388" width="0.1524" layer="16"/>
<wire x1="39.2176" y1="43.7388" x2="40.4368" y2="44.958" width="0.1524" layer="16"/>
<wire x1="40.4368" y1="44.958" x2="43.5864" y2="44.958" width="0" layer="19" extent="1-16"/>
<wire x1="40.4368" y1="44.958" x2="47.7012" y2="44.958" width="0.1524" layer="16"/>
<wire x1="47.7012" y1="44.958" x2="51.9684" y2="44.958" width="0.1524" layer="16"/>
<wire x1="51.9684" y1="44.958" x2="53.1876" y2="46.1772" width="0.1524" layer="16"/>
@ -5968,8 +5946,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="IC7" pad="98"/>
<wire x1="50.7492" y1="74.422" x2="48.7896" y2="76.3816" width="0.1524" layer="1"/>
<wire x1="48.7896" y1="76.3816" x2="48.7896" y2="78.8172" width="0.1524" layer="1"/>
<wire x1="43.6056" y1="44.9772" x2="43.5864" y2="44.958" width="0" layer="19" extent="1-16"/>
<wire x1="43.5864" y1="44.958" x2="43.5864" y2="44.958" width="0" layer="19" extent="1-16"/>
<wire x1="28.1178" y1="27.9146" x2="28.3972" y2="28.194" width="0.1524" layer="1"/>
<via x="28.3972" y="28.194" extent="1-16" drill="0.3"/>
<via x="32.6136" y="28.194" extent="1-16" drill="0.3"/>
@ -5983,8 +5959,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="81.3816" y1="37.7952" x2="81.6864" y2="37.4904" width="0.1524" layer="1"/>
<wire x1="81.6864" y1="37.4904" x2="86.4108" y2="37.4904" width="0.1524" layer="1"/>
<wire x1="86.4108" y1="37.4904" x2="87.63" y2="36.2712" width="0.1524" layer="1"/>
<wire x1="81.3816" y1="60.198" x2="81.6864" y2="60.5028" width="0.1524" layer="1"/>
<wire x1="81.6864" y1="60.5028" x2="86.4616" y2="60.5028" width="0.1524" layer="1"/>
<wire x1="86.4616" y1="60.5028" x2="87.63" y2="61.6712" width="0.1524" layer="1"/>
<wire x1="28.1178" y1="15.0114" x2="28.9306" y2="14.1986" width="0.1524" layer="1"/>
<wire x1="50.7492" y1="60.198" x2="49.9872" y2="59.436" width="0.1524" layer="1"/>
@ -5995,8 +5969,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="47.7012" y1="51.816" x2="47.7012" y2="44.958" width="0.1524" layer="1"/>
<via x="47.7012" y="44.958" extent="1-16" drill="0.3"/>
<contactref element="R3" pad="2"/>
<wire x1="81.6864" y1="60.5028" x2="81.6864" y2="60.4384" width="0.1524" layer="1"/>
<wire x1="81.6864" y1="60.4384" x2="80.4028" y2="61.722" width="0.1524" layer="1"/>
<wire x1="81.3816" y1="60.198" x2="81.6864" y2="60.5028" width="0.1524" layer="1"/>
<wire x1="81.6864" y1="60.5028" x2="81.6864" y2="60.96" width="0.1524" layer="1"/>
<wire x1="81.6864" y1="60.96" x2="80.9244" y2="61.722" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="61.722" x2="80.4028" y2="61.722" width="0.1524" layer="1"/>
<wire x1="81.6864" y1="60.5028" x2="86.4616" y2="60.5028" width="0.1524" layer="1"/>
</signal>
<signal name="DBEN">
<contactref element="IC1" pad="M01"/>
@ -6283,9 +6260,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="75.4126" y1="44.6278" x2="75.3872" y2="44.6532" width="0.6096" layer="1"/>
<wire x1="35.7896" y1="81.8172" x2="35.8112" y2="81.8388" width="0.1524" layer="1"/>
<wire x1="37.3012" y1="45.2882" x2="37.3012" y2="44.1084" width="0.6096" layer="1"/>
<wire x1="37.3012" y1="44.1084" x2="37.1348" y2="43.942" width="0.6096" layer="1"/>
<wire x1="37.1348" y1="43.942" x2="37.1348" y2="42.9514" width="0.6096" layer="1"/>
<wire x1="37.1348" y1="42.9514" x2="36.9062" y2="42.7228" width="0.6096" layer="1"/>
<wire x1="37.3012" y1="44.1084" x2="37.2872" y2="44.0944" width="0.6096" layer="1"/>
<wire x1="37.2872" y1="44.0944" x2="37.2872" y2="43.1038" width="0.6096" layer="1"/>
<wire x1="37.2872" y1="43.1038" x2="36.9062" y2="42.7228" width="0.6096" layer="1"/>
<contactref element="C10" pad="1"/>
<contactref element="C11" pad="1"/>
<wire x1="33.133" y1="86.7664" x2="33.6838" y2="87.3172" width="0.3048" layer="1"/>
@ -6484,55 +6461,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="78.7908" y="28.194" extent="1-16" drill="0.3"/>
<wire x1="72.9116" y1="49.0728" x2="72.2376" y2="49.7468" width="0.1524" layer="1"/>
<wire x1="72.2376" y1="49.7468" x2="72.2376" y2="52.578" width="0.1524" layer="1"/>
<wire x1="69.8246" y1="88.6596" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="59.2328" y1="98.4504" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="54.6354" y1="96.608" x2="57.1754" y2="98.4788" width="0" layer="19" extent="1-1"/>
<wire x1="44.2896" y1="94.8172" x2="52.6034" y2="96.608" width="0" layer="19" extent="1-1"/>
<wire x1="49.9872" y1="86.5124" x2="44.1452" y2="93.726" width="0" layer="19" extent="1-1"/>
<wire x1="44.323" y1="80.4418" x2="49.9872" y2="86.5124" width="0" layer="19" extent="1-1"/>
<wire x1="36.4236" y1="75.272" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
<wire x1="33.133" y1="86.7664" x2="34.7256" y2="81.8172" width="0" layer="19" extent="1-1"/>
<wire x1="41.9862" y1="60.5028" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
<wire x1="36.9062" y1="57.9628" x2="41.9862" y2="57.3926" width="0" layer="19" extent="1-1"/>
<wire x1="47.5742" y1="53.3794" x2="42.5082" y2="56.8706" width="0" layer="19" extent="1-1"/>
<wire x1="52.1462" y1="57.9628" x2="48.7172" y2="53.3908" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="52.8828" x2="52.1462" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="47.8028" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="53.34" y1="45.1104" x2="54.6862" y2="47.8028" width="0" layer="19" extent="1-1"/>
<wire x1="52.1462" y1="42.7228" x2="52.1716" y2="44.7942" width="0" layer="19" extent="1-1"/>
<wire x1="31.8262" y1="52.8828" x2="36.9062" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="47.8028" x2="31.8262" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="37.3012" y1="45.2882" x2="34.3662" y2="47.8028" width="0" layer="19" extent="1-1"/>
<wire x1="41.9862" y1="40.1828" x2="37.1348" y2="42.9514" width="0" layer="19" extent="1-1"/>
<wire x1="64.4652" y1="55.0164" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="68.7324" y1="49.2896" x2="65.0104" y2="50.7492" width="0" layer="19" extent="1-1"/>
<wire x1="72.2376" y1="49.7468" x2="68.7324" y2="49.2896" width="0" layer="19" extent="1-1"/>
<wire x1="75.424" y1="46.6344" x2="72.9116" y2="49.0728" width="0" layer="19" extent="1-1"/>
<wire x1="79.8576" y1="36.7928" x2="75.4126" y2="44.6278" width="0" layer="19" extent="1-1"/>
<wire x1="75.3872" y1="31.4084" x2="79.0956" y2="33.9208" width="0" layer="19" extent="1-1"/>
<wire x1="78.7908" y1="28.868" x2="75.3872" y2="29.6164" width="0" layer="19" extent="1-1"/>
<wire x1="77.8764" y1="24.9056" x2="78.7908" y2="28.194" width="0" layer="19" extent="1-1"/>
<wire x1="77.724" y1="61.722" x2="71.9836" y2="54.4204" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="23.5712" x2="77.8764" y2="23.4696" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="21.0312" x2="87.63" y2="23.5712" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="18.4912" x2="87.63" y2="21.0312" width="0" layer="19" extent="1-1"/>
<wire x1="31.5976" y1="33.5788" x2="36.9062" y2="42.7228" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="35.2806" x2="31.5836" y2="33.5648" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="19.2786" x2="33.3134" y2="26.8986" width="0" layer="19" extent="1-1"/>
<wire x1="15.3924" y1="34.3544" x2="24.7904" y2="35.2806" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="37.214" x2="15.3924" y2="34.3544" width="0" layer="19" extent="1-1"/>
<wire x1="5.221" y1="38.862" x2="10.9728" y2="38.862" width="0" layer="19" extent="1-1"/>
<wire x1="1.9304" y1="40.3606" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="6.5786" x2="33.3134" y2="16.7386" width="0" layer="19" extent="1-1"/>
<wire x1="55.513" y1="32.4612" x2="52.1462" y2="42.7228" width="0" layer="19" extent="1-1"/>
<wire x1="56.6534" y1="18.0086" x2="55.1434" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="65.5828" y1="12.5192" x2="61.4172" y2="17.4104" width="0" layer="19" extent="1-1"/>
<wire x1="60.932" y1="5.0686" x2="65.5828" y2="12.5192" width="0" layer="19" extent="1-1"/>
<wire x1="5.0686" y1="53.9496" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
<wire x1="5.221" y1="69.0372" x2="5.1816" y2="55.7022" width="0" layer="19" extent="1-1"/>
<wire x1="4.9428" y1="84.582" x2="5.1816" y2="70.9422" width="0" layer="19" extent="1-1"/>
<wire x1="5.334" y1="18.9738" x2="15.3924" y2="33.2232" width="0" layer="19" extent="1-1"/>
<wire x1="5.4864" y1="3.429" x2="5.334" y2="16.1544" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="GND">
<contactref element="IC2" pad="16"/>
@ -6887,7 +6815,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="88.4936" y="62.9412" extent="1-16" drill="0.3"/>
<via x="88.4936" y="52.8828" extent="1-16" drill="0.3"/>
<via x="88.4936" y="42.672" extent="1-16" drill="0.3"/>
<via x="88.4936" y="32.4612" extent="1-16" drill="0.3"/>
<via x="88.1888" y="32.4612" extent="1-16" drill="0.3"/>
<via x="88.4936" y="22.2504" extent="1-16" drill="0.3"/>
<via x="88.4936" y="12.192" extent="1-16" drill="0.3"/>
<via x="71.4248" y="49.6824" extent="1-16" drill="0.3"/>
@ -6994,197 +6922,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="16.7894" y="42.0624" extent="1-16" drill="0.3"/>
<wire x1="15.24" y1="42.037" x2="16.764" y2="42.037" width="0.1524" layer="1"/>
<wire x1="16.764" y1="42.037" x2="16.7894" y2="42.0624" width="0.1524" layer="1"/>
<wire x1="85.7504" y1="96.1644" x2="86.5124" y2="98.7552" width="0" layer="19" extent="1-1"/>
<wire x1="87.7316" y1="93.8784" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
<wire x1="91.9988" y1="93.5736" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
<wire x1="78.473" y1="90.354" x2="81.5532" y2="95.7202" width="0" layer="19" extent="1-1"/>
<wire x1="75.7428" y1="88.4428" x2="75.7428" y2="89.9668" width="0" layer="19" extent="1-1"/>
<wire x1="75.8952" y1="68.707" x2="78.473" y2="68.5228" width="0" layer="19" extent="1-1"/>
<wire x1="75.5904" y1="67.2846" x2="75.8952" y2="68.707" width="0" layer="19" extent="1-1"/>
<wire x1="74.3204" y1="94.3102" x2="76.13" y2="90.354" width="0" layer="19" extent="1-1"/>
<wire x1="72.6948" y1="84.328" x2="75.7428" y2="88.4428" width="0" layer="19" extent="1-1"/>
<wire x1="73.2028" y1="61.4172" x2="75.5904" y2="67.2846" width="0" layer="19" extent="1-1"/>
<wire x1="69.7484" y1="58.42" x2="73.2028" y2="61.4172" width="0" layer="19" extent="1-1"/>
<wire x1="67.8688" y1="60.8076" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="65.4304" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="62.8396" y1="55.372" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="76.5556" y1="56.9976" x2="73.2028" y2="61.4172" width="0" layer="19" extent="1-1"/>
<wire x1="77.6224" y1="57.0484" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="58.6232" y1="48.8696" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="50.3428" x2="58.6232" y2="48.8696" width="0" layer="19" extent="1-1"/>
<wire x1="52.1716" y1="47.6504" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="45.2628" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
<wire x1="48.8188" y1="47.3964" x2="52.1716" y2="47.6504" width="0" layer="19" extent="1-1"/>
<wire x1="44.1452" y1="48.768" x2="48.8188" y2="47.3964" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="55.4228" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="39.0512" y1="46.496" x2="44.1452" y2="48.768" width="0" layer="19" extent="1-1"/>
<wire x1="37.592" y1="47.7012" x2="39.0144" y2="46.5328" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="50.2412" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="50.3428" x2="36.9824" y2="50.2412" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="52.8828" x2="34.3662" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="55.4228" x2="34.3662" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="55.4228" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="41.7068" y1="43.688" x2="39.0512" y2="45.2882" width="0" layer="19" extent="1-1"/>
<wire x1="30.5816" y1="54.2036" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="28.194" y1="57.2516" x2="30.5816" y2="54.2036" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="45.2628" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="40.1828" x2="41.7068" y2="43.688" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="54.356" x2="28.194" y2="57.2516" width="0" layer="19" extent="1-1"/>
<wire x1="23.876" y1="51.7652" x2="24.7904" y2="54.356" width="0" layer="19" extent="1-1"/>
<wire x1="24.7396" y1="49.276" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="46.6852" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="44.9072" x2="24.7904" y2="46.6852" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="42.0116" x2="20.9804" y2="44.9072" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="40.3606" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="16.7894" y1="42.0624" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="14.2748" y1="37.8968" x2="15.24" y2="42.037" width="0" layer="19" extent="1-1"/>
<wire x1="29.21" y1="49.022" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="40.1828" x2="41.7068" y2="43.688" width="0" layer="19" extent="1-1"/>
<wire x1="47.0662" y1="40.1828" x2="44.5262" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="48.0314" y1="38.3286" x2="47.0662" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="40.1828" x2="48.0314" y2="38.3286" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="34.414" x2="14.2748" y2="37.8968" width="0" layer="19" extent="1-1"/>
<wire x1="15.3416" y1="32.1056" x2="10.9728" y2="32.766" width="0" layer="19" extent="1-1"/>
<wire x1="15.3924" y1="30.4038" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="32.1056" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="19.7612" y1="34.2392" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="31.496" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="28.9052" x2="24.7904" y2="31.496" width="0" layer="19" extent="1-1"/>
<wire x1="25.7302" y1="28.829" x2="24.7904" y2="28.9052" width="0" layer="19" extent="1-1"/>
<wire x1="29.718" y1="31.3944" x2="25.7302" y2="28.829" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="37.6428" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
<wire x1="10.16" y1="41.2496" x2="6.971" y2="38.862" width="0" layer="19" extent="1-1"/>
<wire x1="34.798" y1="30.1244" x2="29.8336" y2="31.51" width="0" layer="19" extent="1-1"/>
<wire x1="36.0934" y1="30.1244" x2="34.8234" y2="30.099" width="0" layer="19" extent="1-1"/>
<wire x1="37.3634" y1="28.4086" x2="36.0934" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="38.6334" y1="30.099" x2="37.3634" y2="30.099" width="0" layer="19" extent="1-1"/>
<wire x1="39.9034" y1="28.4086" x2="38.6334" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="24.3586" x2="34.8234" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="31.1912" y1="22.2504" x2="31.9278" y2="24.3586" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="60.5028" x2="36.9824" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="60.5028" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="44.2976" y1="57.9628" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="57.9628" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="47.766" y1="55.3212" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="28.956" y1="16.3462" x2="31.623" y2="21.8186" width="0" layer="19" extent="1-1"/>
<wire x1="38.608" y1="11.9888" x2="33.3134" y2="15.4686" width="0" layer="19" extent="1-1"/>
<wire x1="42.4688" y1="14.1224" x2="38.6334" y2="11.9634" width="0" layer="19" extent="1-1"/>
<wire x1="43.688" y1="14.1224" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="44.958" y1="14.1224" x2="43.688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="46.228" y1="14.1224" x2="44.958" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="48.1076" y1="14.1224" x2="46.228" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="42.418" y1="19.8628" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="43.688" y1="19.9136" x2="42.418" y2="19.8628" width="0" layer="19" extent="1-1"/>
<wire x1="44.958" y1="19.9136" x2="43.688" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="46.2788" y1="19.9136" x2="44.958" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="54.102" y1="12.6492" x2="48.1076" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="56.6534" y1="15.4686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
<wire x1="63.1952" y1="17.1704" x2="61.214" y2="15.4572" width="0" layer="19" extent="1-1"/>
<wire x1="63.8048" y1="18.1864" x2="63.1952" y2="17.1704" width="0" layer="19" extent="1-1"/>
<wire x1="65.5828" y1="16.7132" x2="65.3288" y2="18.1864" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="19.9136" x2="63.8048" y2="18.1864" width="0" layer="19" extent="1-1"/>
<wire x1="67.7672" y1="15.2908" x2="65.5828" y2="15.3192" width="0" layer="19" extent="1-1"/>
<wire x1="58.5216" y1="19.9644" x2="62.992" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="52.2732" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="53.594" x2="15.24" y2="52.197" width="0" layer="19" extent="1-1"/>
<wire x1="36.8808" y1="67.5132" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="52.6034" y1="5.0686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
<wire x1="56.2356" y1="3.7592" x2="52.6034" y2="3.683" width="0" layer="19" extent="1-1"/>
<wire x1="59.2836" y1="3.7592" x2="56.2356" y2="3.7592" width="0" layer="19" extent="1-1"/>
<wire x1="67.4116" y1="90.5256" x2="74.3204" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="64.1604" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
<wire x1="61.6712" y1="97.3836" x2="64.1604" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="59.2836" y1="95.6564" x2="61.6712" y2="97.3836" width="0" layer="19" extent="1-1"/>
<wire x1="51.7896" y1="92.8172" x2="57.1754" y2="95.6788" width="0" layer="19" extent="1-1"/>
<wire x1="49.7896" y1="94.8172" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="98.3488" x2="49.276" y2="96.2152" width="0" layer="19" extent="1-1"/>
<wire x1="45.212" y1="97.1296" x2="47.4472" y2="98.3488" width="0" layer="19" extent="1-1"/>
<wire x1="38.2896" y1="94.8172" x2="43.2896" y2="94.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.7632" y1="94.6912" x2="37.7896" y2="94.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.6108" y1="97.6884" x2="37.7896" y2="96.4636" width="0" layer="19" extent="1-1"/>
<wire x1="33.5788" y1="97.6884" x2="35.6108" y2="97.6884" width="0" layer="19" extent="1-1"/>
<wire x1="31.1912" y1="96.1484" x2="33.5788" y2="97.6884" width="0" layer="19" extent="1-1"/>
<wire x1="49.9872" y1="87.4776" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-16"/>
<wire x1="48.6156" y1="84.7344" x2="50.6476" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="49.2896" y1="78.8172" x2="49.3324" y2="80.8172" width="0" layer="19" extent="1-1"/>
<wire x1="46.5328" y1="84.4804" x2="48.6156" y2="84.7344" width="0" layer="19" extent="1-1"/>
<wire x1="45.5676" y1="86.9696" x2="46.5328" y2="84.4804" width="0" layer="19" extent="1-1"/>
<wire x1="42.7736" y1="86.3346" x2="45.5676" y2="86.9696" width="0" layer="19" extent="1-1"/>
<wire x1="42.9768" y1="84.9884" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
<wire x1="55.4342" y1="86.4108" x2="51.7896" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="60.7568" y1="86.5124" x2="56.4388" y2="86.4108" width="0" layer="19" extent="1-1"/>
<wire x1="44.8678" y1="76.835" x2="49.6824" y2="76.8096" width="0" layer="19" extent="1-1"/>
<wire x1="37.338" y1="86.8172" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
<wire x1="35.7896" y1="86.8172" x2="37.338" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="31.383" y1="86.7664" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-1"/>
<wire x1="38.2896" y1="78.8172" x2="43.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.8762" y1="79.0956" x2="37.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="9.9314" y1="24.7142" x2="15.3924" y2="30.4038" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="16.8656" x2="9.9314" y2="24.7142" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="13.8176" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="12.1412" x2="10.3124" y2="13.8176" width="0" layer="19" extent="1-1"/>
<wire x1="7.1234" y1="17.2212" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="10.7696" y1="8.0264" x2="10.3124" y2="12.1412" width="0" layer="19" extent="1-1"/>
<wire x1="13.6652" y1="8.0264" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="9.7028" y1="4.826" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="8.2296" y1="1.6764" x2="9.7028" y2="4.826" width="0" layer="19" extent="1-1"/>
<wire x1="2.7432" y1="0.9144" x2="7.1234" y2="1.6764" width="0" layer="19" extent="1-1"/>
<wire x1="1.778" y1="0.9144" x2="2.7432" y2="0.9144" width="0" layer="19" extent="1-1"/>
<wire x1="0.8636" y1="0.9144" x2="1.778" y2="0.9144" width="0" layer="19" extent="1-1"/>
<wire x1="15.494" y1="16.5608" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="15.5448" y1="14.859" x2="15.494" y2="16.5608" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="3.1496" x2="13.6652" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="21.2852" y1="2.3876" x2="19.9136" y2="3.1496" width="0" layer="19" extent="1-1"/>
<wire x1="26.4668" y1="5.9944" x2="21.2852" y2="2.3876" width="0" layer="19" extent="1-1"/>
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
<wire x1="71.4248" y1="49.6824" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="72.5424" y1="46.6344" x2="71.4248" y2="49.6824" width="0" layer="19" extent="1-1"/>
<wire x1="78.867" y1="46.482" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
<wire x1="77.1906" y1="39.5224" x2="78.867" y2="46.482" width="0" layer="19" extent="1-1"/>
<wire x1="80.1624" y1="34.5948" x2="77.1906" y2="39.5224" width="0" layer="19" extent="1-1"/>
<wire x1="76.7956" y1="31.75" x2="80.1624" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="32.4612" x2="80.1624" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="91.5416" y1="32.3088" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="10.7696" y1="68.6816" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="68.9978" x2="10.7696" y2="68.6816" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="68.9864" x2="10.7696" y2="68.6816" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="67.1322" x2="15.1892" y2="68.9864" width="0" layer="19" extent="1-1"/>
<wire x1="1.9304" y1="30.2006" x2="7.0104" y2="37.6428" width="0" layer="19" extent="1-1"/>
<wire x1="52.6034" y1="29.8704" x2="48.0314" y2="38.3286" width="0" layer="19" extent="1-1"/>
<wire x1="57.2516" y1="30.1752" x2="52.6034" y2="29.8704" width="0" layer="19" extent="1-1"/>
<wire x1="62.4332" y1="35.1536" x2="57.263" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="63.3476" y1="33.4772" x2="62.4332" y2="35.1536" width="0" layer="19" extent="1-1"/>
<wire x1="63.8048" y1="31.1912" x2="63.6016" y2="33.2232" width="0" layer="19" extent="1-1"/>
<wire x1="88.3412" y1="83.2104" x2="78.473" y2="83.3436" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="72.9996" x2="78.473" y2="72.828" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
<wire x1="91.5416" y1="62.7888" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="42.672" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="22.2504" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="15.9512" x2="88.4936" y2="22.2504" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="13.4112" x2="87.63" y2="15.9512" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="12.192" x2="87.63" y2="13.4112" width="0" layer="19" extent="1-1"/>
<wire x1="91.694" y1="12.3444" x2="88.4936" y2="12.192" width="0" layer="19" extent="1-1"/>
<wire x1="91.6432" y1="2.3368" x2="91.694" y2="12.3444" width="0" layer="19" extent="1-1"/>
<wire x1="91.6432" y1="1.2192" x2="91.6432" y2="2.3368" width="0" layer="19" extent="1-1"/>
<wire x1="90.2716" y1="1.2192" x2="91.6432" y2="1.2192" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="97.9932" x2="1.8288" y2="83.3628" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="98.9076" x2="1.016" y2="97.9932" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="A27">
<wire x1="82.55" y1="69.2912" x2="75.3872" y2="69.2912" width="0.1524" layer="16"/>
@ -7498,7 +7235,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="22.5552" y1="43.5864" x2="22.5552" y2="37.4904" width="0.1524" layer="1"/>
<wire x1="22.5552" y1="37.4904" x2="23.1648" y2="36.8808" width="0.1524" layer="1"/>
<contactref element="IC7" pad="80"/>
<wire x1="38.5572" y1="52.578" x2="38.5572" y2="66.294" width="0.1524" layer="1"/>
<wire x1="38.5572" y1="57.6072" x2="38.5572" y2="66.294" width="0.1524" layer="1"/>
<wire x1="39.7896" y1="78.8172" x2="39.7896" y2="67.5264" width="0.1524" layer="1"/>
<wire x1="39.7896" y1="67.5264" x2="38.5572" y2="66.294" width="0.1524" layer="1"/>
<wire x1="22.5552" y1="43.5864" x2="23.1648" y2="44.196" width="0.1524" layer="16"/>
@ -7506,12 +7243,10 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="25.908" y1="44.196" x2="28.6512" y2="46.9392" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="46.9392" x2="29.718" y2="46.9392" width="0.1524" layer="16"/>
<wire x1="29.718" y1="46.9392" x2="31.5468" y2="48.768" width="0.1524" layer="16"/>
<wire x1="31.5468" y1="48.768" x2="37.4904" y2="48.768" width="0.1524" layer="16"/>
<wire x1="37.4904" y1="48.768" x2="37.7952" y2="48.4632" width="0.1524" layer="16"/>
<wire x1="37.7952" y1="48.4632" x2="38.862" y2="48.4632" width="0.1524" layer="16"/>
<via x="38.862" y="48.4632" extent="1-16" drill="0.3"/>
<wire x1="38.862" y1="48.4632" x2="38.862" y2="52.2732" width="0.1524" layer="1"/>
<wire x1="38.862" y1="52.2732" x2="38.5572" y2="52.578" width="0.1524" layer="1"/>
<wire x1="31.5468" y1="48.768" x2="38.862" y2="48.768" width="0.1524" layer="16"/>
<via x="38.862" y="48.768" extent="1-16" drill="0.3"/>
<wire x1="38.862" y1="48.768" x2="38.862" y2="57.3024" width="0.1524" layer="1"/>
<wire x1="38.862" y1="57.3024" x2="38.5572" y2="57.6072" width="0.1524" layer="1"/>
</signal>
<signal name="AMIGA_A1">
<contactref element="IC2" pad="29"/>
@ -8258,12 +7993,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
</signals>
<errors>
<approved hash="18,30,4daddc4e8f67e619"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,933d5dc68ee6b8e9"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,3849587e8adf1064"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,a0a8a9f4a989a0d5"/>
<approved hash="18,30,93e4923c922593fd"/>
</errors>

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -2919,18 +2919,20 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="63.5254" y1="48.5902" x2="63.5254" y2="43.3832" width="0.1524" layer="1"/>
<wire x1="42.4434" y1="28.4086" x2="42.4434" y2="34.0614" width="0.1524" layer="1"/>
<wire x1="42.4434" y1="34.0614" x2="42.9768" y2="34.5948" width="0.1524" layer="1"/>
<via x="43.5864" y="41.8084" extent="1-16" drill="0.3"/>
<wire x1="58.8518" y1="41.8084" x2="59.7662" y2="42.7228" width="0.1524" layer="16"/>
<via x="43.5864" y="39.3192" extent="1-16" drill="0.3"/>
<contactref element="X1" pad="B16"/>
<wire x1="63.5508" y1="48.6156" x2="64.008" y2="49.0728" width="0.1524" layer="16"/>
<wire x1="64.008" y1="49.0728" x2="69.6468" y2="49.0728" width="0.1524" layer="16"/>
<wire x1="69.6468" y1="49.0728" x2="71.0184" y2="50.4444" width="0.1524" layer="16"/>
<wire x1="71.0184" y1="50.4444" x2="84.0232" y2="50.4444" width="0.1524" layer="16"/>
<wire x1="84.0232" y1="50.4444" x2="85.09" y2="51.5112" width="0.1524" layer="16"/>
<wire x1="43.5864" y1="41.8084" x2="58.8518" y2="41.8084" width="0.1524" layer="16"/>
<wire x1="43.5864" y1="41.8084" x2="43.5864" y2="37.338" width="0.1524" layer="1"/>
<wire x1="43.5864" y1="39.3192" x2="58.0644" y2="39.3192" width="0.1524" layer="16"/>
<wire x1="43.5864" y1="39.3192" x2="43.5864" y2="37.338" width="0.1524" layer="1"/>
<wire x1="43.5864" y1="37.338" x2="42.9768" y2="36.7284" width="0.1524" layer="1"/>
<wire x1="42.9768" y1="36.7284" x2="42.9768" y2="34.5948" width="0.1524" layer="1"/>
<wire x1="58.0644" y1="39.3192" x2="58.8264" y2="40.0812" width="0.1524" layer="16"/>
<wire x1="58.8264" y1="40.0812" x2="58.8264" y2="41.783" width="0.1524" layer="16"/>
<wire x1="58.8264" y1="41.783" x2="59.7662" y2="42.7228" width="0.1524" layer="16"/>
</signal>
<signal name="D1">
<contactref element="IC1" pad="K12"/>
@ -2949,8 +2951,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="43.7388" y1="28.434" x2="43.7388" y2="34.5948" width="0.1524" layer="1"/>
<wire x1="43.7388" y1="34.5948" x2="43.688" y2="34.6456" width="0.1524" layer="1"/>
<wire x1="43.688" y1="34.6456" x2="43.688" y2="35.4584" width="0.1524" layer="1"/>
<wire x1="43.688" y1="35.4584" x2="45.5676" y2="37.338" width="0.1524" layer="1"/>
<wire x1="45.5676" y1="37.338" x2="45.5676" y2="40.2336" width="0.1524" layer="1"/>
<wire x1="43.688" y1="35.4584" x2="45.8724" y2="37.6428" width="0.1524" layer="1"/>
<wire x1="45.8724" y1="37.6428" x2="45.8724" y2="40.8432" width="0.1524" layer="1"/>
<contactref element="X1" pad="C16"/>
<wire x1="62.5348" y1="49.5808" x2="69.5452" y2="49.5808" width="0.1524" layer="16"/>
<wire x1="69.5452" y1="49.5808" x2="71.4756" y2="51.5112" width="0.1524" layer="16"/>
@ -2960,10 +2962,12 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="56.5404" y1="44.196" x2="57.15" y2="43.5864" width="0.1524" layer="1"/>
<wire x1="57.15" y1="43.5864" x2="57.15" y2="42.799" width="0.1524" layer="1"/>
<wire x1="57.15" y1="42.799" x2="57.2262" y2="42.7228" width="0.1524" layer="1"/>
<wire x1="45.5676" y1="40.2336" x2="48.4632" y2="43.1292" width="0.1524" layer="1"/>
<wire x1="48.4632" y1="43.1292" x2="51.2064" y2="43.1292" width="0.1524" layer="1"/>
<wire x1="51.2064" y1="43.1292" x2="51.9684" y2="43.8912" width="0.1524" layer="1"/>
<wire x1="51.9684" y1="43.8912" x2="53.1876" y2="43.8912" width="0.1524" layer="1"/>
<wire x1="50.9016" y1="42.672" x2="52.1208" y2="43.8912" width="0.1524" layer="1"/>
<wire x1="52.1208" y1="43.8912" x2="53.1876" y2="43.8912" width="0.1524" layer="1"/>
<wire x1="45.8724" y1="40.8432" x2="46.1772" y2="41.148" width="0.1524" layer="1"/>
<wire x1="46.1772" y1="41.148" x2="48.4632" y2="41.148" width="0.1524" layer="1"/>
<wire x1="48.4632" y1="41.148" x2="49.9872" y2="42.672" width="0.1524" layer="1"/>
<wire x1="49.9872" y1="42.672" x2="50.9016" y2="42.672" width="0.1524" layer="1"/>
</signal>
<signal name="D2">
<contactref element="IC1" pad="L13"/>
@ -3014,11 +3018,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="46.2026" y1="35.433" x2="47.244" y2="36.4744" width="0.1524" layer="1"/>
<via x="47.244" y="36.4744" extent="1-16" drill="0.3"/>
<wire x1="47.244" y1="36.4744" x2="47.3456" y2="36.576" width="0.1524" layer="16"/>
<wire x1="47.3456" y1="36.576" x2="54.864" y2="36.576" width="0.1524" layer="16"/>
<wire x1="54.864" y1="36.576" x2="56.8452" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="56.8452" y1="38.5572" x2="57.6072" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="57.6072" y1="38.5572" x2="58.5216" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="58.5216" y1="37.6428" x2="59.7662" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="47.3456" y1="36.576" x2="58.674" y2="36.576" width="0.1524" layer="16"/>
<wire x1="58.674" y1="36.576" x2="59.7408" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="59.7408" y1="37.6428" x2="59.7662" y2="37.6428" width="0.1524" layer="16"/>
<contactref element="X1" pad="C15"/>
<wire x1="69.6468" y1="48.514" x2="70.104" y2="48.9712" width="0.1524" layer="16"/>
<wire x1="64.516" y1="39.5732" x2="65.3288" y2="39.5732" width="0.1524" layer="1"/>
@ -3110,9 +3112,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="62.23" y1="37.4904" x2="63.9572" y2="35.7632" width="0.1524" layer="1"/>
<wire x1="63.9572" y1="35.7632" x2="65.3288" y2="35.7632" width="0.1524" layer="1"/>
<via x="68.4276" y="42.8244" extent="1-16" drill="0.3"/>
<wire x1="68.4276" y1="42.8244" x2="69.342" y2="42.8244" width="0.1524" layer="16"/>
<wire x1="69.342" y1="42.8244" x2="69.6468" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="69.6468" y1="43.1292" x2="71.1708" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="68.4276" y1="42.8244" x2="68.8848" y2="42.8244" width="0.1524" layer="16"/>
<wire x1="68.8848" y1="42.8244" x2="69.1896" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="69.1896" y1="43.1292" x2="71.1708" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="71.1708" y1="43.1292" x2="71.4756" y2="42.8244" width="0.1524" layer="16"/>
<wire x1="71.4756" y1="42.8244" x2="84.0232" y2="42.8244" width="0.1524" layer="16"/>
<wire x1="84.0232" y1="42.8244" x2="85.09" y2="43.8912" width="0.1524" layer="16"/>
@ -3184,8 +3186,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="IC1" pad="M11"/>
<contactref element="IC3" pad="60"/>
<contactref element="IC6" pad="3"/>
<wire x1="64.3636" y1="38.862" x2="55.9308" y2="38.862" width="0.1524" layer="16"/>
<wire x1="55.9308" y1="38.862" x2="54.7116" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="65.278" y1="38.5572" x2="55.626" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="55.626" y1="38.5572" x2="54.7116" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="54.7116" y1="37.6428" x2="54.6862" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="56.6534" y1="26.8986" x2="56.388" y2="27.164" width="0.1524" layer="1"/>
<wire x1="56.388" y1="28.0416" x2="56.388" y2="33.0708" width="0.1524" layer="1"/>
@ -3206,8 +3208,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="70.866" y1="41.4528" x2="71.5772" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="71.5772" y1="41.4528" x2="71.6788" y2="41.3512" width="0.1524" layer="16"/>
<wire x1="71.6788" y1="41.3512" x2="82.55" y2="41.3512" width="0.1524" layer="16"/>
<wire x1="64.3636" y1="38.862" x2="66.548" y2="41.0464" width="0.1524" layer="16"/>
<wire x1="66.548" y1="41.0464" x2="70.4596" y2="41.0464" width="0.1524" layer="16"/>
<wire x1="65.278" y1="38.5572" x2="67.7672" y2="41.0464" width="0.1524" layer="16"/>
<wire x1="67.7672" y1="41.0464" x2="70.4596" y2="41.0464" width="0.1524" layer="16"/>
</signal>
<signal name="D10">
<contactref element="IC1" pad="L10"/>
@ -4045,10 +4047,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="17.0688" y1="27.7368" x2="17.8308" y2="26.9748" width="0.1524" layer="16"/>
<wire x1="17.8308" y1="26.9748" x2="21.6408" y2="26.9748" width="0.1524" layer="16"/>
<via x="21.6408" y="26.9748" extent="1-16" drill="0.3"/>
<wire x1="35.5854" y1="38.862" x2="36.9062" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="35.5854" y1="38.862" x2="28.956" y2="38.862" width="0.1524" layer="16"/>
<wire x1="28.956" y1="38.862" x2="28.3972" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="28.3972" y1="39.4208" x2="19.812" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="19.812" y1="39.4208" x2="19.812" y2="36.4236" width="0.1524" layer="1"/>
<wire x1="19.812" y1="36.4236" x2="21.6408" y2="34.5948" width="0.1524" layer="1"/>
<wire x1="35.6616" y1="40.2336" x2="36.8554" y2="40.2336" width="0.1524" layer="1"/>
@ -4056,6 +4054,10 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="35.5092" y1="41.4528" x2="35.2044" y2="41.148" width="0.1524" layer="1"/>
<wire x1="35.2044" y1="41.148" x2="35.2044" y2="40.6908" width="0.1524" layer="1"/>
<wire x1="35.2044" y1="40.6908" x2="35.6616" y2="40.2336" width="0.1524" layer="1"/>
<wire x1="19.812" y1="39.4208" x2="21.844" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="21.844" y1="41.4528" x2="36.1188" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="36.1188" y1="41.4528" x2="36.9062" y2="40.6654" width="0.1524" layer="16"/>
<wire x1="36.9062" y1="40.6654" x2="36.9062" y2="40.1828" width="0.1524" layer="16"/>
</signal>
<signal name="D31">
<contactref element="IC1" pad="N01"/>
@ -5081,19 +5083,25 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="50.4952" y1="83.82" x2="45.2882" y2="83.82" width="0.3048" layer="16"/>
<via x="45.2882" y="83.82" extent="1-16" drill="0.3"/>
<wire x1="45.2896" y1="83.8186" x2="45.2882" y2="83.82" width="0.1524" layer="1"/>
<wire x1="51.2064" y1="58.9788" x2="64.4652" y2="58.9788" width="0.3048" layer="16"/>
<via x="64.4652" y="58.9788" extent="1-16" drill="0.3"/>
<wire x1="24.7904" y1="37.8206" x2="23.2918" y2="37.8206" width="0.4064" layer="1"/>
<wire x1="23.2918" y1="37.8206" x2="23.1648" y2="37.9476" width="0.4064" layer="1"/>
<wire x1="23.1648" y1="37.9476" x2="23.1648" y2="41.7576" width="0.4064" layer="1"/>
<via x="23.1648" y="41.7576" extent="1-16" drill="0.3"/>
<wire x1="23.1648" y1="41.7576" x2="41.4528" y2="41.7576" width="0.4064" layer="16"/>
<wire x1="41.4528" y1="41.7576" x2="43.434" y2="43.7388" width="0.4064" layer="16"/>
<wire x1="43.434" y1="43.7388" x2="50.7492" y2="43.7388" width="0.4064" layer="16"/>
<via x="50.7492" y="43.7388" extent="1-16" drill="0.3"/>
<wire x1="50.7492" y1="43.7388" x2="50.7492" y2="58.5216" width="0.4064" layer="1"/>
<via x="50.7492" y="58.5216" extent="1-16" drill="0.3"/>
<wire x1="51.2064" y1="58.9788" x2="50.7492" y2="58.5216" width="0.3048" layer="16"/>
<wire x1="38.862" y1="41.3004" x2="46.7868" y2="41.3004" width="0.4064" layer="16"/>
<wire x1="38.862" y1="41.3004" x2="38.2524" y2="40.6908" width="0.4064" layer="16"/>
<wire x1="38.2524" y1="40.6908" x2="38.2524" y2="39.1668" width="0.4064" layer="16"/>
<wire x1="38.2524" y1="39.1668" x2="37.9476" y2="38.862" width="0.4064" layer="16"/>
<wire x1="37.9476" y1="38.862" x2="27.432" y2="38.862" width="0.4064" layer="16"/>
<wire x1="27.432" y1="38.862" x2="26.3906" y2="37.8206" width="0.4064" layer="16"/>
<wire x1="26.3906" y1="37.8206" x2="24.7904" y2="37.8206" width="0.4064" layer="16"/>
<wire x1="64.4652" y1="58.9788" x2="64.4652" y2="58.2168" width="0.4064" layer="1"/>
<wire x1="64.4652" y1="58.2168" x2="60.3504" y2="54.102" width="0.4064" layer="1"/>
<wire x1="60.3504" y1="54.102" x2="59.1312" y2="54.102" width="0.4064" layer="1"/>
<wire x1="59.1312" y1="54.102" x2="58.5216" y2="53.4924" width="0.4064" layer="1"/>
<wire x1="58.5216" y1="53.4924" x2="58.5216" y2="49.9872" width="0.4064" layer="1"/>
<wire x1="58.5216" y1="49.9872" x2="57.6072" y2="49.0728" width="0.4064" layer="1"/>
<wire x1="46.7868" y1="41.3004" x2="47.5488" y2="42.0624" width="0.4064" layer="16"/>
<via x="47.5488" y="42.0624" extent="1-16" drill="0.3"/>
<wire x1="47.5488" y1="42.0624" x2="50.7492" y2="45.2628" width="0.4064" layer="1"/>
<wire x1="50.7492" y1="45.2628" x2="50.7492" y2="48.3108" width="0.4064" layer="1"/>
<wire x1="50.7492" y1="48.3108" x2="51.5112" y2="49.0728" width="0.4064" layer="1"/>
<wire x1="51.5112" y1="49.0728" x2="57.6072" y2="49.0728" width="0.4064" layer="1"/>
</signal>
<signal name="BERR">
<contactref element="IC2" pad="22"/>
@ -5364,11 +5372,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="71.1708" y1="54.864" x2="69.342" y2="53.0352" width="0.1524" layer="16"/>
<wire x1="69.342" y1="53.0352" x2="62.6364" y2="53.0352" width="0.1524" layer="16"/>
<wire x1="62.6364" y1="53.0352" x2="61.8744" y2="53.7972" width="0.1524" layer="16"/>
<wire x1="59.2836" y1="53.7972" x2="58.3692" y2="52.8828" width="0.1524" layer="16"/>
<wire x1="58.3692" y1="52.8828" x2="57.2262" y2="52.8828" width="0.1524" layer="16"/>
<wire x1="58.8264" y1="53.7972" x2="57.912" y2="52.8828" width="0.1524" layer="16"/>
<wire x1="57.912" y1="52.8828" x2="57.2262" y2="52.8828" width="0.1524" layer="16"/>
<wire x1="33.3134" y1="23.0886" x2="34.671" y2="23.0886" width="0.1524" layer="1"/>
<wire x1="60.6552" y1="81.4832" x2="60.6552" y2="59.8932" width="0.1524" layer="1"/>
<wire x1="61.8744" y1="53.7972" x2="59.2836" y2="53.7972" width="0.1524" layer="16"/>
<wire x1="60.6552" y1="81.4832" x2="60.6552" y2="60.198" width="0.1524" layer="1"/>
<wire x1="61.8744" y1="53.7972" x2="58.8264" y2="53.7972" width="0.1524" layer="16"/>
<contactref element="IC7" pad="3"/>
<wire x1="51.7896" y1="81.8172" x2="57.6364" y2="81.8172" width="0.1524" layer="1"/>
<wire x1="57.6364" y1="81.8172" x2="57.8104" y2="81.9912" width="0.1524" layer="1"/>
@ -5395,12 +5403,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="39.4716" y1="53.0352" x2="39.9288" y2="53.4924" width="0.1524" layer="16"/>
<wire x1="39.9288" y1="53.4924" x2="47.3964" y2="53.4924" width="0.1524" layer="16"/>
<wire x1="47.3964" y1="53.4924" x2="48.006" y2="54.102" width="0.1524" layer="16"/>
<wire x1="48.006" y1="54.102" x2="56.007" y2="54.102" width="0.1524" layer="16"/>
<wire x1="56.007" y1="54.102" x2="57.2262" y2="52.8828" width="0.1524" layer="16"/>
<wire x1="60.6552" y1="59.8932" x2="59.8932" y2="59.1312" width="0.1524" layer="1"/>
<wire x1="59.8932" y1="59.1312" x2="58.9788" y2="59.1312" width="0.1524" layer="1"/>
<wire x1="58.9788" y1="59.1312" x2="58.5216" y2="58.674" width="0.1524" layer="1"/>
<wire x1="58.5216" y1="58.674" x2="58.5216" y2="55.3212" width="0.1524" layer="1"/>
<wire x1="48.006" y1="54.102" x2="54.864" y2="54.102" width="0.1524" layer="16"/>
<wire x1="60.6552" y1="60.198" x2="58.5216" y2="58.0644" width="0.1524" layer="1"/>
<wire x1="58.5216" y1="58.0644" x2="58.5216" y2="55.3212" width="0.1524" layer="1"/>
<wire x1="58.5216" y1="55.3212" x2="57.2262" y2="54.0258" width="0.1524" layer="1"/>
<wire x1="57.2262" y1="54.0258" x2="57.2262" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="40.386" y1="37.338" x2="39.4716" y2="36.4236" width="0.1524" layer="1"/>
@ -5409,6 +5414,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="38.1" y1="35.814" x2="38.1" y2="34.29" width="0.1524" layer="1"/>
<wire x1="38.1" y1="34.29" x2="37.9476" y2="34.1376" width="0.1524" layer="1"/>
<wire x1="37.9476" y1="34.1376" x2="37.9476" y2="31.6992" width="0.1524" layer="1"/>
<wire x1="54.864" y1="54.102" x2="56.0832" y2="52.8828" width="0.1524" layer="16"/>
<wire x1="56.0832" y1="52.8828" x2="57.2262" y2="52.8828" width="0.1524" layer="16"/>
</signal>
<signal name="BG_30">
<contactref element="R19" pad="2"/>
@ -5431,11 +5438,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="32.6136" y1="53.9496" x2="32.766" y2="53.7972" width="0.1524" layer="16"/>
<wire x1="32.766" y1="53.7972" x2="47.244" y2="53.7972" width="0.1524" layer="16"/>
<wire x1="47.244" y1="53.7972" x2="47.8536" y2="54.4068" width="0.1524" layer="16"/>
<wire x1="47.8536" y1="54.4068" x2="57.7596" y2="54.4068" width="0.1524" layer="16"/>
<wire x1="57.7596" y1="54.4068" x2="58.2168" y2="54.864" width="0.1524" layer="16"/>
<wire x1="58.2168" y1="54.864" x2="58.8264" y2="54.864" width="0.1524" layer="16"/>
<wire x1="58.8264" y1="54.864" x2="59.1312" y2="54.5592" width="0.1524" layer="16"/>
<wire x1="59.1312" y1="54.5592" x2="60.3504" y2="54.5592" width="0.1524" layer="16"/>
<wire x1="47.8536" y1="54.4068" x2="55.4736" y2="54.4068" width="0.1524" layer="16"/>
<wire x1="55.4736" y1="54.4068" x2="55.9308" y2="54.864" width="0.1524" layer="16"/>
<wire x1="55.9308" y1="54.864" x2="56.5404" y2="54.864" width="0.1524" layer="16"/>
<wire x1="56.5404" y1="54.864" x2="56.8452" y2="54.5592" width="0.1524" layer="16"/>
<wire x1="56.8452" y1="54.5592" x2="60.3504" y2="54.5592" width="0.1524" layer="16"/>
<wire x1="60.3504" y1="54.5592" x2="61.8744" y2="56.0832" width="0.1524" layer="16"/>
<wire x1="61.8744" y1="56.0832" x2="73.152" y2="56.0832" width="0.1524" layer="16"/>
<via x="79.7052" y="56.0832" extent="1-16" drill="0.3"/>
@ -5503,13 +5510,12 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="87.63" y1="43.8912" x2="86.106" y2="42.3672" width="0.1524" layer="16"/>
<wire x1="78.3336" y1="42.3672" x2="71.3232" y2="42.3672" width="0.1524" layer="16"/>
<wire x1="71.3232" y1="42.3672" x2="71.0184" y2="42.672" width="0.1524" layer="16"/>
<wire x1="71.0184" y1="42.672" x2="69.9516" y2="42.672" width="0.1524" layer="16"/>
<wire x1="69.9516" y1="42.672" x2="68.7324" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="68.7324" y1="41.4528" x2="46.1772" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="46.1772" y1="41.4528" x2="45.8724" y2="41.148" width="0.1524" layer="16"/>
<wire x1="45.8724" y1="41.148" x2="45.4152" y2="41.148" width="0.1524" layer="16"/>
<via x="45.4152" y="41.148" extent="1-16" drill="0.3"/>
<wire x1="45.4152" y1="41.148" x2="45.4152" y2="41.91" width="0.1524" layer="1"/>
<wire x1="71.0184" y1="42.672" x2="69.342" y2="42.672" width="0.1524" layer="16"/>
<wire x1="69.342" y1="42.672" x2="68.1228" y2="41.4528" width="0.1524" layer="16"/>
<via x="45.2628" y="38.7096" extent="1-16" drill="0.3"/>
<wire x1="45.2628" y1="38.7096" x2="45.2628" y2="39.624" width="0.1524" layer="1"/>
<wire x1="45.2628" y1="39.624" x2="45.4152" y2="39.7764" width="0.1524" layer="1"/>
<wire x1="45.4152" y1="39.7764" x2="45.4152" y2="41.91" width="0.1524" layer="1"/>
<wire x1="45.4152" y1="41.91" x2="46.0248" y2="42.5196" width="0.1524" layer="1"/>
<wire x1="46.0248" y1="42.5196" x2="47.0916" y2="42.5196" width="0.1524" layer="1"/>
<wire x1="47.0916" y1="42.5196" x2="49.8348" y2="45.2628" width="0.1524" layer="1"/>
@ -5538,13 +5544,16 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="46.1772" y1="54.356" x2="46.5836" y2="54.356" width="0.1524" layer="16"/>
<wire x1="46.5836" y1="54.356" x2="48.1584" y2="55.9308" width="0.1524" layer="16"/>
<wire x1="48.1584" y1="55.9308" x2="49.0728" y2="55.9308" width="0.1524" layer="16"/>
<wire x1="45.2628" y1="38.7096" x2="45.8724" y2="38.7096" width="0.1524" layer="16"/>
<wire x1="45.8724" y1="38.7096" x2="46.0248" y2="38.862" width="0.1524" layer="16"/>
<wire x1="46.0248" y1="38.862" x2="64.77" y2="38.862" width="0.1524" layer="16"/>
<wire x1="64.77" y1="38.862" x2="67.3608" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="67.3608" y1="41.4528" x2="68.1228" y2="41.4528" width="0.1524" layer="16"/>
</signal>
<signal name="CIIN">
<contactref element="IC1" pad="L01"/>
<contactref element="IC7" pad="47"/>
<wire x1="35.814" y1="72.0852" x2="35.814" y2="40.8432" width="0.1524" layer="1"/>
<wire x1="35.2044" y1="39.1668" x2="30.3022" y2="39.1668" width="0.1524" layer="16"/>
<wire x1="30.3022" y1="39.1668" x2="29.2862" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="43.8912" y1="87.3252" x2="44.958" y2="88.392" width="0.1524" layer="16"/>
<wire x1="44.958" y1="88.392" x2="68.58" y2="88.392" width="0.1524" layer="16"/>
<wire x1="71.9328" y1="85.0392" x2="71.9328" y2="64.77" width="0.1524" layer="1"/>
@ -5563,8 +5572,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="85.9536" y1="32.4612" x2="86.4108" y2="32.004" width="0.1524" layer="1"/>
<wire x1="86.4108" y1="32.004" x2="86.4108" y2="27.3304" width="0.1524" layer="1"/>
<wire x1="86.4108" y1="27.3304" x2="87.63" y2="26.1112" width="0.1524" layer="1"/>
<wire x1="35.2044" y1="39.1668" x2="35.814" y2="39.7764" width="0.1524" layer="16"/>
<wire x1="35.814" y1="39.7764" x2="35.814" y2="40.8432" width="0.1524" layer="16"/>
<via x="35.814" y="40.8432" extent="1-16" drill="0.3"/>
<wire x1="36.4236" y1="77.1144" x2="36.4236" y2="78.0288" width="0.1524" layer="1"/>
<wire x1="36.4236" y1="78.0288" x2="36.7284" y2="78.3336" width="0.1524" layer="1"/>
@ -5578,6 +5585,10 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="36.4608" y1="73.6092" x2="36.4236" y2="73.572" width="0.1524" layer="1"/>
<via x="68.58" y="88.392" extent="1-16" drill="0.3"/>
<wire x1="68.58" y1="88.392" x2="71.9328" y2="85.0392" width="0.1524" layer="1"/>
<wire x1="29.2862" y1="40.1828" x2="30.2514" y2="41.148" width="0.1524" layer="16"/>
<wire x1="30.2514" y1="41.148" x2="34.8996" y2="41.148" width="0.1524" layer="16"/>
<wire x1="34.8996" y1="41.148" x2="35.2044" y2="40.8432" width="0.1524" layer="16"/>
<wire x1="35.2044" y1="40.8432" x2="35.814" y2="40.8432" width="0.1524" layer="16"/>
</signal>
<signal name="CIOUT">
<contactref element="IC1" pad="C02"/>
@ -6764,10 +6775,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="23.114" y="95.0468" extent="1-16" drill="0.3"/>
<via x="18.9992" y="97.3328" extent="1-16" drill="0.3"/>
<via x="17.018" y="95.1992" extent="1-16" drill="0.3"/>
<via x="58.4708" y="48.8696" extent="1-16" drill="0.3"/>
<via x="56.7944" y="49.022" extent="1-16" drill="0.3"/>
<via x="42.0116" y="43.5356" extent="1-16" drill="0.3"/>
<via x="49.8602" y="42.4434" extent="1-16" drill="0.3"/>
<via x="58.6232" y="48.8696" extent="1-16" drill="0.3"/>
<via x="41.7068" y="43.688" extent="1-16" drill="0.3"/>
<via x="48.0314" y="38.3286" extent="1-16" drill="0.3"/>
<via x="24.7904" y="31.496" extent="1-16" drill="0.3"/>
<via x="24.7904" y="28.9052" extent="1-16" drill="0.3"/>
<via x="19.9136" y="32.1056" extent="1-16" drill="0.3"/>
@ -7085,12 +7095,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
</signal>
<signal name="IPL2_30">
<contactref element="IC1" pad="G12"/>
<via x="58.5216" y="54.1528" extent="1-16" drill="0.3"/>
<wire x1="58.5216" y1="54.1528" x2="58.5216" y2="51.6382" width="0.1524" layer="1"/>
<wire x1="58.5216" y1="51.6382" x2="57.2262" y2="50.3428" width="0.1524" layer="1"/>
<wire x1="58.5216" y1="54.1528" x2="60.198" y2="54.1528" width="0.1524" layer="16"/>
<wire x1="60.198" y1="54.1528" x2="60.2996" y2="54.2544" width="0.1524" layer="16"/>
<wire x1="60.2996" y1="54.2544" x2="68.2752" y2="54.2544" width="0.1524" layer="16"/>
<via x="56.2356" y="54.1528" extent="1-16" drill="0.3"/>
<wire x1="56.2356" y1="54.1528" x2="56.2356" y2="51.3334" width="0.1524" layer="1"/>
<wire x1="56.2356" y1="51.3334" x2="57.2262" y2="50.3428" width="0.1524" layer="1"/>
<wire x1="56.2356" y1="54.1528" x2="68.1736" y2="54.1528" width="0.1524" layer="16"/>
<wire x1="68.1736" y1="54.1528" x2="68.2752" y2="54.2544" width="0.1524" layer="16"/>
<via x="68.2752" y="54.2544" extent="1-16" drill="0.3"/>
<wire x1="68.2752" y1="54.2544" x2="68.2752" y2="57.5564" width="0.1524" layer="1"/>
<wire x1="68.2752" y1="57.5564" x2="65.8876" y2="59.944" width="0.1524" layer="1"/>
@ -7178,8 +7187,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="18.8976" y1="98.298" x2="12.8016" y2="92.202" width="0.1524" layer="1"/>
<wire x1="15.3162" y1="19.05" x2="13.142375" y2="19.05" width="0.1524" layer="1"/>
<wire x1="13.142375" y1="19.05" x2="12.8016" y2="19.390775" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="19.390775" x2="12.8016" y2="38.7096" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="38.7096" x2="12.8016" y2="92.202" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="19.390775" x2="12.8016" y2="41.4528" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="41.4528" x2="12.8016" y2="92.202" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="3.81" x2="13.1826" y2="3.429" width="0.1524" layer="1"/>
<wire x1="13.1826" y1="3.429" x2="15.5448" y2="3.429" width="0.1524" layer="1"/>
<wire x1="15.3924" y1="18.9738" x2="15.3162" y2="19.05" width="0.1524" layer="1"/>
@ -7194,12 +7203,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="64.9224" y1="46.0248" x2="63.0936" y2="44.196" width="0.1524" layer="16"/>
<wire x1="63.0936" y1="44.196" x2="60.8076" y2="44.196" width="0.1524" layer="16"/>
<wire x1="60.8076" y1="44.196" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="51.9684" y1="43.8912" x2="51.2064" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="51.2064" y1="43.1292" x2="43.6118" y2="43.1292" width="0.1524" layer="16"/>
<via x="12.8016" y="38.7096" extent="1-16" drill="0.3"/>
<wire x1="39.0398" y1="38.5572" x2="28.6512" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="38.5572" x2="28.4988" y2="38.7096" width="0.1524" layer="16"/>
<wire x1="28.4988" y1="38.7096" x2="12.8016" y2="38.7096" width="0.1524" layer="16"/>
<via x="12.8016" y="41.4528" extent="1-16" drill="0.3"/>
<wire x1="65.3288" y1="29.6164" x2="65.4304" y2="29.718" width="0.1524" layer="1"/>
<wire x1="65.4304" y1="29.718" x2="66.7512" y2="29.718" width="0.1524" layer="1"/>
<wire x1="66.7512" y1="29.718" x2="67.056" y2="30.0228" width="0.1524" layer="1"/>
@ -7209,8 +7213,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="69.9516" y="46.482" extent="1-16" drill="0.3"/>
<wire x1="69.9516" y1="46.482" x2="65.6844" y2="46.482" width="0.1524" layer="16"/>
<wire x1="65.6844" y1="46.482" x2="65.2272" y2="46.0248" width="0.1524" layer="16"/>
<wire x1="51.9684" y1="43.8912" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="43.6118" y1="43.1292" x2="39.0398" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="12.8016" y1="41.4528" x2="21.336" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="21.336" y1="41.4528" x2="21.6408" y2="41.7576" width="0.1524" layer="16"/>
<wire x1="21.6408" y1="41.7576" x2="40.6908" y2="41.7576" width="0.1524" layer="16"/>
<wire x1="40.6908" y1="41.7576" x2="42.8244" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="42.8244" y1="43.8912" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
</signal>
<signal name="R/W_00">
<contactref element="IC2" pad="9"/>
@ -7982,13 +7989,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
</signal>
</signals>
<errors>
<approved hash="18,30,3849587e8adf1064"/>
<approved hash="18,30,4daddc4e8f67e619"/>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,4daddc4e8f67e619"/>
<approved hash="18,30,3849587e8adf1064"/>
<approved hash="18,30,933d5dc68ee6b8e9"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,93e4923c922593fd"/>
<approved hash="18,30,a0a8a9f4a989a0d5"/>
</errors>

View File

@ -2859,8 +2859,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<attribute name="NAME" x="27.559" y="88.9762" size="1.27" layer="25" rot="R90"/>
<attribute name="VALUE" x="27.3558" y="88.6714" size="1.27" layer="27" rot="R90"/>
</element>
<element name="R17" library="rcl" package="R0603" value="4,7k" x="80.01" y="37.6428" smashed="yes" rot="R90">
<attribute name="NAME" x="79.0702" y="36.3982" size="1.27" layer="25" rot="R90"/>
<element name="R17" library="rcl" package="R0603" value="4,7k" x="79.8576" y="37.6428" smashed="yes" rot="R90">
<attribute name="NAME" x="78.9178" y="36.3982" size="1.27" layer="25" rot="R90"/>
</element>
<element name="RN4" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="75.1332" smashed="yes" rot="R90">
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="75.1332" size="1.778" layer="27" rot="R90" display="off"/>
@ -2919,18 +2919,20 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="63.5254" y1="48.5902" x2="63.5254" y2="43.3832" width="0.1524" layer="1"/>
<wire x1="42.4434" y1="28.4086" x2="42.4434" y2="34.0614" width="0.1524" layer="1"/>
<wire x1="42.4434" y1="34.0614" x2="42.9768" y2="34.5948" width="0.1524" layer="1"/>
<via x="43.5864" y="41.8084" extent="1-16" drill="0.3"/>
<wire x1="58.8518" y1="41.8084" x2="59.7662" y2="42.7228" width="0.1524" layer="16"/>
<via x="43.5864" y="39.3192" extent="1-16" drill="0.3"/>
<contactref element="X1" pad="B16"/>
<wire x1="63.5508" y1="48.6156" x2="64.008" y2="49.0728" width="0.1524" layer="16"/>
<wire x1="64.008" y1="49.0728" x2="69.6468" y2="49.0728" width="0.1524" layer="16"/>
<wire x1="69.6468" y1="49.0728" x2="71.0184" y2="50.4444" width="0.1524" layer="16"/>
<wire x1="71.0184" y1="50.4444" x2="84.0232" y2="50.4444" width="0.1524" layer="16"/>
<wire x1="84.0232" y1="50.4444" x2="85.09" y2="51.5112" width="0.1524" layer="16"/>
<wire x1="43.5864" y1="41.8084" x2="58.8518" y2="41.8084" width="0.1524" layer="16"/>
<wire x1="43.5864" y1="41.8084" x2="43.5864" y2="37.338" width="0.1524" layer="1"/>
<wire x1="43.5864" y1="39.3192" x2="58.0644" y2="39.3192" width="0.1524" layer="16"/>
<wire x1="43.5864" y1="39.3192" x2="43.5864" y2="37.338" width="0.1524" layer="1"/>
<wire x1="43.5864" y1="37.338" x2="42.9768" y2="36.7284" width="0.1524" layer="1"/>
<wire x1="42.9768" y1="36.7284" x2="42.9768" y2="34.5948" width="0.1524" layer="1"/>
<wire x1="58.0644" y1="39.3192" x2="58.8264" y2="40.0812" width="0.1524" layer="16"/>
<wire x1="58.8264" y1="40.0812" x2="58.8264" y2="41.783" width="0.1524" layer="16"/>
<wire x1="58.8264" y1="41.783" x2="59.7662" y2="42.7228" width="0.1524" layer="16"/>
</signal>
<signal name="D1">
<contactref element="IC1" pad="K12"/>
@ -2949,8 +2951,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="43.7388" y1="28.434" x2="43.7388" y2="34.5948" width="0.1524" layer="1"/>
<wire x1="43.7388" y1="34.5948" x2="43.688" y2="34.6456" width="0.1524" layer="1"/>
<wire x1="43.688" y1="34.6456" x2="43.688" y2="35.4584" width="0.1524" layer="1"/>
<wire x1="43.688" y1="35.4584" x2="45.5676" y2="37.338" width="0.1524" layer="1"/>
<wire x1="45.5676" y1="37.338" x2="45.5676" y2="40.2336" width="0.1524" layer="1"/>
<wire x1="43.688" y1="35.4584" x2="45.8724" y2="37.6428" width="0.1524" layer="1"/>
<wire x1="45.8724" y1="37.6428" x2="45.8724" y2="40.5384" width="0.1524" layer="1"/>
<contactref element="X1" pad="C16"/>
<wire x1="62.5348" y1="49.5808" x2="69.5452" y2="49.5808" width="0.1524" layer="16"/>
<wire x1="69.5452" y1="49.5808" x2="71.4756" y2="51.5112" width="0.1524" layer="16"/>
@ -2960,10 +2962,10 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="56.5404" y1="44.196" x2="57.15" y2="43.5864" width="0.1524" layer="1"/>
<wire x1="57.15" y1="43.5864" x2="57.15" y2="42.799" width="0.1524" layer="1"/>
<wire x1="57.15" y1="42.799" x2="57.2262" y2="42.7228" width="0.1524" layer="1"/>
<wire x1="45.5676" y1="40.2336" x2="48.4632" y2="43.1292" width="0.1524" layer="1"/>
<wire x1="48.4632" y1="43.1292" x2="51.2064" y2="43.1292" width="0.1524" layer="1"/>
<wire x1="51.2064" y1="43.1292" x2="51.9684" y2="43.8912" width="0.1524" layer="1"/>
<wire x1="51.9684" y1="43.8912" x2="53.1876" y2="43.8912" width="0.1524" layer="1"/>
<wire x1="45.8724" y1="40.5384" x2="48.006" y2="42.672" width="0.1524" layer="1"/>
<wire x1="48.006" y1="42.672" x2="50.9016" y2="42.672" width="0.1524" layer="1"/>
<wire x1="50.9016" y1="42.672" x2="52.1208" y2="43.8912" width="0.1524" layer="1"/>
<wire x1="52.1208" y1="43.8912" x2="53.1876" y2="43.8912" width="0.1524" layer="1"/>
</signal>
<signal name="D2">
<contactref element="IC1" pad="L13"/>
@ -3014,11 +3016,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="46.2026" y1="35.433" x2="47.244" y2="36.4744" width="0.1524" layer="1"/>
<via x="47.244" y="36.4744" extent="1-16" drill="0.3"/>
<wire x1="47.244" y1="36.4744" x2="47.3456" y2="36.576" width="0.1524" layer="16"/>
<wire x1="47.3456" y1="36.576" x2="54.864" y2="36.576" width="0.1524" layer="16"/>
<wire x1="54.864" y1="36.576" x2="56.8452" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="56.8452" y1="38.5572" x2="57.6072" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="57.6072" y1="38.5572" x2="58.5216" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="58.5216" y1="37.6428" x2="59.7662" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="47.3456" y1="36.576" x2="58.674" y2="36.576" width="0.1524" layer="16"/>
<wire x1="58.674" y1="36.576" x2="59.7408" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="59.7408" y1="37.6428" x2="59.7662" y2="37.6428" width="0.1524" layer="16"/>
<contactref element="X1" pad="C15"/>
<wire x1="69.6468" y1="48.514" x2="70.104" y2="48.9712" width="0.1524" layer="16"/>
<wire x1="64.516" y1="39.5732" x2="65.3288" y2="39.5732" width="0.1524" layer="1"/>
@ -3110,9 +3110,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="62.23" y1="37.4904" x2="63.9572" y2="35.7632" width="0.1524" layer="1"/>
<wire x1="63.9572" y1="35.7632" x2="65.3288" y2="35.7632" width="0.1524" layer="1"/>
<via x="68.4276" y="42.8244" extent="1-16" drill="0.3"/>
<wire x1="68.4276" y1="42.8244" x2="69.342" y2="42.8244" width="0.1524" layer="16"/>
<wire x1="69.342" y1="42.8244" x2="69.6468" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="69.6468" y1="43.1292" x2="71.1708" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="68.4276" y1="42.8244" x2="68.8848" y2="42.8244" width="0.1524" layer="16"/>
<wire x1="68.8848" y1="42.8244" x2="69.1896" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="69.1896" y1="43.1292" x2="71.1708" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="71.1708" y1="43.1292" x2="71.4756" y2="42.8244" width="0.1524" layer="16"/>
<wire x1="71.4756" y1="42.8244" x2="84.0232" y2="42.8244" width="0.1524" layer="16"/>
<wire x1="84.0232" y1="42.8244" x2="85.09" y2="43.8912" width="0.1524" layer="16"/>
@ -3184,8 +3184,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="IC1" pad="M11"/>
<contactref element="IC3" pad="60"/>
<contactref element="IC6" pad="3"/>
<wire x1="64.3636" y1="38.862" x2="55.9308" y2="38.862" width="0.1524" layer="16"/>
<wire x1="55.9308" y1="38.862" x2="54.7116" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="65.278" y1="38.5572" x2="55.626" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="55.626" y1="38.5572" x2="54.7116" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="54.7116" y1="37.6428" x2="54.6862" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="56.6534" y1="26.8986" x2="56.388" y2="27.164" width="0.1524" layer="1"/>
<wire x1="56.388" y1="28.0416" x2="56.388" y2="33.0708" width="0.1524" layer="1"/>
@ -3206,8 +3206,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="70.866" y1="41.4528" x2="71.5772" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="71.5772" y1="41.4528" x2="71.6788" y2="41.3512" width="0.1524" layer="16"/>
<wire x1="71.6788" y1="41.3512" x2="82.55" y2="41.3512" width="0.1524" layer="16"/>
<wire x1="64.3636" y1="38.862" x2="66.548" y2="41.0464" width="0.1524" layer="16"/>
<wire x1="66.548" y1="41.0464" x2="70.4596" y2="41.0464" width="0.1524" layer="16"/>
<wire x1="65.278" y1="38.5572" x2="67.7672" y2="41.0464" width="0.1524" layer="16"/>
<wire x1="67.7672" y1="41.0464" x2="70.4596" y2="41.0464" width="0.1524" layer="16"/>
</signal>
<signal name="D10">
<contactref element="IC1" pad="L10"/>
@ -4045,10 +4045,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="17.0688" y1="27.7368" x2="17.8308" y2="26.9748" width="0.1524" layer="16"/>
<wire x1="17.8308" y1="26.9748" x2="21.6408" y2="26.9748" width="0.1524" layer="16"/>
<via x="21.6408" y="26.9748" extent="1-16" drill="0.3"/>
<wire x1="35.5854" y1="38.862" x2="36.9062" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="35.5854" y1="38.862" x2="28.956" y2="38.862" width="0.1524" layer="16"/>
<wire x1="28.956" y1="38.862" x2="28.3972" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="28.3972" y1="39.4208" x2="19.812" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="19.812" y1="39.4208" x2="19.812" y2="36.4236" width="0.1524" layer="1"/>
<wire x1="19.812" y1="36.4236" x2="21.6408" y2="34.5948" width="0.1524" layer="1"/>
<wire x1="35.6616" y1="40.2336" x2="36.8554" y2="40.2336" width="0.1524" layer="1"/>
@ -4056,6 +4052,10 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="35.5092" y1="41.4528" x2="35.2044" y2="41.148" width="0.1524" layer="1"/>
<wire x1="35.2044" y1="41.148" x2="35.2044" y2="40.6908" width="0.1524" layer="1"/>
<wire x1="35.2044" y1="40.6908" x2="35.6616" y2="40.2336" width="0.1524" layer="1"/>
<wire x1="19.812" y1="39.4208" x2="21.844" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="21.844" y1="41.4528" x2="36.1188" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="36.1188" y1="41.4528" x2="36.9062" y2="40.6654" width="0.1524" layer="16"/>
<wire x1="36.9062" y1="40.6654" x2="36.9062" y2="40.1828" width="0.1524" layer="16"/>
</signal>
<signal name="D31">
<contactref element="IC1" pad="N01"/>
@ -5081,19 +5081,29 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="50.4952" y1="83.82" x2="45.2882" y2="83.82" width="0.3048" layer="16"/>
<via x="45.2882" y="83.82" extent="1-16" drill="0.3"/>
<wire x1="45.2896" y1="83.8186" x2="45.2882" y2="83.82" width="0.1524" layer="1"/>
<wire x1="51.2064" y1="58.9788" x2="64.4652" y2="58.9788" width="0.3048" layer="16"/>
<via x="64.4652" y="58.9788" extent="1-16" drill="0.3"/>
<wire x1="24.7904" y1="37.8206" x2="23.2918" y2="37.8206" width="0.4064" layer="1"/>
<wire x1="23.2918" y1="37.8206" x2="23.1648" y2="37.9476" width="0.4064" layer="1"/>
<wire x1="23.1648" y1="37.9476" x2="23.1648" y2="41.7576" width="0.4064" layer="1"/>
<via x="23.1648" y="41.7576" extent="1-16" drill="0.3"/>
<wire x1="23.1648" y1="41.7576" x2="41.4528" y2="41.7576" width="0.4064" layer="16"/>
<wire x1="41.4528" y1="41.7576" x2="43.434" y2="43.7388" width="0.4064" layer="16"/>
<wire x1="43.434" y1="43.7388" x2="50.7492" y2="43.7388" width="0.4064" layer="16"/>
<via x="50.7492" y="43.7388" extent="1-16" drill="0.3"/>
<wire x1="50.7492" y1="43.7388" x2="50.7492" y2="58.5216" width="0.4064" layer="1"/>
<via x="50.7492" y="58.5216" extent="1-16" drill="0.3"/>
<wire x1="51.2064" y1="58.9788" x2="50.7492" y2="58.5216" width="0.3048" layer="16"/>
<wire x1="38.862" y1="41.3004" x2="57.4548" y2="41.3004" width="0.4064" layer="16"/>
<wire x1="38.862" y1="41.3004" x2="38.2524" y2="40.6908" width="0.4064" layer="16"/>
<wire x1="38.2524" y1="40.6908" x2="38.2524" y2="39.1668" width="0.4064" layer="16"/>
<wire x1="38.2524" y1="39.1668" x2="37.9476" y2="38.862" width="0.4064" layer="16"/>
<wire x1="37.9476" y1="38.862" x2="27.432" y2="38.862" width="0.4064" layer="16"/>
<wire x1="27.432" y1="38.862" x2="26.3906" y2="37.8206" width="0.4064" layer="16"/>
<wire x1="26.3906" y1="37.8206" x2="24.7904" y2="37.8206" width="0.4064" layer="16"/>
<wire x1="64.4652" y1="58.9788" x2="64.4652" y2="58.2168" width="0.4064" layer="1"/>
<wire x1="64.4652" y1="58.2168" x2="60.3504" y2="54.102" width="0.4064" layer="1"/>
<wire x1="60.3504" y1="54.102" x2="59.5884" y2="54.102" width="0.4064" layer="1"/>
<wire x1="59.5884" y1="54.102" x2="58.5216" y2="53.0352" width="0.4064" layer="1"/>
<wire x1="58.5216" y1="53.0352" x2="58.5216" y2="50.1396" width="0.4064" layer="1"/>
<wire x1="58.5216" y1="50.1396" x2="57.4548" y2="49.0728" width="0.4064" layer="1"/>
<wire x1="57.4548" y1="49.0728" x2="56.8452" y2="49.0728" width="0.4064" layer="1"/>
<wire x1="56.8452" y1="49.0728" x2="55.9308" y2="48.1584" width="0.4064" layer="1"/>
<wire x1="55.9308" y1="48.1584" x2="55.9308" y2="47.244" width="0.4064" layer="1"/>
<wire x1="55.9308" y1="47.244" x2="56.5404" y2="46.6344" width="0.4064" layer="1"/>
<wire x1="56.5404" y1="46.6344" x2="57.7596" y2="46.6344" width="0.4064" layer="1"/>
<wire x1="57.7596" y1="46.6344" x2="58.5216" y2="45.8724" width="0.4064" layer="1"/>
<wire x1="58.5216" y1="45.8724" x2="58.5216" y2="42.3672" width="0.4064" layer="1"/>
<wire x1="58.5216" y1="42.3672" x2="58.2168" y2="42.0624" width="0.4064" layer="1"/>
<wire x1="57.4548" y1="41.3004" x2="58.2168" y2="42.0624" width="0.4064" layer="16"/>
<via x="58.2168" y="42.0624" extent="1-16" drill="0.3"/>
</signal>
<signal name="BERR">
<contactref element="IC2" pad="22"/>
@ -5503,13 +5513,12 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="87.63" y1="43.8912" x2="86.106" y2="42.3672" width="0.1524" layer="16"/>
<wire x1="78.3336" y1="42.3672" x2="71.3232" y2="42.3672" width="0.1524" layer="16"/>
<wire x1="71.3232" y1="42.3672" x2="71.0184" y2="42.672" width="0.1524" layer="16"/>
<wire x1="71.0184" y1="42.672" x2="69.9516" y2="42.672" width="0.1524" layer="16"/>
<wire x1="69.9516" y1="42.672" x2="68.7324" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="68.7324" y1="41.4528" x2="46.1772" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="46.1772" y1="41.4528" x2="45.8724" y2="41.148" width="0.1524" layer="16"/>
<wire x1="45.8724" y1="41.148" x2="45.4152" y2="41.148" width="0.1524" layer="16"/>
<via x="45.4152" y="41.148" extent="1-16" drill="0.3"/>
<wire x1="45.4152" y1="41.148" x2="45.4152" y2="41.91" width="0.1524" layer="1"/>
<wire x1="71.0184" y1="42.672" x2="69.342" y2="42.672" width="0.1524" layer="16"/>
<wire x1="69.342" y1="42.672" x2="68.1228" y2="41.4528" width="0.1524" layer="16"/>
<via x="45.2628" y="38.7096" extent="1-16" drill="0.3"/>
<wire x1="45.2628" y1="38.7096" x2="45.2628" y2="39.624" width="0.1524" layer="1"/>
<wire x1="45.2628" y1="39.624" x2="45.4152" y2="39.7764" width="0.1524" layer="1"/>
<wire x1="45.4152" y1="39.7764" x2="45.4152" y2="41.91" width="0.1524" layer="1"/>
<wire x1="45.4152" y1="41.91" x2="46.0248" y2="42.5196" width="0.1524" layer="1"/>
<wire x1="46.0248" y1="42.5196" x2="47.0916" y2="42.5196" width="0.1524" layer="1"/>
<wire x1="47.0916" y1="42.5196" x2="49.8348" y2="45.2628" width="0.1524" layer="1"/>
@ -5517,7 +5526,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="49.8348" y="55.1688" extent="1-16" drill="0.3"/>
<wire x1="49.8348" y1="55.1688" x2="49.0728" y2="55.9308" width="0.1524" layer="16"/>
<contactref element="R17" pad="2"/>
<wire x1="80.01" y1="38.4928" x2="79.4648" y2="38.4928" width="0.1524" layer="1"/>
<wire x1="79.8576" y1="38.4928" x2="79.4648" y2="38.4928" width="0.1524" layer="1"/>
<wire x1="79.4648" y1="38.4928" x2="78.7908" y2="39.1668" width="0.1524" layer="1"/>
<wire x1="78.7908" y1="39.1668" x2="78.7908" y2="41.91" width="0.1524" layer="1"/>
<via x="78.7908" y="41.91" extent="1-16" drill="0.3"/>
@ -5538,22 +5547,21 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="46.1772" y1="54.356" x2="46.5836" y2="54.356" width="0.1524" layer="16"/>
<wire x1="46.5836" y1="54.356" x2="48.1584" y2="55.9308" width="0.1524" layer="16"/>
<wire x1="48.1584" y1="55.9308" x2="49.0728" y2="55.9308" width="0.1524" layer="16"/>
<wire x1="45.2628" y1="38.7096" x2="45.8724" y2="38.7096" width="0.1524" layer="16"/>
<wire x1="45.8724" y1="38.7096" x2="46.0248" y2="38.862" width="0.1524" layer="16"/>
<wire x1="46.0248" y1="38.862" x2="64.77" y2="38.862" width="0.1524" layer="16"/>
<wire x1="64.77" y1="38.862" x2="67.3608" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="67.3608" y1="41.4528" x2="68.1228" y2="41.4528" width="0.1524" layer="16"/>
</signal>
<signal name="CIIN">
<contactref element="IC1" pad="L01"/>
<contactref element="IC7" pad="47"/>
<wire x1="35.814" y1="72.0852" x2="35.814" y2="40.8432" width="0.1524" layer="1"/>
<wire x1="35.2044" y1="39.1668" x2="30.3022" y2="39.1668" width="0.1524" layer="16"/>
<wire x1="30.3022" y1="39.1668" x2="29.2862" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="43.8912" y1="87.3252" x2="44.958" y2="88.392" width="0.1524" layer="16"/>
<wire x1="44.958" y1="88.392" x2="68.58" y2="88.392" width="0.1524" layer="16"/>
<wire x1="71.9328" y1="85.0392" x2="71.9328" y2="64.9224" width="0.1524" layer="1"/>
<wire x1="71.9328" y1="64.9224" x2="80.9244" y2="55.9308" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="55.9308" x2="80.9244" y2="48.1584" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="48.1584" x2="80.772" y2="48.006" width="0.1524" layer="1"/>
<wire x1="80.772" y1="48.006" x2="80.772" y2="47.0916" width="0.1524" layer="1"/>
<wire x1="80.772" y1="47.0916" x2="80.9244" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="46.9392" x2="80.9244" y2="33.0708" width="0.1524" layer="1"/>
<wire x1="71.9328" y1="85.0392" x2="71.9328" y2="64.77" width="0.1524" layer="1"/>
<wire x1="71.9328" y1="64.77" x2="80.772" y2="55.9308" width="0.1524" layer="1"/>
<wire x1="80.772" y1="55.9308" x2="80.772" y2="33.2232" width="0.1524" layer="1"/>
<contactref element="R8" pad="2"/>
<contactref element="X1" pad="A6"/>
<wire x1="43.8912" y1="87.3252" x2="39.3192" y2="87.3252" width="0.1524" layer="16"/>
@ -5562,13 +5570,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="39.3192" y1="94.7876" x2="39.2896" y2="94.8172" width="0.1524" layer="1"/>
<wire x1="39.3192" y1="87.3252" x2="39.3192" y2="82.296" width="0.1524" layer="1"/>
<wire x1="39.3192" y1="82.296" x2="36.7284" y2="79.7052" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="33.0708" x2="81.534" y2="32.4612" width="0.1524" layer="1"/>
<wire x1="80.772" y1="33.2232" x2="81.534" y2="32.4612" width="0.1524" layer="1"/>
<wire x1="81.534" y1="32.4612" x2="85.9536" y2="32.4612" width="0.1524" layer="1"/>
<wire x1="85.9536" y1="32.4612" x2="86.4108" y2="32.004" width="0.1524" layer="1"/>
<wire x1="86.4108" y1="32.004" x2="86.4108" y2="27.3304" width="0.1524" layer="1"/>
<wire x1="86.4108" y1="27.3304" x2="87.63" y2="26.1112" width="0.1524" layer="1"/>
<wire x1="35.2044" y1="39.1668" x2="35.814" y2="39.7764" width="0.1524" layer="16"/>
<wire x1="35.814" y1="39.7764" x2="35.814" y2="40.8432" width="0.1524" layer="16"/>
<via x="35.814" y="40.8432" extent="1-16" drill="0.3"/>
<wire x1="36.4236" y1="77.1144" x2="36.4236" y2="78.0288" width="0.1524" layer="1"/>
<wire x1="36.4236" y1="78.0288" x2="36.7284" y2="78.3336" width="0.1524" layer="1"/>
@ -5582,6 +5588,10 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="36.4608" y1="73.6092" x2="36.4236" y2="73.572" width="0.1524" layer="1"/>
<via x="68.58" y="88.392" extent="1-16" drill="0.3"/>
<wire x1="68.58" y1="88.392" x2="71.9328" y2="85.0392" width="0.1524" layer="1"/>
<wire x1="29.2862" y1="40.1828" x2="30.2514" y2="41.148" width="0.1524" layer="16"/>
<wire x1="30.2514" y1="41.148" x2="34.8996" y2="41.148" width="0.1524" layer="16"/>
<wire x1="34.8996" y1="41.148" x2="35.2044" y2="40.8432" width="0.1524" layer="16"/>
<wire x1="35.2044" y1="40.8432" x2="35.814" y2="40.8432" width="0.1524" layer="16"/>
</signal>
<signal name="CIOUT">
<contactref element="IC1" pad="C02"/>
@ -6436,8 +6446,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="52.1716" y1="44.7942" x2="53.0238" y2="44.7942" width="0.6096" layer="1"/>
<wire x1="53.0238" y1="44.7942" x2="53.34" y2="45.1104" width="0.6096" layer="1"/>
<contactref element="R17" pad="1"/>
<wire x1="80.01" y1="36.7928" x2="80.01" y2="36.703" width="0.1524" layer="1"/>
<wire x1="80.01" y1="36.703" x2="79.0956" y2="35.7886" width="0.1524" layer="1"/>
<wire x1="79.8576" y1="36.7928" x2="79.8576" y2="36.5506" width="0.1524" layer="1"/>
<wire x1="79.8576" y1="36.5506" x2="79.0956" y2="35.7886" width="0.1524" layer="1"/>
<wire x1="36.4236" y1="75.272" x2="36.4236" y2="76.2" width="0.1524" layer="1"/>
<wire x1="36.4236" y1="76.2" x2="34.8996" y2="77.724" width="0.1524" layer="1"/>
<wire x1="52.6034" y1="96.608" x2="52.6034" y2="97.663" width="0.1524" layer="1"/>
@ -6448,54 +6458,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="70.5612" y="87.4776" extent="1-16" drill="0.3"/>
<wire x1="71.9836" y1="54.4204" x2="71.9836" y2="52.832" width="0.1524" layer="1"/>
<wire x1="71.9836" y1="52.832" x2="72.2376" y2="52.578" width="0.1524" layer="1"/>
<wire x1="69.8246" y1="88.6596" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="59.2328" y1="98.4504" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="54.6354" y1="96.608" x2="57.1754" y2="98.4788" width="0" layer="19" extent="1-1"/>
<wire x1="44.2896" y1="94.8172" x2="52.6034" y2="96.608" width="0" layer="19" extent="1-1"/>
<wire x1="49.9872" y1="86.5124" x2="44.1452" y2="93.726" width="0" layer="19" extent="1-1"/>
<wire x1="44.323" y1="80.4418" x2="49.9872" y2="86.5124" width="0" layer="19" extent="1-1"/>
<wire x1="36.4236" y1="75.272" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
<wire x1="33.133" y1="86.7664" x2="34.7256" y2="81.8172" width="0" layer="19" extent="1-1"/>
<wire x1="41.9862" y1="60.5028" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
<wire x1="44.0436" y1="55.3352" x2="42.5082" y2="56.8706" width="0" layer="19" extent="1-1"/>
<wire x1="47.5742" y1="53.3794" x2="44.0436" y2="54.19" width="0" layer="19" extent="1-1"/>
<wire x1="36.9062" y1="57.9628" x2="41.9862" y2="57.3926" width="0" layer="19" extent="1-1"/>
<wire x1="46.0248" y1="48.1584" x2="47.5742" y2="53.3794" width="0" layer="19" extent="1-1"/>
<wire x1="52.1462" y1="57.9628" x2="48.7172" y2="53.3908" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="52.8828" x2="52.1462" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="47.8028" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="53.34" y1="45.1104" x2="54.6862" y2="47.8028" width="0" layer="19" extent="1-1"/>
<wire x1="52.1462" y1="42.7228" x2="52.1716" y2="44.7942" width="0" layer="19" extent="1-1"/>
<wire x1="41.9862" y1="40.1828" x2="46.0378" y2="44.9772" width="0" layer="19" extent="1-1"/>
<wire x1="37.1348" y1="42.9514" x2="41.9862" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="47.8028" x2="37.3012" y2="45.2882" width="0" layer="19" extent="1-1"/>
<wire x1="31.8262" y1="52.8828" x2="34.3662" y2="47.8028" width="0" layer="19" extent="1-1"/>
<wire x1="64.4652" y1="55.0164" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="71.9836" y1="54.4204" x2="65.0104" y2="54.4712" width="0" layer="19" extent="1-1"/>
<wire x1="75.424" y1="46.6344" x2="73.3688" y2="52.578" width="0" layer="19" extent="1-1"/>
<wire x1="80.01" y1="36.7928" x2="75.4126" y2="44.6278" width="0" layer="19" extent="1-1"/>
<wire x1="75.3872" y1="31.4084" x2="79.0956" y2="33.9208" width="0" layer="19" extent="1-1"/>
<wire x1="77.8764" y1="24.9056" x2="75.3872" y2="29.6164" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="23.5712" x2="77.8764" y2="23.4696" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="21.0312" x2="87.63" y2="23.5712" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="18.4912" x2="87.63" y2="21.0312" width="0" layer="19" extent="1-1"/>
<wire x1="31.5976" y1="33.5788" x2="36.9062" y2="42.7228" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="35.2806" x2="31.5836" y2="33.5648" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="19.2786" x2="33.3134" y2="26.8986" width="0" layer="19" extent="1-1"/>
<wire x1="15.3924" y1="34.3544" x2="24.7904" y2="35.2806" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="37.214" x2="15.3924" y2="34.3544" width="0" layer="19" extent="1-1"/>
<wire x1="5.221" y1="38.862" x2="10.9728" y2="38.862" width="0" layer="19" extent="1-1"/>
<wire x1="1.9304" y1="40.3606" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="6.5786" x2="33.3134" y2="16.7386" width="0" layer="19" extent="1-1"/>
<wire x1="55.513" y1="32.4612" x2="52.1462" y2="42.7228" width="0" layer="19" extent="1-1"/>
<wire x1="56.6534" y1="18.0086" x2="55.1434" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="65.5828" y1="12.5192" x2="61.4172" y2="17.4104" width="0" layer="19" extent="1-1"/>
<wire x1="60.932" y1="5.0686" x2="65.5828" y2="12.5192" width="0" layer="19" extent="1-1"/>
<wire x1="5.0686" y1="53.9496" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
<wire x1="5.221" y1="69.0372" x2="5.1816" y2="55.7022" width="0" layer="19" extent="1-1"/>
<wire x1="4.9428" y1="84.582" x2="5.1816" y2="70.9422" width="0" layer="19" extent="1-1"/>
<wire x1="5.334" y1="18.9738" x2="15.3924" y2="33.2232" width="0" layer="19" extent="1-1"/>
<wire x1="5.4864" y1="3.429" x2="5.334" y2="16.1544" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="GND">
<contactref element="IC2" pad="16"/>
@ -6818,8 +6780,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="17.018" y="95.1992" extent="1-16" drill="0.3"/>
<via x="58.4708" y="48.8696" extent="1-16" drill="0.3"/>
<via x="56.7944" y="49.022" extent="1-16" drill="0.3"/>
<via x="42.0116" y="43.5356" extent="1-16" drill="0.3"/>
<via x="49.8602" y="42.4434" extent="1-16" drill="0.3"/>
<via x="41.7068" y="43.688" extent="1-16" drill="0.3"/>
<via x="48.0314" y="38.3286" extent="1-16" drill="0.3"/>
<via x="24.7904" y="31.496" extent="1-16" drill="0.3"/>
<via x="24.7904" y="28.9052" extent="1-16" drill="0.3"/>
<via x="19.9136" y="32.1056" extent="1-16" drill="0.3"/>
@ -6952,206 +6914,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="20.9804" y="42.0116" extent="1-16" drill="0.3"/>
<via x="36.9824" y="55.4228" extent="1-16" drill="0.3"/>
<via x="36.9824" y="50.2412" extent="1-16" drill="0.3"/>
<via x="72.5932" y="60.8076" extent="1-16" drill="0.3"/>
<via x="73.2028" y="61.4172" extent="1-16" drill="0.3"/>
<via x="76.5556" y="56.9976" extent="1-16" drill="0.3"/>
<via x="48.8188" y="47.3964" extent="1-16" drill="0.3"/>
<via x="78.867" y="46.6344" extent="1-16" drill="0.3"/>
<via x="16.7894" y="42.0624" extent="1-16" drill="0.3"/>
<wire x1="85.7504" y1="96.1644" x2="86.5124" y2="98.7552" width="0" layer="19" extent="1-1"/>
<wire x1="87.7316" y1="93.8784" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
<wire x1="91.9988" y1="93.5736" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
<wire x1="78.473" y1="90.354" x2="81.5532" y2="95.7202" width="0" layer="19" extent="1-1"/>
<wire x1="75.7428" y1="88.4428" x2="75.7428" y2="89.9668" width="0" layer="19" extent="1-1"/>
<wire x1="75.8952" y1="68.707" x2="78.473" y2="68.5228" width="0" layer="19" extent="1-1"/>
<wire x1="75.5904" y1="67.2846" x2="75.8952" y2="68.707" width="0" layer="19" extent="1-1"/>
<wire x1="74.3204" y1="94.3102" x2="76.13" y2="90.354" width="0" layer="19" extent="1-1"/>
<wire x1="72.6948" y1="84.328" x2="75.7428" y2="88.4428" width="0" layer="19" extent="1-1"/>
<wire x1="73.2028" y1="61.4172" x2="75.5904" y2="67.2846" width="0" layer="19" extent="1-1"/>
<wire x1="72.5932" y1="60.8076" x2="73.2028" y2="61.4172" width="0" layer="19" extent="1-1"/>
<wire x1="67.8688" y1="60.8076" x2="72.5932" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="69.7484" y1="58.42" x2="67.8688" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="65.4304" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="62.8396" y1="55.372" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="76.5556" y1="56.9976" x2="72.5932" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="77.6224" y1="57.0484" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="67.4116" y1="90.5256" x2="72.6948" y2="84.328" width="0" layer="19" extent="1-1"/>
<wire x1="64.1604" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
<wire x1="61.6712" y1="97.3836" x2="64.1604" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="59.2836" y1="95.6564" x2="61.6712" y2="97.3836" width="0" layer="19" extent="1-1"/>
<wire x1="51.7896" y1="92.8172" x2="57.1754" y2="95.6788" width="0" layer="19" extent="1-1"/>
<wire x1="49.7896" y1="94.8172" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="98.3488" x2="49.276" y2="96.2152" width="0" layer="19" extent="1-1"/>
<wire x1="45.212" y1="97.1296" x2="47.4472" y2="98.3488" width="0" layer="19" extent="1-1"/>
<wire x1="38.2896" y1="94.8172" x2="43.2896" y2="94.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.7632" y1="94.6912" x2="37.7896" y2="94.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.6108" y1="97.6884" x2="37.7896" y2="96.4636" width="0" layer="19" extent="1-1"/>
<wire x1="33.5788" y1="97.6884" x2="35.6108" y2="97.6884" width="0" layer="19" extent="1-1"/>
<wire x1="31.1912" y1="96.1484" x2="33.5788" y2="97.6884" width="0" layer="19" extent="1-1"/>
<wire x1="49.9872" y1="87.4776" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-16"/>
<wire x1="48.6156" y1="84.7344" x2="50.6476" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="49.2896" y1="78.8172" x2="49.3324" y2="80.8172" width="0" layer="19" extent="1-1"/>
<wire x1="46.5328" y1="84.4804" x2="48.6156" y2="84.7344" width="0" layer="19" extent="1-1"/>
<wire x1="45.5676" y1="86.9696" x2="46.5328" y2="84.4804" width="0" layer="19" extent="1-1"/>
<wire x1="42.7736" y1="86.3346" x2="45.5676" y2="86.9696" width="0" layer="19" extent="1-1"/>
<wire x1="42.9768" y1="84.9884" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
<wire x1="55.4342" y1="86.4108" x2="51.7896" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="60.7568" y1="86.5124" x2="56.4388" y2="86.4108" width="0" layer="19" extent="1-1"/>
<wire x1="44.8678" y1="76.835" x2="49.6824" y2="76.8096" width="0" layer="19" extent="1-1"/>
<wire x1="37.338" y1="86.8172" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
<wire x1="35.7896" y1="86.8172" x2="37.338" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="31.383" y1="86.7664" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-1"/>
<wire x1="38.2896" y1="78.8172" x2="43.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.8762" y1="79.0956" x2="37.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="58.4708" y1="48.8696" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="56.7944" y1="49.022" x2="58.4708" y2="48.8696" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="50.3428" x2="56.7944" y2="49.022" width="0" layer="19" extent="1-1"/>
<wire x1="52.1716" y1="47.6504" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="45.2628" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
<wire x1="48.8188" y1="47.3964" x2="52.1716" y2="47.6504" width="0" layer="19" extent="1-1"/>
<wire x1="49.8602" y1="42.4434" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="40.1828" x2="49.8602" y2="42.4434" width="0" layer="19" extent="1-1"/>
<wire x1="47.0662" y1="40.1828" x2="49.6062" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="40.1828" x2="47.0662" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="42.0116" y1="43.5356" x2="44.5262" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="39.0512" y1="45.2882" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
<wire x1="37.592" y1="47.7012" x2="39.0144" y2="46.5328" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="50.2412" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="50.3428" x2="36.9824" y2="50.2412" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="52.8828" x2="34.3662" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="55.4228" x2="34.3662" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="55.4228" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="30.5816" y1="54.2036" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="28.194" y1="57.2516" x2="30.5816" y2="54.2036" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="45.2628" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="40.1828" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="54.356" x2="28.194" y2="57.2516" width="0" layer="19" extent="1-1"/>
<wire x1="23.876" y1="51.7652" x2="24.7904" y2="54.356" width="0" layer="19" extent="1-1"/>
<wire x1="24.7396" y1="49.276" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="46.6852" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="44.9072" x2="24.7904" y2="46.6852" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="42.0116" x2="20.9804" y2="44.9072" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="40.3606" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="16.7894" y1="42.0624" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="14.2748" y1="37.8968" x2="15.24" y2="42.037" width="0" layer="19" extent="1-1"/>
<wire x1="29.21" y1="49.022" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="34.414" x2="14.2748" y2="37.8968" width="0" layer="19" extent="1-1"/>
<wire x1="15.3416" y1="32.1056" x2="10.9728" y2="32.766" width="0" layer="19" extent="1-1"/>
<wire x1="15.3924" y1="30.4038" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="32.1056" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="19.7612" y1="34.2392" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="44.1452" y1="48.768" x2="48.8188" y2="47.3964" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="31.496" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="28.9052" x2="24.7904" y2="31.496" width="0" layer="19" extent="1-1"/>
<wire x1="25.7302" y1="28.829" x2="24.7904" y2="28.9052" width="0" layer="19" extent="1-1"/>
<wire x1="29.718" y1="31.3944" x2="25.7302" y2="28.829" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="55.4228" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="37.6428" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
<wire x1="10.16" y1="41.2496" x2="6.971" y2="38.862" width="0" layer="19" extent="1-1"/>
<wire x1="34.798" y1="30.1244" x2="29.8336" y2="31.51" width="0" layer="19" extent="1-1"/>
<wire x1="36.0934" y1="30.1244" x2="34.8234" y2="30.099" width="0" layer="19" extent="1-1"/>
<wire x1="37.3634" y1="28.4086" x2="36.0934" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="38.6334" y1="30.099" x2="37.3634" y2="30.099" width="0" layer="19" extent="1-1"/>
<wire x1="39.9034" y1="28.4086" x2="38.6334" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="24.3586" x2="34.8234" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="31.1912" y1="22.2504" x2="31.9278" y2="24.3586" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="60.5028" x2="36.9824" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="60.5028" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="44.2976" y1="57.9628" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="57.9628" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="47.766" y1="55.3212" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="28.956" y1="16.3462" x2="31.623" y2="21.8186" width="0" layer="19" extent="1-1"/>
<wire x1="38.608" y1="11.9888" x2="33.3134" y2="15.4686" width="0" layer="19" extent="1-1"/>
<wire x1="42.4688" y1="14.1224" x2="38.6334" y2="11.9634" width="0" layer="19" extent="1-1"/>
<wire x1="43.688" y1="14.1224" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="44.958" y1="14.1224" x2="43.688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="46.228" y1="14.1224" x2="44.958" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="48.1076" y1="14.1224" x2="46.228" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="42.418" y1="19.8628" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="43.688" y1="19.9136" x2="42.418" y2="19.8628" width="0" layer="19" extent="1-1"/>
<wire x1="44.958" y1="19.9136" x2="43.688" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="46.2788" y1="19.9136" x2="44.958" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="54.102" y1="12.6492" x2="48.1076" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="56.6534" y1="15.4686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
<wire x1="63.1952" y1="17.1704" x2="61.214" y2="15.4572" width="0" layer="19" extent="1-1"/>
<wire x1="63.8048" y1="18.1864" x2="63.1952" y2="17.1704" width="0" layer="19" extent="1-1"/>
<wire x1="65.5828" y1="16.7132" x2="65.3288" y2="18.1864" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="19.9136" x2="63.8048" y2="18.1864" width="0" layer="19" extent="1-1"/>
<wire x1="67.7672" y1="15.2908" x2="65.5828" y2="15.3192" width="0" layer="19" extent="1-1"/>
<wire x1="58.5216" y1="19.9644" x2="62.992" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="52.2732" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="53.594" x2="15.24" y2="52.197" width="0" layer="19" extent="1-1"/>
<wire x1="36.8808" y1="67.5132" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="9.9314" y1="24.7142" x2="15.3924" y2="30.4038" width="0" layer="19" extent="1-1"/>
<wire x1="52.6034" y1="5.0686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
<wire x1="56.2356" y1="3.7592" x2="52.6034" y2="3.683" width="0" layer="19" extent="1-1"/>
<wire x1="59.2836" y1="3.7592" x2="56.2356" y2="3.7592" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="16.8656" x2="9.9314" y2="24.7142" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="13.8176" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="12.1412" x2="10.3124" y2="13.8176" width="0" layer="19" extent="1-1"/>
<wire x1="7.1234" y1="17.2212" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="10.7696" y1="8.0264" x2="10.3124" y2="12.1412" width="0" layer="19" extent="1-1"/>
<wire x1="13.6652" y1="8.0264" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="9.7028" y1="4.826" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="8.2296" y1="1.6764" x2="9.7028" y2="4.826" width="0" layer="19" extent="1-1"/>
<wire x1="2.7432" y1="0.9144" x2="7.1234" y2="1.6764" width="0" layer="19" extent="1-1"/>
<wire x1="1.778" y1="0.9144" x2="2.7432" y2="0.9144" width="0" layer="19" extent="1-1"/>
<wire x1="0.8636" y1="0.9144" x2="1.778" y2="0.9144" width="0" layer="19" extent="1-1"/>
<wire x1="15.494" y1="16.5608" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="15.5448" y1="14.859" x2="15.494" y2="16.5608" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="3.1496" x2="13.6652" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="21.2852" y1="2.3876" x2="19.9136" y2="3.1496" width="0" layer="19" extent="1-1"/>
<wire x1="26.4668" y1="5.9944" x2="21.2852" y2="2.3876" width="0" layer="19" extent="1-1"/>
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
<wire x1="72.0344" y1="49.6824" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="72.5424" y1="46.6344" x2="72.0344" y2="49.6824" width="0" layer="19" extent="1-1"/>
<wire x1="78.867" y1="46.6344" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
<wire x1="77.1906" y1="39.5224" x2="78.867" y2="46.6344" width="0" layer="19" extent="1-1"/>
<wire x1="77.1144" y1="34.5948" x2="77.1906" y2="39.5224" width="0" layer="19" extent="1-1"/>
<wire x1="76.7956" y1="31.75" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="80.1624" y1="34.5948" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="32.4612" x2="80.1624" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="91.5416" y1="32.3088" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="10.7696" y1="68.6816" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="68.9978" x2="10.7696" y2="68.6816" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="68.9864" x2="10.7696" y2="68.6816" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="67.1322" x2="15.1892" y2="68.9864" width="0" layer="19" extent="1-1"/>
<wire x1="1.9304" y1="30.2006" x2="7.0104" y2="37.6428" width="0" layer="19" extent="1-1"/>
<wire x1="88.3412" y1="83.2104" x2="78.473" y2="83.3436" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="72.9996" x2="78.473" y2="72.828" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
<wire x1="91.5416" y1="62.7888" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="42.672" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="22.2504" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="15.9512" x2="88.4936" y2="22.2504" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="13.4112" x2="87.63" y2="15.9512" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="12.192" x2="87.63" y2="13.4112" width="0" layer="19" extent="1-1"/>
<wire x1="91.694" y1="12.3444" x2="88.4936" y2="12.192" width="0" layer="19" extent="1-1"/>
<wire x1="91.6432" y1="2.3368" x2="91.694" y2="12.3444" width="0" layer="19" extent="1-1"/>
<wire x1="91.6432" y1="1.2192" x2="91.6432" y2="2.3368" width="0" layer="19" extent="1-1"/>
<wire x1="90.2716" y1="1.2192" x2="91.6432" y2="1.2192" width="0" layer="19" extent="1-1"/>
<wire x1="57.2516" y1="30.1752" x2="58.5216" y2="19.9644" width="0" layer="19" extent="1-1"/>
<wire x1="52.6034" y1="29.8704" x2="57.2516" y2="30.1752" width="0" layer="19" extent="1-1"/>
<wire x1="62.4332" y1="35.1536" x2="57.263" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="63.3476" y1="33.4772" x2="62.4332" y2="35.1536" width="0" layer="19" extent="1-1"/>
<wire x1="63.8048" y1="31.1912" x2="63.6016" y2="33.2232" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="97.9932" x2="1.8288" y2="83.3628" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="98.9076" x2="1.016" y2="97.9932" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="42.037" x2="16.764" y2="42.037" width="0.1524" layer="1"/>
<wire x1="16.764" y1="42.037" x2="16.7894" y2="42.0624" width="0.1524" layer="1"/>
</signal>
@ -7335,9 +7102,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="58.5216" y="54.1528" extent="1-16" drill="0.3"/>
<wire x1="58.5216" y1="54.1528" x2="58.5216" y2="51.6382" width="0.1524" layer="1"/>
<wire x1="58.5216" y1="51.6382" x2="57.2262" y2="50.3428" width="0.1524" layer="1"/>
<wire x1="58.5216" y1="54.1528" x2="60.198" y2="54.1528" width="0.1524" layer="16"/>
<wire x1="60.198" y1="54.1528" x2="60.2996" y2="54.2544" width="0.1524" layer="16"/>
<wire x1="60.2996" y1="54.2544" x2="68.2752" y2="54.2544" width="0.1524" layer="16"/>
<wire x1="58.5216" y1="54.1528" x2="68.1736" y2="54.1528" width="0.1524" layer="16"/>
<wire x1="68.1736" y1="54.1528" x2="68.2752" y2="54.2544" width="0.1524" layer="16"/>
<via x="68.2752" y="54.2544" extent="1-16" drill="0.3"/>
<wire x1="68.2752" y1="54.2544" x2="68.2752" y2="57.5564" width="0.1524" layer="1"/>
<wire x1="68.2752" y1="57.5564" x2="65.8876" y2="59.944" width="0.1524" layer="1"/>
@ -7425,8 +7191,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="18.8976" y1="98.298" x2="12.8016" y2="92.202" width="0.1524" layer="1"/>
<wire x1="15.3162" y1="19.05" x2="13.142375" y2="19.05" width="0.1524" layer="1"/>
<wire x1="13.142375" y1="19.05" x2="12.8016" y2="19.390775" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="19.390775" x2="12.8016" y2="38.7096" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="38.7096" x2="12.8016" y2="92.202" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="19.390775" x2="12.8016" y2="41.4528" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="41.4528" x2="12.8016" y2="92.202" width="0.1524" layer="1"/>
<wire x1="12.8016" y1="3.81" x2="13.1826" y2="3.429" width="0.1524" layer="1"/>
<wire x1="13.1826" y1="3.429" x2="15.5448" y2="3.429" width="0.1524" layer="1"/>
<wire x1="15.3924" y1="18.9738" x2="15.3162" y2="19.05" width="0.1524" layer="1"/>
@ -7441,12 +7207,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="64.9224" y1="46.0248" x2="63.0936" y2="44.196" width="0.1524" layer="16"/>
<wire x1="63.0936" y1="44.196" x2="60.8076" y2="44.196" width="0.1524" layer="16"/>
<wire x1="60.8076" y1="44.196" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="51.9684" y1="43.8912" x2="51.2064" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="51.2064" y1="43.1292" x2="43.6118" y2="43.1292" width="0.1524" layer="16"/>
<via x="12.8016" y="38.7096" extent="1-16" drill="0.3"/>
<wire x1="39.0398" y1="38.5572" x2="28.6512" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="38.5572" x2="28.4988" y2="38.7096" width="0.1524" layer="16"/>
<wire x1="28.4988" y1="38.7096" x2="12.8016" y2="38.7096" width="0.1524" layer="16"/>
<via x="12.8016" y="41.4528" extent="1-16" drill="0.3"/>
<wire x1="65.3288" y1="29.6164" x2="65.4304" y2="29.718" width="0.1524" layer="1"/>
<wire x1="65.4304" y1="29.718" x2="66.7512" y2="29.718" width="0.1524" layer="1"/>
<wire x1="66.7512" y1="29.718" x2="67.056" y2="30.0228" width="0.1524" layer="1"/>
@ -7456,8 +7217,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="69.9516" y="46.482" extent="1-16" drill="0.3"/>
<wire x1="69.9516" y1="46.482" x2="65.6844" y2="46.482" width="0.1524" layer="16"/>
<wire x1="65.6844" y1="46.482" x2="65.2272" y2="46.0248" width="0.1524" layer="16"/>
<wire x1="51.9684" y1="43.8912" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="43.6118" y1="43.1292" x2="39.0398" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="12.8016" y1="41.4528" x2="21.336" y2="41.4528" width="0.1524" layer="16"/>
<wire x1="21.336" y1="41.4528" x2="21.6408" y2="41.7576" width="0.1524" layer="16"/>
<wire x1="21.6408" y1="41.7576" x2="40.6908" y2="41.7576" width="0.1524" layer="16"/>
<wire x1="40.6908" y1="41.7576" x2="42.8244" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="42.8244" y1="43.8912" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
</signal>
<signal name="R/W_00">
<contactref element="IC2" pad="9"/>
@ -8229,15 +7993,15 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
</signal>
</signals>
<errors>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,4daddc4e8f67e619"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,3849587e8adf1064"/>
<approved hash="18,30,933d5dc68ee6b8e9"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,93e4923c922593fd"/>
<approved hash="18,30,3849587e8adf1064"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,a0a8a9f4a989a0d5"/>
<approved hash="18,30,93e4923c922593fd"/>
</errors>
</board>
</drawing>

View File

@ -2859,8 +2859,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<attribute name="NAME" x="27.559" y="88.9762" size="1.27" layer="25" rot="R90"/>
<attribute name="VALUE" x="27.3558" y="88.6714" size="1.27" layer="27" rot="R90"/>
</element>
<element name="R17" library="rcl" package="R0603" value="4,7k" x="80.01" y="37.6428" smashed="yes" rot="R90">
<attribute name="NAME" x="79.0702" y="36.3982" size="1.27" layer="25" rot="R90"/>
<element name="R17" library="rcl" package="R0603" value="4,7k" x="79.8576" y="37.6428" smashed="yes" rot="R90">
<attribute name="NAME" x="78.9178" y="36.3982" size="1.27" layer="25" rot="R90"/>
</element>
<element name="RN4" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="75.1332" smashed="yes" rot="R90">
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="75.1332" size="1.778" layer="27" rot="R90" display="off"/>
@ -2974,7 +2974,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="44.9834" y1="34.163" x2="45.4152" y2="34.5948" width="0.1524" layer="1"/>
<wire x1="45.4152" y1="34.5948" x2="45.4152" y2="36.576" width="0.1524" layer="1"/>
<wire x1="45.4152" y1="36.576" x2="46.1772" y2="37.338" width="0.1524" layer="1"/>
<wire x1="46.1772" y1="37.338" x2="46.1772" y2="37.9476" width="0.1524" layer="1"/>
<wire x1="46.1772" y1="37.338" x2="46.1772" y2="38.1" width="0.1524" layer="1"/>
<contactref element="X1" pad="B15"/>
<wire x1="61.5696" y1="46.7868" x2="63.246" y2="46.7868" width="0.1524" layer="16"/>
<wire x1="63.246" y1="46.7868" x2="64.4398" y2="47.9806" width="0.1524" layer="16"/>
@ -2991,10 +2991,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="62.9412" y1="41.3004" x2="63.8556" y2="41.3004" width="0.1524" layer="1"/>
<wire x1="63.8556" y1="41.3004" x2="64.3128" y2="40.8432" width="0.1524" layer="1"/>
<wire x1="64.3128" y1="40.8432" x2="65.3288" y2="40.8432" width="0.1524" layer="1"/>
<wire x1="46.1772" y1="37.9476" x2="48.4632" y2="40.2336" width="0.1524" layer="1"/>
<wire x1="48.4632" y1="40.2336" x2="48.4632" y2="40.6908" width="0.1524" layer="1"/>
<wire x1="48.4632" y1="40.6908" x2="49.53" y2="41.7576" width="0.1524" layer="1"/>
<wire x1="49.53" y1="41.7576" x2="52.578" y2="41.7576" width="0.1524" layer="1"/>
<wire x1="46.1772" y1="38.1" x2="49.8348" y2="41.7576" width="0.1524" layer="1"/>
<wire x1="49.8348" y1="41.7576" x2="52.578" y2="41.7576" width="0.1524" layer="1"/>
<wire x1="52.578" y1="41.7576" x2="53.1876" y2="42.3672" width="0.1524" layer="1"/>
<wire x1="53.1876" y1="42.3672" x2="53.1876" y2="43.1292" width="0.1524" layer="1"/>
<wire x1="53.1876" y1="43.1292" x2="53.9496" y2="43.8912" width="0.1524" layer="1"/>
@ -4047,12 +4045,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="17.0688" y1="27.7368" x2="17.8308" y2="26.9748" width="0.1524" layer="16"/>
<wire x1="17.8308" y1="26.9748" x2="21.6408" y2="26.9748" width="0.1524" layer="16"/>
<via x="21.6408" y="26.9748" extent="1-16" drill="0.3"/>
<wire x1="36.9062" y1="39.2684" x2="36.9062" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="36.9062" y1="39.2684" x2="36.4998" y2="38.862" width="0.1524" layer="16"/>
<wire x1="36.4998" y1="38.862" x2="29.8704" y2="38.862" width="0.1524" layer="16"/>
<wire x1="29.8704" y1="38.862" x2="29.5656" y2="39.1668" width="0.1524" layer="16"/>
<wire x1="29.5656" y1="39.1668" x2="28.6512" y2="39.1668" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="39.1668" x2="28.3972" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="35.5854" y1="38.862" x2="36.9062" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="35.5854" y1="38.862" x2="28.956" y2="38.862" width="0.1524" layer="16"/>
<wire x1="28.956" y1="38.862" x2="28.3972" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="28.3972" y1="39.4208" x2="19.812" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="19.812" y1="39.4208" x2="19.812" y2="36.4236" width="0.1524" layer="1"/>
<wire x1="19.812" y1="36.4236" x2="21.6408" y2="34.5948" width="0.1524" layer="1"/>
@ -4999,10 +4994,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<signal name="R/W">
<contactref element="IC1" pad="L03"/>
<contactref element="IC3" pad="28"/>
<wire x1="66.7004" y1="33.6296" x2="69.4944" y2="36.4236" width="0.1524" layer="1"/>
<wire x1="69.4944" y1="36.4236" x2="69.4944" y2="43.4848" width="0.1524" layer="1"/>
<wire x1="69.4944" y1="43.4848" x2="69.6468" y2="43.6372" width="0.1524" layer="1"/>
<wire x1="69.6468" y1="43.6372" x2="69.6468" y2="44.196" width="0.1524" layer="1"/>
<wire x1="66.7004" y1="33.6296" x2="69.6468" y2="36.576" width="0.1524" layer="1"/>
<wire x1="69.6468" y1="36.576" x2="69.6468" y2="44.196" width="0.1524" layer="1"/>
<wire x1="69.6468" y1="44.196" x2="69.1896" y2="44.6532" width="0.1524" layer="1"/>
<wire x1="69.1896" y1="44.6532" x2="69.1896" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="69.1896" y1="46.9392" x2="69.6468" y2="47.3964" width="0.1524" layer="1"/>
@ -5094,9 +5087,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="23.2918" y1="37.8206" x2="23.1648" y2="37.9476" width="0.4064" layer="1"/>
<wire x1="23.1648" y1="37.9476" x2="23.1648" y2="41.7576" width="0.4064" layer="1"/>
<via x="23.1648" y="41.7576" extent="1-16" drill="0.3"/>
<wire x1="23.1648" y1="41.7576" x2="41.7576" y2="41.7576" width="0.4064" layer="16"/>
<wire x1="41.7576" y1="41.7576" x2="43.7388" y2="43.7388" width="0.4064" layer="16"/>
<wire x1="43.7388" y1="43.7388" x2="50.7492" y2="43.7388" width="0.4064" layer="16"/>
<wire x1="23.1648" y1="41.7576" x2="41.4528" y2="41.7576" width="0.4064" layer="16"/>
<wire x1="41.4528" y1="41.7576" x2="43.434" y2="43.7388" width="0.4064" layer="16"/>
<wire x1="43.434" y1="43.7388" x2="50.7492" y2="43.7388" width="0.4064" layer="16"/>
<via x="50.7492" y="43.7388" extent="1-16" drill="0.3"/>
<wire x1="50.7492" y1="43.7388" x2="50.7492" y2="58.5216" width="0.4064" layer="1"/>
<via x="50.7492" y="58.5216" extent="1-16" drill="0.3"/>
@ -5423,11 +5416,10 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="79.756" y="39.624" extent="1-16" drill="0.3"/>
<wire x1="79.756" y1="39.624" x2="79.7052" y2="39.6748" width="0.1524" layer="1"/>
<wire x1="79.7052" y1="39.6748" x2="79.7052" y2="56.0832" width="0.1524" layer="1"/>
<wire x1="79.7052" y1="56.0832" x2="79.7052" y2="60.96" width="0.1524" layer="1"/>
<contactref element="IC7" pad="21"/>
<wire x1="79.7052" y1="60.96" x2="70.1548" y2="70.5104" width="0.1524" layer="1"/>
<wire x1="70.1548" y1="70.5104" x2="70.1548" y2="85.9536" width="0.1524" layer="1"/>
<wire x1="70.1548" y1="85.9536" x2="65.2912" y2="90.8172" width="0.1524" layer="1"/>
<wire x1="79.7052" y1="56.0832" x2="71.374" y2="64.4144" width="0.1524" layer="1"/>
<wire x1="71.374" y1="64.4144" x2="71.374" y2="84.7344" width="0.1524" layer="1"/>
<wire x1="71.374" y1="84.7344" x2="65.2912" y2="90.8172" width="0.1524" layer="1"/>
<wire x1="65.2912" y1="90.8172" x2="51.7896" y2="90.8172" width="0.1524" layer="1"/>
<contactref element="X1" pad="A12"/>
<contactref element="IC1" pad="B02"/>
@ -5525,7 +5517,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="49.8348" y="55.1688" extent="1-16" drill="0.3"/>
<wire x1="49.8348" y1="55.1688" x2="49.0728" y2="55.9308" width="0.1524" layer="16"/>
<contactref element="R17" pad="2"/>
<wire x1="80.01" y1="38.4928" x2="79.4648" y2="38.4928" width="0.1524" layer="1"/>
<wire x1="79.8576" y1="38.4928" x2="79.4648" y2="38.4928" width="0.1524" layer="1"/>
<wire x1="79.4648" y1="38.4928" x2="78.7908" y2="39.1668" width="0.1524" layer="1"/>
<wire x1="78.7908" y1="39.1668" x2="78.7908" y2="41.91" width="0.1524" layer="1"/>
<via x="78.7908" y="41.91" extent="1-16" drill="0.3"/>
@ -5555,13 +5547,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="30.3022" y1="39.1668" x2="29.2862" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="43.8912" y1="87.3252" x2="44.958" y2="88.392" width="0.1524" layer="16"/>
<wire x1="44.958" y1="88.392" x2="68.58" y2="88.392" width="0.1524" layer="16"/>
<wire x1="70.5612" y1="86.4108" x2="70.5612" y2="70.866" width="0.1524" layer="1"/>
<wire x1="70.5612" y1="70.866" x2="80.9244" y2="60.5028" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="60.5028" x2="80.9244" y2="48.1584" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="48.1584" x2="80.772" y2="48.006" width="0.1524" layer="1"/>
<wire x1="80.772" y1="48.006" x2="80.772" y2="47.0916" width="0.1524" layer="1"/>
<wire x1="80.772" y1="47.0916" x2="80.9244" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="46.9392" x2="80.9244" y2="33.0708" width="0.1524" layer="1"/>
<wire x1="71.9328" y1="85.0392" x2="71.9328" y2="64.77" width="0.1524" layer="1"/>
<wire x1="71.9328" y1="64.77" x2="80.772" y2="55.9308" width="0.1524" layer="1"/>
<wire x1="80.772" y1="55.9308" x2="80.772" y2="33.2232" width="0.1524" layer="1"/>
<contactref element="R8" pad="2"/>
<contactref element="X1" pad="A6"/>
<wire x1="43.8912" y1="87.3252" x2="39.3192" y2="87.3252" width="0.1524" layer="16"/>
@ -5570,7 +5558,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="39.3192" y1="94.7876" x2="39.2896" y2="94.8172" width="0.1524" layer="1"/>
<wire x1="39.3192" y1="87.3252" x2="39.3192" y2="82.296" width="0.1524" layer="1"/>
<wire x1="39.3192" y1="82.296" x2="36.7284" y2="79.7052" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="33.0708" x2="81.534" y2="32.4612" width="0.1524" layer="1"/>
<wire x1="80.772" y1="33.2232" x2="81.534" y2="32.4612" width="0.1524" layer="1"/>
<wire x1="81.534" y1="32.4612" x2="85.9536" y2="32.4612" width="0.1524" layer="1"/>
<wire x1="85.9536" y1="32.4612" x2="86.4108" y2="32.004" width="0.1524" layer="1"/>
<wire x1="86.4108" y1="32.004" x2="86.4108" y2="27.3304" width="0.1524" layer="1"/>
@ -5589,7 +5577,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="36.8808" y1="73.6092" x2="36.4608" y2="73.6092" width="0.1524" layer="1"/>
<wire x1="36.4608" y1="73.6092" x2="36.4236" y2="73.572" width="0.1524" layer="1"/>
<via x="68.58" y="88.392" extent="1-16" drill="0.3"/>
<wire x1="68.58" y1="88.392" x2="70.5612" y2="86.4108" width="0.1524" layer="1"/>
<wire x1="68.58" y1="88.392" x2="71.9328" y2="85.0392" width="0.1524" layer="1"/>
</signal>
<signal name="CIOUT">
<contactref element="IC1" pad="C02"/>
@ -6011,14 +5999,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="70.2056" y="68.2752" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="19"/>
<wire x1="51.7896" y1="89.8172" x2="65.1228" y2="89.8172" width="0.1524" layer="1"/>
<wire x1="65.1228" y1="89.8172" x2="69.2912" y2="85.6488" width="0.1524" layer="1"/>
<wire x1="69.2912" y1="85.6488" x2="69.2912" y2="69.1896" width="0.1524" layer="1"/>
<wire x1="69.2912" y1="69.1896" x2="70.2056" y2="68.2752" width="0.1524" layer="1"/>
<wire x1="65.1228" y1="89.8172" x2="70.2056" y2="84.7344" width="0.1524" layer="1"/>
<contactref element="X1" pad="C24"/>
<contactref element="RN1" pad="2"/>
<wire x1="80.023" y1="71.228" x2="80.7784" y2="71.228" width="0.1524" layer="1"/>
<wire x1="80.7784" y1="71.228" x2="81.3816" y2="71.8312" width="0.1524" layer="1"/>
<wire x1="81.3816" y1="71.8312" x2="82.55" y2="71.8312" width="0.1524" layer="1"/>
<wire x1="70.2056" y1="68.2752" x2="70.2056" y2="84.7344" width="0.1524" layer="1"/>
</signal>
<signal name="A25">
<contactref element="IC1" pad="B06"/>
@ -6034,15 +6021,14 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="69.4944" y="67.6656" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="18"/>
<wire x1="65.0132" y1="89.3172" x2="51.7896" y2="89.3172" width="0.1524" layer="1"/>
<wire x1="65.0132" y1="89.3172" x2="68.7832" y2="85.5472" width="0.1524" layer="1"/>
<wire x1="68.7832" y1="85.5472" x2="68.7832" y2="68.3768" width="0.1524" layer="1"/>
<wire x1="68.7832" y1="68.3768" x2="69.4944" y2="67.6656" width="0.1524" layer="1"/>
<contactref element="X1" pad="B24"/>
<contactref element="RN1" pad="3"/>
<wire x1="80.023" y1="72.028" x2="80.7148" y2="72.028" width="0.1524" layer="1"/>
<wire x1="80.7148" y1="72.028" x2="81.534" y2="72.8472" width="0.1524" layer="1"/>
<wire x1="81.534" y1="72.8472" x2="84.074" y2="72.8472" width="0.1524" layer="1"/>
<wire x1="84.074" y1="72.8472" x2="85.09" y2="71.8312" width="0.1524" layer="1"/>
<wire x1="69.4944" y1="84.836" x2="69.4944" y2="67.6656" width="0.1524" layer="1"/>
<wire x1="69.4944" y1="84.836" x2="65.0132" y2="89.3172" width="0.1524" layer="1"/>
</signal>
<signal name="A26">
<contactref element="IC1" pad="A05"/>
@ -6054,9 +6040,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="68.834" y="67.056" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="17"/>
<wire x1="64.7512" y1="88.8172" x2="51.7896" y2="88.8172" width="0.1524" layer="1"/>
<wire x1="68.834" y1="67.056" x2="68.326" y2="67.564" width="0.1524" layer="1"/>
<wire x1="68.326" y1="67.564" x2="68.326" y2="85.2424" width="0.1524" layer="1"/>
<wire x1="68.326" y1="85.2424" x2="64.7512" y2="88.8172" width="0.1524" layer="1"/>
<wire x1="68.834" y1="84.7344" x2="64.7512" y2="88.8172" width="0.1524" layer="1"/>
<contactref element="X1" pad="A24"/>
<wire x1="41.148" y1="67.056" x2="40.6908" y2="67.5132" width="0.1524" layer="16"/>
<wire x1="40.6908" y1="67.5132" x2="39.7764" y2="67.5132" width="0.1524" layer="16"/>
@ -6067,6 +6051,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="80.7528" y1="72.828" x2="81.2292" y2="73.3044" width="0.1524" layer="1"/>
<wire x1="81.2292" y1="73.3044" x2="86.1568" y2="73.3044" width="0.1524" layer="1"/>
<wire x1="86.1568" y1="73.3044" x2="87.63" y2="71.8312" width="0.1524" layer="1"/>
<wire x1="68.834" y1="84.7344" x2="68.834" y2="67.056" width="0.1524" layer="1"/>
</signal>
<signal name="A28">
<contactref element="IC1" pad="A04"/>
@ -6080,16 +6065,15 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="67.564" y="65.7352" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="15"/>
<wire x1="51.7896" y1="87.8172" x2="64.532" y2="87.8172" width="0.1524" layer="1"/>
<wire x1="64.532" y1="87.8172" x2="67.31" y2="85.0392" width="0.1524" layer="1"/>
<wire x1="67.31" y1="85.0392" x2="67.31" y2="65.9892" width="0.1524" layer="1"/>
<contactref element="X1" pad="B23"/>
<wire x1="67.31" y1="65.9892" x2="67.564" y2="65.7352" width="0.1524" layer="1"/>
<contactref element="RN2" pad="4"/>
<wire x1="80.023" y1="69.3228" x2="80.448" y2="69.3228" width="0.1524" layer="1"/>
<wire x1="80.448" y1="69.3228" x2="81.534" y2="70.4088" width="0.1524" layer="1"/>
<wire x1="81.534" y1="70.4088" x2="83.9724" y2="70.4088" width="0.1524" layer="1"/>
<wire x1="83.9724" y1="70.4088" x2="85.09" y2="69.2912" width="0.1524" layer="1"/>
<wire x1="67.564" y1="65.7352" x2="72.4408" y2="65.7352" width="0.1524" layer="16"/>
<wire x1="64.532" y1="87.8172" x2="67.564" y2="84.7852" width="0.1524" layer="1"/>
<wire x1="67.564" y1="84.7852" x2="67.564" y2="65.7352" width="0.1524" layer="1"/>
</signal>
<signal name="A29">
<contactref element="IC1" pad="B04"/>
@ -6146,9 +6130,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="85.09" y1="66.7512" x2="83.8708" y2="65.532" width="0.1524" layer="16"/>
<wire x1="83.8708" y1="65.532" x2="74.0664" y2="65.532" width="0.1524" layer="16"/>
<wire x1="74.0664" y1="65.532" x2="71.4756" y2="62.9412" width="0.1524" layer="16"/>
<wire x1="71.4756" y1="62.9412" x2="63.3984" y2="62.9412" width="0.1524" layer="16"/>
<wire x1="63.3984" y1="62.9412" x2="62.992" y2="62.5348" width="0.1524" layer="16"/>
<wire x1="62.992" y1="62.5348" x2="61.976" y2="62.5348" width="0.1524" layer="16"/>
<wire x1="71.4756" y1="62.9412" x2="62.3824" y2="62.9412" width="0.1524" layer="16"/>
<wire x1="62.3824" y1="62.9412" x2="61.976" y2="62.5348" width="0.1524" layer="16"/>
<wire x1="61.976" y1="62.5348" x2="61.468" y2="62.0268" width="0.1524" layer="16"/>
<wire x1="61.468" y1="62.0268" x2="41.6052" y2="62.0268" width="0.1524" layer="16"/>
<wire x1="40.9956" y1="62.6364" x2="40.386" y2="62.6364" width="0.1524" layer="16"/>
@ -6180,8 +6163,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="IC7" pad="78"/>
<wire x1="38.7896" y1="78.8172" x2="38.7896" y2="69.1172" width="0.1524" layer="1"/>
<wire x1="38.7896" y1="69.1172" x2="38.2524" y2="68.58" width="0.1524" layer="1"/>
<wire x1="38.2524" y1="68.58" x2="38.2524" y2="36.8808" width="0.1524" layer="1"/>
<wire x1="38.2524" y1="36.8808" x2="37.8968" y2="36.5252" width="0.1524" layer="1"/>
<wire x1="38.2524" y1="68.58" x2="38.2524" y2="37.6428" width="0.1524" layer="1"/>
<via x="36.1188" y="31.5468" extent="1-16" drill="0.3"/>
<wire x1="36.1188" y1="31.5468" x2="36.1188" y2="31.242" width="0.1524" layer="16"/>
<via x="37.338" y="26.8224" extent="1-16" drill="0.3"/>
@ -6189,9 +6171,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="36.7284" y1="30.6324" x2="36.7284" y2="27.432" width="0.1524" layer="16"/>
<wire x1="36.7284" y1="27.432" x2="37.338" y2="26.8224" width="0.1524" layer="16"/>
<wire x1="36.1188" y1="31.5468" x2="35.9664" y2="31.6992" width="0.1524" layer="1"/>
<wire x1="35.9664" y1="31.6992" x2="35.9664" y2="35.6616" width="0.1524" layer="1"/>
<wire x1="35.9664" y1="35.6616" x2="36.83" y2="36.5252" width="0.1524" layer="1"/>
<wire x1="36.83" y1="36.5252" x2="37.8968" y2="36.5252" width="0.1524" layer="1"/>
<wire x1="35.9664" y1="31.6992" x2="35.9664" y2="35.3568" width="0.1524" layer="1"/>
<wire x1="35.9664" y1="35.3568" x2="38.2524" y2="37.6428" width="0.1524" layer="1"/>
</signal>
<signal name="VCC">
<contactref element="IC3" pad="18"/>
@ -6451,8 +6432,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="52.1716" y1="44.7942" x2="53.0238" y2="44.7942" width="0.6096" layer="1"/>
<wire x1="53.0238" y1="44.7942" x2="53.34" y2="45.1104" width="0.6096" layer="1"/>
<contactref element="R17" pad="1"/>
<wire x1="80.01" y1="36.7928" x2="80.01" y2="36.703" width="0.1524" layer="1"/>
<wire x1="80.01" y1="36.703" x2="79.0956" y2="35.7886" width="0.1524" layer="1"/>
<wire x1="79.8576" y1="36.7928" x2="79.8576" y2="36.5506" width="0.1524" layer="1"/>
<wire x1="79.8576" y1="36.5506" x2="79.0956" y2="35.7886" width="0.1524" layer="1"/>
<wire x1="36.4236" y1="75.272" x2="36.4236" y2="76.2" width="0.1524" layer="1"/>
<wire x1="36.4236" y1="76.2" x2="34.8996" y2="77.724" width="0.1524" layer="1"/>
<wire x1="52.6034" y1="96.608" x2="52.6034" y2="97.663" width="0.1524" layer="1"/>
@ -6463,54 +6444,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="70.5612" y="87.4776" extent="1-16" drill="0.3"/>
<wire x1="71.9836" y1="54.4204" x2="71.9836" y2="52.832" width="0.1524" layer="1"/>
<wire x1="71.9836" y1="52.832" x2="72.2376" y2="52.578" width="0.1524" layer="1"/>
<wire x1="69.8246" y1="88.6596" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="59.2328" y1="98.4504" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="54.6354" y1="96.608" x2="57.1754" y2="98.4788" width="0" layer="19" extent="1-1"/>
<wire x1="44.2896" y1="94.8172" x2="52.6034" y2="96.608" width="0" layer="19" extent="1-1"/>
<wire x1="49.9872" y1="86.5124" x2="44.1452" y2="93.726" width="0" layer="19" extent="1-1"/>
<wire x1="44.323" y1="80.4418" x2="49.9872" y2="86.5124" width="0" layer="19" extent="1-1"/>
<wire x1="36.4236" y1="75.272" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
<wire x1="33.133" y1="86.7664" x2="34.7256" y2="81.8172" width="0" layer="19" extent="1-1"/>
<wire x1="41.9862" y1="60.5028" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
<wire x1="44.0436" y1="55.3352" x2="42.5082" y2="56.8706" width="0" layer="19" extent="1-1"/>
<wire x1="47.5742" y1="53.3794" x2="44.0436" y2="54.19" width="0" layer="19" extent="1-1"/>
<wire x1="36.9062" y1="57.9628" x2="41.9862" y2="57.3926" width="0" layer="19" extent="1-1"/>
<wire x1="46.0248" y1="48.1584" x2="47.5742" y2="53.3794" width="0" layer="19" extent="1-1"/>
<wire x1="52.1462" y1="57.9628" x2="48.7172" y2="53.3908" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="52.8828" x2="52.1462" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="47.8028" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="53.34" y1="45.1104" x2="54.6862" y2="47.8028" width="0" layer="19" extent="1-1"/>
<wire x1="52.1462" y1="42.7228" x2="52.1716" y2="44.7942" width="0" layer="19" extent="1-1"/>
<wire x1="41.9862" y1="40.1828" x2="46.0378" y2="44.9772" width="0" layer="19" extent="1-1"/>
<wire x1="37.1348" y1="42.9514" x2="41.9862" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="47.8028" x2="37.3012" y2="45.2882" width="0" layer="19" extent="1-1"/>
<wire x1="31.8262" y1="52.8828" x2="34.3662" y2="47.8028" width="0" layer="19" extent="1-1"/>
<wire x1="64.4652" y1="55.0164" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="71.9836" y1="54.4204" x2="65.0104" y2="54.4712" width="0" layer="19" extent="1-1"/>
<wire x1="75.424" y1="46.6344" x2="73.3688" y2="52.578" width="0" layer="19" extent="1-1"/>
<wire x1="80.01" y1="36.7928" x2="75.4126" y2="44.6278" width="0" layer="19" extent="1-1"/>
<wire x1="75.3872" y1="31.4084" x2="79.0956" y2="33.9208" width="0" layer="19" extent="1-1"/>
<wire x1="77.8764" y1="24.9056" x2="75.3872" y2="29.6164" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="23.5712" x2="77.8764" y2="23.4696" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="21.0312" x2="87.63" y2="23.5712" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="18.4912" x2="87.63" y2="21.0312" width="0" layer="19" extent="1-1"/>
<wire x1="31.5976" y1="33.5788" x2="36.9062" y2="42.7228" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="35.2806" x2="31.5836" y2="33.5648" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="19.2786" x2="33.3134" y2="26.8986" width="0" layer="19" extent="1-1"/>
<wire x1="15.3924" y1="34.3544" x2="24.7904" y2="35.2806" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="37.214" x2="15.3924" y2="34.3544" width="0" layer="19" extent="1-1"/>
<wire x1="5.221" y1="38.862" x2="10.9728" y2="38.862" width="0" layer="19" extent="1-1"/>
<wire x1="1.9304" y1="40.3606" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="6.5786" x2="33.3134" y2="16.7386" width="0" layer="19" extent="1-1"/>
<wire x1="55.513" y1="32.4612" x2="52.1462" y2="42.7228" width="0" layer="19" extent="1-1"/>
<wire x1="56.6534" y1="18.0086" x2="55.1434" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="65.5828" y1="12.5192" x2="61.4172" y2="17.4104" width="0" layer="19" extent="1-1"/>
<wire x1="60.932" y1="5.0686" x2="65.5828" y2="12.5192" width="0" layer="19" extent="1-1"/>
<wire x1="5.0686" y1="53.9496" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
<wire x1="5.221" y1="69.0372" x2="5.1816" y2="55.7022" width="0" layer="19" extent="1-1"/>
<wire x1="4.9428" y1="84.582" x2="5.1816" y2="70.9422" width="0" layer="19" extent="1-1"/>
<wire x1="5.334" y1="18.9738" x2="15.3924" y2="33.2232" width="0" layer="19" extent="1-1"/>
<wire x1="5.4864" y1="3.429" x2="5.334" y2="16.1544" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="GND">
<contactref element="IC2" pad="16"/>
@ -6648,8 +6581,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="65.4304" y="55.3212" extent="1-16" drill="0.3"/>
<via x="60.7568" y="86.5124" extent="1-16" drill="0.3"/>
<via x="46.5328" y="84.4804" extent="1-16" drill="0.3"/>
<via x="72.0852" y="84.328" extent="1-16" drill="0.3"/>
<via x="78.8416" y="57.0484" extent="1-16" drill="0.3"/>
<via x="72.6948" y="84.328" extent="1-16" drill="0.3"/>
<via x="77.6224" y="57.0484" extent="1-16" drill="0.3"/>
<via x="63.1952" y="17.1704" extent="1-16" drill="0.3"/>
<contactref element="C10" pad="2"/>
<contactref element="C11" pad="2"/>
@ -6741,7 +6674,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="42.4688" y="14.1224" extent="1-16" drill="0.3"/>
<via x="47.4472" y="57.9628" extent="1-16" drill="0.3"/>
<via x="48.3616" y="57.9628" extent="1-16" drill="0.3"/>
<via x="70.612" y="60.8076" extent="1-16" drill="0.3"/>
<via x="67.8688" y="60.8076" extent="1-16" drill="0.3"/>
<via x="77.1906" y="39.5224" extent="1-16" drill="0.3"/>
<wire x1="47.5742" y1="55.1294" x2="47.766" y2="55.3212" width="0.6096" layer="1"/>
<wire x1="47.766" y1="55.3212" x2="48.8696" y2="55.3212" width="0.6096" layer="1"/>
@ -6852,7 +6785,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="10.922" y="77.3684" extent="1-16" drill="0.3"/>
<via x="10.7696" y="68.6816" extent="1-16" drill="0.3"/>
<via x="10.16" y="41.2496" extent="1-16" drill="0.3"/>
<via x="10.3886" y="24.7142" extent="1-16" drill="0.3"/>
<via x="9.9314" y="24.7142" extent="1-16" drill="0.3"/>
<via x="10.3124" y="13.8176" extent="1-16" drill="0.3"/>
<via x="10.3124" y="16.8656" extent="1-16" drill="0.3"/>
<via x="10.3124" y="12.1412" extent="1-16" drill="0.3"/>
@ -6967,206 +6900,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="20.9804" y="42.0116" extent="1-16" drill="0.3"/>
<via x="36.9824" y="55.4228" extent="1-16" drill="0.3"/>
<via x="36.9824" y="50.2412" extent="1-16" drill="0.3"/>
<via x="72.5932" y="60.8076" extent="1-16" drill="0.3"/>
<via x="73.66" y="61.8744" extent="1-16" drill="0.3"/>
<via x="73.2028" y="61.4172" extent="1-16" drill="0.3"/>
<via x="76.5556" y="56.9976" extent="1-16" drill="0.3"/>
<via x="48.8188" y="47.3964" extent="1-16" drill="0.3"/>
<via x="78.867" y="46.6344" extent="1-16" drill="0.3"/>
<via x="16.7894" y="42.0624" extent="1-16" drill="0.3"/>
<wire x1="85.7504" y1="96.1644" x2="86.5124" y2="98.7552" width="0" layer="19" extent="1-1"/>
<wire x1="87.7316" y1="93.8784" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
<wire x1="91.9988" y1="93.5736" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
<wire x1="78.473" y1="90.354" x2="81.5532" y2="95.7202" width="0" layer="19" extent="1-1"/>
<wire x1="75.7428" y1="88.4428" x2="75.7428" y2="89.9668" width="0" layer="19" extent="1-1"/>
<wire x1="75.8952" y1="68.707" x2="78.473" y2="68.5228" width="0" layer="19" extent="1-1"/>
<wire x1="75.5904" y1="67.2846" x2="75.8952" y2="68.707" width="0" layer="19" extent="1-1"/>
<wire x1="74.3204" y1="94.3102" x2="76.13" y2="90.354" width="0" layer="19" extent="1-1"/>
<wire x1="72.0852" y1="84.328" x2="75.7428" y2="88.4428" width="0" layer="19" extent="1-1"/>
<wire x1="73.66" y1="61.8744" x2="75.5904" y2="67.2846" width="0" layer="19" extent="1-1"/>
<wire x1="72.5932" y1="60.8076" x2="73.66" y2="61.8744" width="0" layer="19" extent="1-1"/>
<wire x1="70.612" y1="60.8076" x2="72.5932" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="69.7484" y1="58.42" x2="70.612" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="65.4304" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="62.8396" y1="55.372" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="76.5556" y1="56.9976" x2="72.5932" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="78.8416" y1="57.0484" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="67.4116" y1="90.5256" x2="72.0852" y2="84.328" width="0" layer="19" extent="1-1"/>
<wire x1="64.1604" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
<wire x1="61.6712" y1="97.3836" x2="64.1604" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="59.2836" y1="95.6564" x2="61.6712" y2="97.3836" width="0" layer="19" extent="1-1"/>
<wire x1="51.7896" y1="92.8172" x2="57.1754" y2="95.6788" width="0" layer="19" extent="1-1"/>
<wire x1="49.7896" y1="94.8172" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="98.3488" x2="49.276" y2="96.2152" width="0" layer="19" extent="1-1"/>
<wire x1="45.212" y1="97.1296" x2="47.4472" y2="98.3488" width="0" layer="19" extent="1-1"/>
<wire x1="38.2896" y1="94.8172" x2="43.2896" y2="94.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.7632" y1="94.6912" x2="37.7896" y2="94.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.6108" y1="97.6884" x2="37.7896" y2="96.4636" width="0" layer="19" extent="1-1"/>
<wire x1="33.5788" y1="97.6884" x2="35.6108" y2="97.6884" width="0" layer="19" extent="1-1"/>
<wire x1="31.1912" y1="96.1484" x2="33.5788" y2="97.6884" width="0" layer="19" extent="1-1"/>
<wire x1="49.9872" y1="87.4776" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-16"/>
<wire x1="48.6156" y1="84.7344" x2="50.6476" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="49.2896" y1="78.8172" x2="49.3324" y2="80.8172" width="0" layer="19" extent="1-1"/>
<wire x1="46.5328" y1="84.4804" x2="48.6156" y2="84.7344" width="0" layer="19" extent="1-1"/>
<wire x1="45.5676" y1="86.9696" x2="46.5328" y2="84.4804" width="0" layer="19" extent="1-1"/>
<wire x1="42.7736" y1="86.3346" x2="45.5676" y2="86.9696" width="0" layer="19" extent="1-1"/>
<wire x1="42.9768" y1="84.9884" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
<wire x1="55.4342" y1="86.4108" x2="51.7896" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="60.7568" y1="86.5124" x2="56.4388" y2="86.4108" width="0" layer="19" extent="1-1"/>
<wire x1="44.8678" y1="76.835" x2="49.6824" y2="76.8096" width="0" layer="19" extent="1-1"/>
<wire x1="37.338" y1="86.8172" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
<wire x1="35.7896" y1="86.8172" x2="37.338" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="31.383" y1="86.7664" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-1"/>
<wire x1="38.2896" y1="78.8172" x2="43.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.8762" y1="79.0956" x2="37.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="58.4708" y1="48.8696" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="56.7944" y1="49.022" x2="58.4708" y2="48.8696" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="50.3428" x2="56.7944" y2="49.022" width="0" layer="19" extent="1-1"/>
<wire x1="52.1716" y1="47.6504" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="45.2628" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
<wire x1="48.8188" y1="47.3964" x2="52.1716" y2="47.6504" width="0" layer="19" extent="1-1"/>
<wire x1="49.8602" y1="42.4434" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="40.1828" x2="49.8602" y2="42.4434" width="0" layer="19" extent="1-1"/>
<wire x1="47.0662" y1="40.1828" x2="49.6062" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="40.1828" x2="47.0662" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="42.0116" y1="43.5356" x2="44.5262" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="39.0512" y1="45.2882" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
<wire x1="37.592" y1="47.7012" x2="39.0144" y2="46.5328" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="50.2412" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="50.3428" x2="36.9824" y2="50.2412" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="52.8828" x2="34.3662" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="55.4228" x2="34.3662" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="55.4228" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="30.5816" y1="54.2036" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="28.194" y1="57.2516" x2="30.5816" y2="54.2036" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="45.2628" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="40.1828" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="54.356" x2="28.194" y2="57.2516" width="0" layer="19" extent="1-1"/>
<wire x1="23.876" y1="51.7652" x2="24.7904" y2="54.356" width="0" layer="19" extent="1-1"/>
<wire x1="24.7396" y1="49.276" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="46.6852" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="44.9072" x2="24.7904" y2="46.6852" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="42.0116" x2="20.9804" y2="44.9072" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="40.3606" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="16.7894" y1="42.0624" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="14.2748" y1="37.8968" x2="15.24" y2="42.037" width="0" layer="19" extent="1-1"/>
<wire x1="29.21" y1="49.022" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="34.414" x2="14.2748" y2="37.8968" width="0" layer="19" extent="1-1"/>
<wire x1="15.3416" y1="32.1056" x2="10.9728" y2="32.766" width="0" layer="19" extent="1-1"/>
<wire x1="15.3924" y1="30.4038" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="32.1056" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="19.7612" y1="34.2392" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="44.1452" y1="48.768" x2="48.8188" y2="47.3964" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="31.496" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="28.9052" x2="24.7904" y2="31.496" width="0" layer="19" extent="1-1"/>
<wire x1="25.7302" y1="28.829" x2="24.7904" y2="28.9052" width="0" layer="19" extent="1-1"/>
<wire x1="29.718" y1="31.3944" x2="25.7302" y2="28.829" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="55.4228" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="37.6428" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
<wire x1="10.16" y1="41.2496" x2="6.971" y2="38.862" width="0" layer="19" extent="1-1"/>
<wire x1="34.798" y1="30.1244" x2="29.8336" y2="31.51" width="0" layer="19" extent="1-1"/>
<wire x1="36.0934" y1="30.1244" x2="34.8234" y2="30.099" width="0" layer="19" extent="1-1"/>
<wire x1="37.3634" y1="28.4086" x2="36.0934" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="38.6334" y1="30.099" x2="37.3634" y2="30.099" width="0" layer="19" extent="1-1"/>
<wire x1="39.9034" y1="28.4086" x2="38.6334" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="24.3586" x2="34.8234" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="31.1912" y1="22.2504" x2="31.9278" y2="24.3586" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="60.5028" x2="36.9824" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="60.5028" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="44.2976" y1="57.9628" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="57.9628" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="47.766" y1="55.3212" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="28.956" y1="16.3462" x2="31.623" y2="21.8186" width="0" layer="19" extent="1-1"/>
<wire x1="38.608" y1="11.9888" x2="33.3134" y2="15.4686" width="0" layer="19" extent="1-1"/>
<wire x1="42.4688" y1="14.1224" x2="38.6334" y2="11.9634" width="0" layer="19" extent="1-1"/>
<wire x1="43.688" y1="14.1224" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="44.958" y1="14.1224" x2="43.688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="46.228" y1="14.1224" x2="44.958" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="48.1076" y1="14.1224" x2="46.228" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="42.418" y1="19.8628" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="43.688" y1="19.9136" x2="42.418" y2="19.8628" width="0" layer="19" extent="1-1"/>
<wire x1="44.958" y1="19.9136" x2="43.688" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="46.2788" y1="19.9136" x2="44.958" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="54.102" y1="12.6492" x2="48.1076" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="56.6534" y1="15.4686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
<wire x1="63.1952" y1="17.1704" x2="61.214" y2="15.4572" width="0" layer="19" extent="1-1"/>
<wire x1="63.8048" y1="18.1864" x2="63.1952" y2="17.1704" width="0" layer="19" extent="1-1"/>
<wire x1="65.5828" y1="16.7132" x2="65.3288" y2="18.1864" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="19.9136" x2="63.8048" y2="18.1864" width="0" layer="19" extent="1-1"/>
<wire x1="67.7672" y1="15.2908" x2="65.5828" y2="15.3192" width="0" layer="19" extent="1-1"/>
<wire x1="58.5216" y1="19.9644" x2="62.992" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="52.2732" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="53.594" x2="15.24" y2="52.197" width="0" layer="19" extent="1-1"/>
<wire x1="36.8808" y1="67.5132" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="10.3886" y1="24.7142" x2="15.3924" y2="30.4038" width="0" layer="19" extent="1-1"/>
<wire x1="52.6034" y1="5.0686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
<wire x1="56.2356" y1="3.7592" x2="52.6034" y2="3.683" width="0" layer="19" extent="1-1"/>
<wire x1="59.2836" y1="3.7592" x2="56.2356" y2="3.7592" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="16.8656" x2="10.3886" y2="24.7142" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="13.8176" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="12.1412" x2="10.3124" y2="13.8176" width="0" layer="19" extent="1-1"/>
<wire x1="7.1234" y1="17.2212" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="10.7696" y1="8.0264" x2="10.3124" y2="12.1412" width="0" layer="19" extent="1-1"/>
<wire x1="13.6652" y1="8.0264" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="9.7028" y1="4.826" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="8.2296" y1="1.6764" x2="9.7028" y2="4.826" width="0" layer="19" extent="1-1"/>
<wire x1="2.7432" y1="0.9144" x2="7.1234" y2="1.6764" width="0" layer="19" extent="1-1"/>
<wire x1="1.778" y1="0.9144" x2="2.7432" y2="0.9144" width="0" layer="19" extent="1-1"/>
<wire x1="0.8636" y1="0.9144" x2="1.778" y2="0.9144" width="0" layer="19" extent="1-1"/>
<wire x1="15.494" y1="16.5608" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="15.5448" y1="14.859" x2="15.494" y2="16.5608" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="3.1496" x2="13.6652" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="21.2852" y1="2.3876" x2="19.9136" y2="3.1496" width="0" layer="19" extent="1-1"/>
<wire x1="26.4668" y1="5.9944" x2="21.2852" y2="2.3876" width="0" layer="19" extent="1-1"/>
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
<wire x1="72.0344" y1="49.6824" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="72.5424" y1="46.6344" x2="72.0344" y2="49.6824" width="0" layer="19" extent="1-1"/>
<wire x1="78.867" y1="46.6344" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
<wire x1="77.1906" y1="39.5224" x2="78.867" y2="46.6344" width="0" layer="19" extent="1-1"/>
<wire x1="77.1144" y1="34.5948" x2="77.1906" y2="39.5224" width="0" layer="19" extent="1-1"/>
<wire x1="76.7956" y1="31.75" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="80.1624" y1="34.5948" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="32.4612" x2="80.1624" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="91.5416" y1="32.3088" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="10.7696" y1="68.6816" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="68.9978" x2="10.7696" y2="68.6816" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="68.9864" x2="10.7696" y2="68.6816" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="67.1322" x2="15.1892" y2="68.9864" width="0" layer="19" extent="1-1"/>
<wire x1="1.9304" y1="30.2006" x2="7.0104" y2="37.6428" width="0" layer="19" extent="1-1"/>
<wire x1="88.3412" y1="83.2104" x2="78.473" y2="83.3436" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="72.9996" x2="78.473" y2="72.828" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
<wire x1="91.5416" y1="62.7888" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="42.672" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="22.2504" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="15.9512" x2="88.4936" y2="22.2504" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="13.4112" x2="87.63" y2="15.9512" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="12.192" x2="87.63" y2="13.4112" width="0" layer="19" extent="1-1"/>
<wire x1="91.694" y1="12.3444" x2="88.4936" y2="12.192" width="0" layer="19" extent="1-1"/>
<wire x1="91.6432" y1="2.3368" x2="91.694" y2="12.3444" width="0" layer="19" extent="1-1"/>
<wire x1="91.6432" y1="1.2192" x2="91.6432" y2="2.3368" width="0" layer="19" extent="1-1"/>
<wire x1="90.2716" y1="1.2192" x2="91.6432" y2="1.2192" width="0" layer="19" extent="1-1"/>
<wire x1="57.2516" y1="30.1752" x2="58.5216" y2="19.9644" width="0" layer="19" extent="1-1"/>
<wire x1="52.6034" y1="29.8704" x2="57.2516" y2="30.1752" width="0" layer="19" extent="1-1"/>
<wire x1="62.4332" y1="35.1536" x2="57.263" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="63.3476" y1="33.4772" x2="62.4332" y2="35.1536" width="0" layer="19" extent="1-1"/>
<wire x1="63.8048" y1="31.1912" x2="63.6016" y2="33.2232" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="97.9932" x2="1.8288" y2="83.3628" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="98.9076" x2="1.016" y2="97.9932" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="42.037" x2="16.764" y2="42.037" width="0.1524" layer="1"/>
<wire x1="16.764" y1="42.037" x2="16.7894" y2="42.0624" width="0.1524" layer="1"/>
</signal>
@ -7184,14 +6922,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="68.1736" y="66.4464" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="16"/>
<wire x1="64.6416" y1="88.3172" x2="51.7896" y2="88.3172" width="0.1524" layer="1"/>
<wire x1="64.6416" y1="88.3172" x2="67.9196" y2="85.0392" width="0.1524" layer="1"/>
<wire x1="67.9196" y1="85.0392" x2="67.9196" y2="66.7004" width="0.1524" layer="1"/>
<wire x1="67.9196" y1="66.7004" x2="68.1736" y2="66.4464" width="0.1524" layer="1"/>
<contactref element="X1" pad="C23"/>
<contactref element="RN2" pad="3"/>
<wire x1="80.023" y1="68.5228" x2="80.4608" y2="68.5228" width="0.1524" layer="1"/>
<wire x1="80.4608" y1="68.5228" x2="81.2292" y2="69.2912" width="0.1524" layer="1"/>
<wire x1="81.2292" y1="69.2912" x2="82.55" y2="69.2912" width="0.1524" layer="1"/>
<wire x1="64.6416" y1="88.3172" x2="68.1736" y2="84.7852" width="0.1524" layer="1"/>
<wire x1="68.1736" y1="84.7852" x2="68.1736" y2="66.4464" width="0.1524" layer="1"/>
</signal>
<signal name="OSZI_OUT">
<contactref element="QG1" pad="8"/>
@ -7206,13 +6943,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="76.8096" y1="28.3464" x2="78.0288" y2="29.5656" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="29.5656" x2="78.0288" y2="43.1292" width="0.1524" layer="1"/>
<contactref element="IC7" pad="20"/>
<wire x1="78.0288" y1="61.8744" x2="69.7484" y2="70.1548" width="0.1524" layer="1"/>
<wire x1="69.7484" y1="70.1548" x2="69.7484" y2="85.8012" width="0.1524" layer="1"/>
<wire x1="69.7484" y1="85.8012" x2="65.2324" y2="90.3172" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="54.5592" x2="70.8152" y2="61.7728" width="0.1524" layer="1"/>
<wire x1="70.8152" y1="61.7728" x2="70.8152" y2="84.7344" width="0.1524" layer="1"/>
<wire x1="70.8152" y1="84.7344" x2="65.2324" y2="90.3172" width="0.1524" layer="1"/>
<wire x1="65.2324" y1="90.3172" x2="51.7896" y2="90.3172" width="0.1524" layer="1"/>
<wire x1="75.3872" y1="43.3832" x2="77.7748" y2="43.3832" width="0.1524" layer="1"/>
<wire x1="77.7748" y1="43.3832" x2="78.0288" y2="43.1292" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="61.8744" x2="78.0288" y2="43.6372" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="54.5592" x2="78.0288" y2="43.6372" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="43.6372" x2="77.7748" y2="43.3832" width="0.1524" layer="1"/>
</signal>
<signal name="TCK">
@ -7458,18 +7195,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="63.0936" y1="44.196" x2="60.8076" y2="44.196" width="0.1524" layer="16"/>
<wire x1="60.8076" y1="44.196" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="51.9684" y1="43.8912" x2="51.2064" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="51.2064" y1="43.1292" x2="43.7642" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="43.7642" y1="43.1292" x2="41.6306" y2="40.9956" width="0.1524" layer="16"/>
<wire x1="51.2064" y1="43.1292" x2="43.6118" y2="43.1292" width="0.1524" layer="16"/>
<via x="12.8016" y="38.7096" extent="1-16" drill="0.3"/>
<wire x1="41.6306" y1="40.9956" x2="40.9956" y2="40.9956" width="0.1524" layer="16"/>
<wire x1="40.9956" y1="40.9956" x2="40.6908" y2="40.6908" width="0.1524" layer="16"/>
<wire x1="40.6908" y1="40.6908" x2="40.6908" y2="36.7284" width="0.1524" layer="16"/>
<wire x1="40.6908" y1="36.7284" x2="40.386" y2="36.4236" width="0.1524" layer="16"/>
<wire x1="40.386" y1="36.4236" x2="36.576" y2="36.4236" width="0.1524" layer="16"/>
<wire x1="36.576" y1="36.4236" x2="35.6616" y2="37.338" width="0.1524" layer="16"/>
<wire x1="35.6616" y1="37.338" x2="35.6616" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="35.6616" y1="37.6428" x2="34.7472" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="34.7472" y1="38.5572" x2="28.6512" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="39.0398" y1="38.5572" x2="28.6512" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="38.5572" x2="28.4988" y2="38.7096" width="0.1524" layer="16"/>
<wire x1="28.4988" y1="38.7096" x2="12.8016" y2="38.7096" width="0.1524" layer="16"/>
<wire x1="65.3288" y1="29.6164" x2="65.4304" y2="29.718" width="0.1524" layer="1"/>
@ -7482,6 +7210,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="69.9516" y1="46.482" x2="65.6844" y2="46.482" width="0.1524" layer="16"/>
<wire x1="65.6844" y1="46.482" x2="65.2272" y2="46.0248" width="0.1524" layer="16"/>
<wire x1="51.9684" y1="43.8912" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="43.6118" y1="43.1292" x2="39.0398" y2="38.5572" width="0.1524" layer="16"/>
</signal>
<signal name="R/W_00">
<contactref element="IC2" pad="9"/>
@ -7500,11 +7229,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="22.5552" y1="43.5864" x2="23.1648" y2="44.196" width="0.1524" layer="16"/>
<wire x1="23.1648" y1="44.196" x2="25.908" y2="44.196" width="0.1524" layer="16"/>
<wire x1="25.908" y1="44.196" x2="28.6512" y2="46.9392" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="46.9392" x2="30.3276" y2="46.9392" width="0.1524" layer="16"/>
<wire x1="30.3276" y1="46.9392" x2="30.6324" y2="47.244" width="0.1524" layer="16"/>
<wire x1="30.6324" y1="47.244" x2="30.6324" y2="48.006" width="0.1524" layer="16"/>
<wire x1="30.6324" y1="48.006" x2="31.3944" y2="48.768" width="0.1524" layer="16"/>
<wire x1="31.3944" y1="48.768" x2="37.4904" y2="48.768" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="46.9392" x2="29.718" y2="46.9392" width="0.1524" layer="16"/>
<wire x1="29.718" y1="46.9392" x2="31.5468" y2="48.768" width="0.1524" layer="16"/>
<wire x1="31.5468" y1="48.768" x2="37.4904" y2="48.768" width="0.1524" layer="16"/>
<wire x1="37.4904" y1="48.768" x2="37.7952" y2="48.4632" width="0.1524" layer="16"/>
<wire x1="37.7952" y1="48.4632" x2="38.862" y2="48.4632" width="0.1524" layer="16"/>
<via x="38.862" y="48.4632" extent="1-16" drill="0.3"/>
@ -7934,7 +7661,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="6.858" y="21.4884" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="21.4884" x2="4.8768" y2="21.4884" width="0.1524" layer="16"/>
<wire x1="4.8768" y1="21.4884" x2="4.4196" y2="21.0312" width="0.1524" layer="16"/>
<wire x1="4.4196" y1="21.0312" x2="4.4196" y2="14.3256" width="0.1524" layer="16"/>
<wire x1="4.4196" y1="21.0312" x2="4.4196" y2="12.3698" width="0.1524" layer="16"/>
<contactref element="IC6" pad="18"/>
<wire x1="75.3872" y1="27.0764" x2="72.7964" y2="27.0764" width="0.1524" layer="1"/>
<wire x1="72.7964" y1="27.0764" x2="71.4756" y2="25.7556" width="0.1524" layer="1"/>
@ -7947,9 +7674,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="26.0604" y1="16.002" x2="17.8308" y2="16.002" width="0.1524" layer="16"/>
<wire x1="17.8308" y1="16.002" x2="12.3444" y2="21.4884" width="0.1524" layer="16"/>
<wire x1="12.3444" y1="21.4884" x2="6.858" y2="21.4884" width="0.1524" layer="16"/>
<wire x1="4.4196" y1="14.3256" x2="3.5052" y2="13.4112" width="0.1524" layer="16"/>
<wire x1="3.5052" y1="13.4112" x2="3.5052" y2="11.4554" width="0.1524" layer="16"/>
<wire x1="3.5052" y1="11.4554" x2="1.9304" y2="9.8806" width="0.1524" layer="16"/>
<wire x1="4.4196" y1="12.3698" x2="1.9304" y2="9.8806" width="0.1524" layer="16"/>
</signal>
<signal name="AMIGA_D9">
<contactref element="IC2" pad="60"/>
@ -7972,10 +7697,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="38.7096" y1="17.526" x2="27.1272" y2="17.526" width="0.1524" layer="16"/>
<wire x1="27.1272" y1="17.526" x2="25.908" y2="16.3068" width="0.1524" layer="16"/>
<wire x1="25.908" y1="16.3068" x2="18.288" y2="16.3068" width="0.1524" layer="16"/>
<wire x1="18.288" y1="16.3068" x2="12.8016" y2="21.7932" width="0.1524" layer="16"/>
<wire x1="12.8016" y1="21.7932" x2="12.8016" y2="22.4028" width="0.1524" layer="16"/>
<wire x1="12.8016" y1="22.4028" x2="12.4968" y2="22.7076" width="0.1524" layer="16"/>
<wire x1="12.4968" y1="22.7076" x2="6.858" y2="22.7076" width="0.1524" layer="16"/>
<wire x1="18.288" y1="16.3068" x2="11.8872" y2="22.7076" width="0.1524" layer="16"/>
<wire x1="11.8872" y1="22.7076" x2="6.858" y2="22.7076" width="0.1524" layer="16"/>
</signal>
<signal name="AMIGA_D10">
<contactref element="IC2" pad="59"/>
@ -7998,10 +7721,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="38.7096" y1="17.9832" x2="27.1272" y2="17.9832" width="0.1524" layer="16"/>
<wire x1="27.1272" y1="17.9832" x2="25.7556" y2="16.6116" width="0.1524" layer="16"/>
<wire x1="25.7556" y1="16.6116" x2="18.5928" y2="16.6116" width="0.1524" layer="16"/>
<wire x1="18.5928" y1="16.6116" x2="13.4112" y2="21.7932" width="0.1524" layer="16"/>
<wire x1="13.4112" y1="21.7932" x2="13.4112" y2="23.7744" width="0.1524" layer="16"/>
<wire x1="13.4112" y1="23.7744" x2="13.1064" y2="24.0792" width="0.1524" layer="16"/>
<wire x1="13.1064" y1="24.0792" x2="6.858" y2="24.0792" width="0.1524" layer="16"/>
<wire x1="18.5928" y1="16.6116" x2="11.1252" y2="24.0792" width="0.1524" layer="16"/>
<wire x1="11.1252" y1="24.0792" x2="6.858" y2="24.0792" width="0.1524" layer="16"/>
</signal>
<signal name="AMIGA_D11">
<contactref element="IC2" pad="58"/>
@ -8023,10 +7744,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="38.4048" y1="18.288" x2="26.3652" y2="18.288" width="0.1524" layer="16"/>
<wire x1="26.3652" y1="18.288" x2="26.2128" y2="18.4404" width="0.1524" layer="16"/>
<wire x1="26.2128" y1="18.4404" x2="17.3736" y2="18.4404" width="0.1524" layer="16"/>
<wire x1="17.3736" y1="18.4404" x2="13.8684" y2="21.9456" width="0.1524" layer="16"/>
<wire x1="13.8684" y1="21.9456" x2="13.8684" y2="24.8412" width="0.1524" layer="16"/>
<wire x1="13.8684" y1="24.8412" x2="13.4112" y2="25.2984" width="0.1524" layer="16"/>
<wire x1="13.4112" y1="25.2984" x2="6.858" y2="25.2984" width="0.1524" layer="16"/>
<wire x1="17.3736" y1="18.4404" x2="10.5156" y2="25.2984" width="0.1524" layer="16"/>
<wire x1="10.5156" y1="25.2984" x2="6.858" y2="25.2984" width="0.1524" layer="16"/>
</signal>
<signal name="AMIGA_D12">
<contactref element="IC2" pad="57"/>
@ -8040,21 +7759,17 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="IC6" pad="14"/>
<wire x1="70.7136" y1="21.4884" x2="70.7136" y2="24.2316" width="0.1524" layer="1"/>
<via x="70.7136" y="24.2316" extent="1-16" drill="0.3"/>
<wire x1="70.7136" y1="24.2316" x2="41.91" y2="24.2316" width="0.1524" layer="16"/>
<wire x1="41.91" y1="24.2316" x2="37.0332" y2="19.3548" width="0.1524" layer="16"/>
<wire x1="37.0332" y1="19.3548" x2="36.4236" y2="19.3548" width="0.1524" layer="16"/>
<wire x1="36.4236" y1="19.3548" x2="36.1188" y2="19.6596" width="0.1524" layer="16"/>
<wire x1="36.1188" y1="19.6596" x2="30.7848" y2="19.6596" width="0.1524" layer="16"/>
<wire x1="30.7848" y1="19.6596" x2="30.3276" y2="20.1168" width="0.1524" layer="16"/>
<wire x1="30.3276" y1="20.1168" x2="26.8224" y2="20.1168" width="0.1524" layer="16"/>
<wire x1="26.8224" y1="20.1168" x2="25.908" y2="19.2024" width="0.1524" layer="16"/>
<wire x1="25.908" y1="19.2024" x2="19.05" y2="19.2024" width="0.1524" layer="16"/>
<wire x1="70.7136" y1="24.2316" x2="42.0624" y2="24.2316" width="0.1524" layer="16"/>
<wire x1="42.0624" y1="24.2316" x2="37.1856" y2="19.3548" width="0.1524" layer="16"/>
<wire x1="37.1856" y1="19.3548" x2="29.8704" y2="19.3548" width="0.1524" layer="16"/>
<wire x1="29.8704" y1="19.3548" x2="29.1084" y2="20.1168" width="0.1524" layer="16"/>
<wire x1="29.1084" y1="20.1168" x2="28.4988" y2="20.1168" width="0.1524" layer="16"/>
<wire x1="28.4988" y1="20.1168" x2="27.5844" y2="19.2024" width="0.1524" layer="16"/>
<wire x1="27.5844" y1="19.2024" x2="19.05" y2="19.2024" width="0.1524" layer="16"/>
<wire x1="19.05" y1="19.2024" x2="17.3736" y2="20.8788" width="0.1524" layer="16"/>
<wire x1="17.3736" y1="20.8788" x2="16.6116" y2="20.8788" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="20.8788" x2="14.3256" y2="23.1648" width="0.1524" layer="16"/>
<wire x1="14.3256" y1="23.1648" x2="14.3256" y2="25.908" width="0.1524" layer="16"/>
<wire x1="14.3256" y1="25.908" x2="13.5636" y2="26.67" width="0.1524" layer="16"/>
<wire x1="13.5636" y1="26.67" x2="6.858" y2="26.67" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="20.8788" x2="10.8204" y2="26.67" width="0.1524" layer="16"/>
<wire x1="10.8204" y1="26.67" x2="6.858" y2="26.67" width="0.1524" layer="16"/>
<via x="6.858" y="26.67" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="26.67" x2="5.4102" y2="26.67" width="0.1524" layer="1"/>
<wire x1="5.4102" y1="26.67" x2="5.334" y2="26.5938" width="0.1524" layer="1"/>
@ -8086,10 +7801,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="18.8976" y1="22.86" x2="17.9832" y2="22.86" width="0.1524" layer="16"/>
<wire x1="17.9832" y1="22.86" x2="17.526" y2="23.3172" width="0.1524" layer="16"/>
<wire x1="17.526" y1="23.3172" x2="16.764" y2="23.3172" width="0.1524" layer="16"/>
<wire x1="16.764" y1="23.3172" x2="14.7828" y2="25.2984" width="0.1524" layer="16"/>
<wire x1="14.7828" y1="25.2984" x2="14.7828" y2="27.432" width="0.1524" layer="16"/>
<wire x1="14.7828" y1="27.432" x2="14.3256" y2="27.8892" width="0.1524" layer="16"/>
<wire x1="14.3256" y1="27.8892" x2="6.858" y2="27.8892" width="0.1524" layer="16"/>
<wire x1="16.764" y1="23.3172" x2="12.192" y2="27.8892" width="0.1524" layer="16"/>
<wire x1="12.192" y1="27.8892" x2="6.858" y2="27.8892" width="0.1524" layer="16"/>
<via x="6.858" y="27.8892" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="27.8892" x2="5.3594" y2="27.8892" width="0.1524" layer="1"/>
<wire x1="5.3594" y1="27.8892" x2="5.334" y2="27.8638" width="0.1524" layer="1"/>
@ -8113,10 +7826,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="23.1648" y1="23.4696" x2="18.7452" y2="23.4696" width="0.1524" layer="16"/>
<wire x1="18.7452" y1="23.4696" x2="17.526" y2="24.6888" width="0.1524" layer="16"/>
<wire x1="17.526" y1="24.6888" x2="16.6116" y2="24.6888" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="24.6888" x2="15.24" y2="26.0604" width="0.1524" layer="16"/>
<wire x1="15.24" y1="26.0604" x2="15.24" y2="28.6512" width="0.1524" layer="16"/>
<wire x1="15.24" y1="28.6512" x2="14.7828" y2="29.1084" width="0.1524" layer="16"/>
<wire x1="14.7828" y1="29.1084" x2="6.858" y2="29.1084" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="24.6888" x2="12.192" y2="29.1084" width="0.1524" layer="16"/>
<wire x1="12.192" y1="29.1084" x2="6.858" y2="29.1084" width="0.1524" layer="16"/>
<via x="6.858" y="29.1084" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="29.1084" x2="5.3594" y2="29.1084" width="0.1524" layer="1"/>
<wire x1="5.3594" y1="29.1084" x2="5.334" y2="29.1338" width="0.1524" layer="1"/>
@ -8140,10 +7851,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="22.5552" y1="25.4508" x2="21.1836" y2="25.4508" width="0.1524" layer="16"/>
<wire x1="21.1836" y1="25.4508" x2="20.7264" y2="25.908" width="0.1524" layer="16"/>
<wire x1="20.7264" y1="25.908" x2="16.6116" y2="25.908" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="25.908" x2="15.8496" y2="26.67" width="0.1524" layer="16"/>
<wire x1="15.8496" y1="26.67" x2="15.8496" y2="29.8704" width="0.1524" layer="16"/>
<wire x1="15.8496" y1="29.8704" x2="15.3924" y2="30.3276" width="0.1524" layer="16"/>
<wire x1="15.3924" y1="30.3276" x2="6.858" y2="30.3276" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="25.908" x2="12.192" y2="30.3276" width="0.1524" layer="16"/>
<wire x1="12.192" y1="30.3276" x2="6.858" y2="30.3276" width="0.1524" layer="16"/>
<via x="6.858" y="30.3276" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="30.3276" x2="5.4102" y2="30.3276" width="0.1524" layer="1"/>
<wire x1="5.4102" y1="30.3276" x2="5.334" y2="30.4038" width="0.1524" layer="1"/>
@ -8273,13 +7982,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
</signal>
</signals>
<errors>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,4daddc4e8f67e619"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,3849587e8adf1064"/>
<approved hash="18,30,933d5dc68ee6b8e9"/>
<approved hash="18,30,4daddc4e8f67e619"/>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,933d5dc68ee6b8e9"/>
<approved hash="18,30,93e4923c922593fd"/>
<approved hash="18,30,a0a8a9f4a989a0d5"/>
</errors>

View File

@ -2974,7 +2974,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="44.9834" y1="34.163" x2="45.4152" y2="34.5948" width="0.1524" layer="1"/>
<wire x1="45.4152" y1="34.5948" x2="45.4152" y2="36.576" width="0.1524" layer="1"/>
<wire x1="45.4152" y1="36.576" x2="46.1772" y2="37.338" width="0.1524" layer="1"/>
<wire x1="46.1772" y1="37.338" x2="46.1772" y2="37.9476" width="0.1524" layer="1"/>
<wire x1="46.1772" y1="37.338" x2="46.1772" y2="38.1" width="0.1524" layer="1"/>
<contactref element="X1" pad="B15"/>
<wire x1="61.5696" y1="46.7868" x2="63.246" y2="46.7868" width="0.1524" layer="16"/>
<wire x1="63.246" y1="46.7868" x2="64.4398" y2="47.9806" width="0.1524" layer="16"/>
@ -2991,10 +2991,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="62.9412" y1="41.3004" x2="63.8556" y2="41.3004" width="0.1524" layer="1"/>
<wire x1="63.8556" y1="41.3004" x2="64.3128" y2="40.8432" width="0.1524" layer="1"/>
<wire x1="64.3128" y1="40.8432" x2="65.3288" y2="40.8432" width="0.1524" layer="1"/>
<wire x1="46.1772" y1="37.9476" x2="48.4632" y2="40.2336" width="0.1524" layer="1"/>
<wire x1="48.4632" y1="40.2336" x2="48.4632" y2="40.6908" width="0.1524" layer="1"/>
<wire x1="48.4632" y1="40.6908" x2="49.53" y2="41.7576" width="0.1524" layer="1"/>
<wire x1="49.53" y1="41.7576" x2="52.578" y2="41.7576" width="0.1524" layer="1"/>
<wire x1="46.1772" y1="38.1" x2="49.8348" y2="41.7576" width="0.1524" layer="1"/>
<wire x1="49.8348" y1="41.7576" x2="52.578" y2="41.7576" width="0.1524" layer="1"/>
<wire x1="52.578" y1="41.7576" x2="53.1876" y2="42.3672" width="0.1524" layer="1"/>
<wire x1="53.1876" y1="42.3672" x2="53.1876" y2="43.1292" width="0.1524" layer="1"/>
<wire x1="53.1876" y1="43.1292" x2="53.9496" y2="43.8912" width="0.1524" layer="1"/>
@ -4047,12 +4045,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="17.0688" y1="27.7368" x2="17.8308" y2="26.9748" width="0.1524" layer="16"/>
<wire x1="17.8308" y1="26.9748" x2="21.6408" y2="26.9748" width="0.1524" layer="16"/>
<via x="21.6408" y="26.9748" extent="1-16" drill="0.3"/>
<wire x1="36.9062" y1="39.2684" x2="36.9062" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="36.9062" y1="39.2684" x2="36.4998" y2="38.862" width="0.1524" layer="16"/>
<wire x1="36.4998" y1="38.862" x2="29.8704" y2="38.862" width="0.1524" layer="16"/>
<wire x1="29.8704" y1="38.862" x2="29.5656" y2="39.1668" width="0.1524" layer="16"/>
<wire x1="29.5656" y1="39.1668" x2="28.6512" y2="39.1668" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="39.1668" x2="28.3972" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="35.5854" y1="38.862" x2="36.9062" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="35.5854" y1="38.862" x2="28.956" y2="38.862" width="0.1524" layer="16"/>
<wire x1="28.956" y1="38.862" x2="28.3972" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="28.3972" y1="39.4208" x2="19.812" y2="39.4208" width="0.1524" layer="16"/>
<wire x1="19.812" y1="39.4208" x2="19.812" y2="36.4236" width="0.1524" layer="1"/>
<wire x1="19.812" y1="36.4236" x2="21.6408" y2="34.5948" width="0.1524" layer="1"/>
@ -4999,10 +4994,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<signal name="R/W">
<contactref element="IC1" pad="L03"/>
<contactref element="IC3" pad="28"/>
<wire x1="66.7004" y1="33.6296" x2="69.4944" y2="36.4236" width="0.1524" layer="1"/>
<wire x1="69.4944" y1="36.4236" x2="69.4944" y2="43.4848" width="0.1524" layer="1"/>
<wire x1="69.4944" y1="43.4848" x2="69.6468" y2="43.6372" width="0.1524" layer="1"/>
<wire x1="69.6468" y1="43.6372" x2="69.6468" y2="44.196" width="0.1524" layer="1"/>
<wire x1="66.7004" y1="33.6296" x2="69.6468" y2="36.576" width="0.1524" layer="1"/>
<wire x1="69.6468" y1="36.576" x2="69.6468" y2="44.196" width="0.1524" layer="1"/>
<wire x1="69.6468" y1="44.196" x2="69.1896" y2="44.6532" width="0.1524" layer="1"/>
<wire x1="69.1896" y1="44.6532" x2="69.1896" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="69.1896" y1="46.9392" x2="69.6468" y2="47.3964" width="0.1524" layer="1"/>
@ -5094,9 +5087,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="23.2918" y1="37.8206" x2="23.1648" y2="37.9476" width="0.4064" layer="1"/>
<wire x1="23.1648" y1="37.9476" x2="23.1648" y2="41.7576" width="0.4064" layer="1"/>
<via x="23.1648" y="41.7576" extent="1-16" drill="0.3"/>
<wire x1="23.1648" y1="41.7576" x2="41.7576" y2="41.7576" width="0.4064" layer="16"/>
<wire x1="41.7576" y1="41.7576" x2="43.7388" y2="43.7388" width="0.4064" layer="16"/>
<wire x1="43.7388" y1="43.7388" x2="50.7492" y2="43.7388" width="0.4064" layer="16"/>
<wire x1="23.1648" y1="41.7576" x2="41.4528" y2="41.7576" width="0.4064" layer="16"/>
<wire x1="41.4528" y1="41.7576" x2="43.434" y2="43.7388" width="0.4064" layer="16"/>
<wire x1="43.434" y1="43.7388" x2="50.7492" y2="43.7388" width="0.4064" layer="16"/>
<via x="50.7492" y="43.7388" extent="1-16" drill="0.3"/>
<wire x1="50.7492" y1="43.7388" x2="50.7492" y2="58.5216" width="0.4064" layer="1"/>
<via x="50.7492" y="58.5216" extent="1-16" drill="0.3"/>
@ -5423,11 +5416,10 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="79.756" y="39.624" extent="1-16" drill="0.3"/>
<wire x1="79.756" y1="39.624" x2="79.7052" y2="39.6748" width="0.1524" layer="1"/>
<wire x1="79.7052" y1="39.6748" x2="79.7052" y2="56.0832" width="0.1524" layer="1"/>
<wire x1="79.7052" y1="56.0832" x2="79.7052" y2="60.96" width="0.1524" layer="1"/>
<contactref element="IC7" pad="21"/>
<wire x1="79.7052" y1="60.96" x2="70.1548" y2="70.5104" width="0.1524" layer="1"/>
<wire x1="70.1548" y1="70.5104" x2="70.1548" y2="85.9536" width="0.1524" layer="1"/>
<wire x1="70.1548" y1="85.9536" x2="65.2912" y2="90.8172" width="0.1524" layer="1"/>
<wire x1="79.7052" y1="56.0832" x2="71.374" y2="64.4144" width="0.1524" layer="1"/>
<wire x1="71.374" y1="64.4144" x2="71.374" y2="84.7344" width="0.1524" layer="1"/>
<wire x1="71.374" y1="84.7344" x2="65.2912" y2="90.8172" width="0.1524" layer="1"/>
<wire x1="65.2912" y1="90.8172" x2="51.7896" y2="90.8172" width="0.1524" layer="1"/>
<contactref element="X1" pad="A12"/>
<contactref element="IC1" pad="B02"/>
@ -5555,9 +5547,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="30.3022" y1="39.1668" x2="29.2862" y2="40.1828" width="0.1524" layer="16"/>
<wire x1="43.8912" y1="87.3252" x2="44.958" y2="88.392" width="0.1524" layer="16"/>
<wire x1="44.958" y1="88.392" x2="68.58" y2="88.392" width="0.1524" layer="16"/>
<wire x1="70.5612" y1="86.4108" x2="70.5612" y2="70.866" width="0.1524" layer="1"/>
<wire x1="70.5612" y1="70.866" x2="80.9244" y2="60.5028" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="60.5028" x2="80.9244" y2="48.1584" width="0.1524" layer="1"/>
<wire x1="71.9328" y1="85.0392" x2="71.9328" y2="64.9224" width="0.1524" layer="1"/>
<wire x1="71.9328" y1="64.9224" x2="80.9244" y2="55.9308" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="55.9308" x2="80.9244" y2="48.1584" width="0.1524" layer="1"/>
<wire x1="80.9244" y1="48.1584" x2="80.772" y2="48.006" width="0.1524" layer="1"/>
<wire x1="80.772" y1="48.006" x2="80.772" y2="47.0916" width="0.1524" layer="1"/>
<wire x1="80.772" y1="47.0916" x2="80.9244" y2="46.9392" width="0.1524" layer="1"/>
@ -5589,7 +5581,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="36.8808" y1="73.6092" x2="36.4608" y2="73.6092" width="0.1524" layer="1"/>
<wire x1="36.4608" y1="73.6092" x2="36.4236" y2="73.572" width="0.1524" layer="1"/>
<via x="68.58" y="88.392" extent="1-16" drill="0.3"/>
<wire x1="68.58" y1="88.392" x2="70.5612" y2="86.4108" width="0.1524" layer="1"/>
<wire x1="68.58" y1="88.392" x2="71.9328" y2="85.0392" width="0.1524" layer="1"/>
</signal>
<signal name="CIOUT">
<contactref element="IC1" pad="C02"/>
@ -6011,14 +6003,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="70.2056" y="68.2752" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="19"/>
<wire x1="51.7896" y1="89.8172" x2="65.1228" y2="89.8172" width="0.1524" layer="1"/>
<wire x1="65.1228" y1="89.8172" x2="69.2912" y2="85.6488" width="0.1524" layer="1"/>
<wire x1="69.2912" y1="85.6488" x2="69.2912" y2="69.1896" width="0.1524" layer="1"/>
<wire x1="69.2912" y1="69.1896" x2="70.2056" y2="68.2752" width="0.1524" layer="1"/>
<wire x1="65.1228" y1="89.8172" x2="70.2056" y2="84.7344" width="0.1524" layer="1"/>
<contactref element="X1" pad="C24"/>
<contactref element="RN1" pad="2"/>
<wire x1="80.023" y1="71.228" x2="80.7784" y2="71.228" width="0.1524" layer="1"/>
<wire x1="80.7784" y1="71.228" x2="81.3816" y2="71.8312" width="0.1524" layer="1"/>
<wire x1="81.3816" y1="71.8312" x2="82.55" y2="71.8312" width="0.1524" layer="1"/>
<wire x1="70.2056" y1="68.2752" x2="70.2056" y2="84.7344" width="0.1524" layer="1"/>
</signal>
<signal name="A25">
<contactref element="IC1" pad="B06"/>
@ -6034,15 +6025,14 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="69.4944" y="67.6656" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="18"/>
<wire x1="65.0132" y1="89.3172" x2="51.7896" y2="89.3172" width="0.1524" layer="1"/>
<wire x1="65.0132" y1="89.3172" x2="68.7832" y2="85.5472" width="0.1524" layer="1"/>
<wire x1="68.7832" y1="85.5472" x2="68.7832" y2="68.3768" width="0.1524" layer="1"/>
<wire x1="68.7832" y1="68.3768" x2="69.4944" y2="67.6656" width="0.1524" layer="1"/>
<contactref element="X1" pad="B24"/>
<contactref element="RN1" pad="3"/>
<wire x1="80.023" y1="72.028" x2="80.7148" y2="72.028" width="0.1524" layer="1"/>
<wire x1="80.7148" y1="72.028" x2="81.534" y2="72.8472" width="0.1524" layer="1"/>
<wire x1="81.534" y1="72.8472" x2="84.074" y2="72.8472" width="0.1524" layer="1"/>
<wire x1="84.074" y1="72.8472" x2="85.09" y2="71.8312" width="0.1524" layer="1"/>
<wire x1="69.4944" y1="84.836" x2="69.4944" y2="67.6656" width="0.1524" layer="1"/>
<wire x1="69.4944" y1="84.836" x2="65.0132" y2="89.3172" width="0.1524" layer="1"/>
</signal>
<signal name="A26">
<contactref element="IC1" pad="A05"/>
@ -6054,9 +6044,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="68.834" y="67.056" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="17"/>
<wire x1="64.7512" y1="88.8172" x2="51.7896" y2="88.8172" width="0.1524" layer="1"/>
<wire x1="68.834" y1="67.056" x2="68.326" y2="67.564" width="0.1524" layer="1"/>
<wire x1="68.326" y1="67.564" x2="68.326" y2="85.2424" width="0.1524" layer="1"/>
<wire x1="68.326" y1="85.2424" x2="64.7512" y2="88.8172" width="0.1524" layer="1"/>
<wire x1="68.834" y1="84.7344" x2="64.7512" y2="88.8172" width="0.1524" layer="1"/>
<contactref element="X1" pad="A24"/>
<wire x1="41.148" y1="67.056" x2="40.6908" y2="67.5132" width="0.1524" layer="16"/>
<wire x1="40.6908" y1="67.5132" x2="39.7764" y2="67.5132" width="0.1524" layer="16"/>
@ -6067,6 +6055,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="80.7528" y1="72.828" x2="81.2292" y2="73.3044" width="0.1524" layer="1"/>
<wire x1="81.2292" y1="73.3044" x2="86.1568" y2="73.3044" width="0.1524" layer="1"/>
<wire x1="86.1568" y1="73.3044" x2="87.63" y2="71.8312" width="0.1524" layer="1"/>
<wire x1="68.834" y1="84.7344" x2="68.834" y2="67.056" width="0.1524" layer="1"/>
</signal>
<signal name="A28">
<contactref element="IC1" pad="A04"/>
@ -6080,16 +6069,15 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="67.564" y="65.7352" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="15"/>
<wire x1="51.7896" y1="87.8172" x2="64.532" y2="87.8172" width="0.1524" layer="1"/>
<wire x1="64.532" y1="87.8172" x2="67.31" y2="85.0392" width="0.1524" layer="1"/>
<wire x1="67.31" y1="85.0392" x2="67.31" y2="65.9892" width="0.1524" layer="1"/>
<contactref element="X1" pad="B23"/>
<wire x1="67.31" y1="65.9892" x2="67.564" y2="65.7352" width="0.1524" layer="1"/>
<contactref element="RN2" pad="4"/>
<wire x1="80.023" y1="69.3228" x2="80.448" y2="69.3228" width="0.1524" layer="1"/>
<wire x1="80.448" y1="69.3228" x2="81.534" y2="70.4088" width="0.1524" layer="1"/>
<wire x1="81.534" y1="70.4088" x2="83.9724" y2="70.4088" width="0.1524" layer="1"/>
<wire x1="83.9724" y1="70.4088" x2="85.09" y2="69.2912" width="0.1524" layer="1"/>
<wire x1="67.564" y1="65.7352" x2="72.4408" y2="65.7352" width="0.1524" layer="16"/>
<wire x1="64.532" y1="87.8172" x2="67.564" y2="84.7852" width="0.1524" layer="1"/>
<wire x1="67.564" y1="84.7852" x2="67.564" y2="65.7352" width="0.1524" layer="1"/>
</signal>
<signal name="A29">
<contactref element="IC1" pad="B04"/>
@ -6146,9 +6134,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="85.09" y1="66.7512" x2="83.8708" y2="65.532" width="0.1524" layer="16"/>
<wire x1="83.8708" y1="65.532" x2="74.0664" y2="65.532" width="0.1524" layer="16"/>
<wire x1="74.0664" y1="65.532" x2="71.4756" y2="62.9412" width="0.1524" layer="16"/>
<wire x1="71.4756" y1="62.9412" x2="63.3984" y2="62.9412" width="0.1524" layer="16"/>
<wire x1="63.3984" y1="62.9412" x2="62.992" y2="62.5348" width="0.1524" layer="16"/>
<wire x1="62.992" y1="62.5348" x2="61.976" y2="62.5348" width="0.1524" layer="16"/>
<wire x1="71.4756" y1="62.9412" x2="62.3824" y2="62.9412" width="0.1524" layer="16"/>
<wire x1="62.3824" y1="62.9412" x2="61.976" y2="62.5348" width="0.1524" layer="16"/>
<wire x1="61.976" y1="62.5348" x2="61.468" y2="62.0268" width="0.1524" layer="16"/>
<wire x1="61.468" y1="62.0268" x2="41.6052" y2="62.0268" width="0.1524" layer="16"/>
<wire x1="40.9956" y1="62.6364" x2="40.386" y2="62.6364" width="0.1524" layer="16"/>
@ -6180,8 +6167,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="IC7" pad="78"/>
<wire x1="38.7896" y1="78.8172" x2="38.7896" y2="69.1172" width="0.1524" layer="1"/>
<wire x1="38.7896" y1="69.1172" x2="38.2524" y2="68.58" width="0.1524" layer="1"/>
<wire x1="38.2524" y1="68.58" x2="38.2524" y2="36.8808" width="0.1524" layer="1"/>
<wire x1="38.2524" y1="36.8808" x2="37.8968" y2="36.5252" width="0.1524" layer="1"/>
<wire x1="38.2524" y1="68.58" x2="38.2524" y2="37.6428" width="0.1524" layer="1"/>
<via x="36.1188" y="31.5468" extent="1-16" drill="0.3"/>
<wire x1="36.1188" y1="31.5468" x2="36.1188" y2="31.242" width="0.1524" layer="16"/>
<via x="37.338" y="26.8224" extent="1-16" drill="0.3"/>
@ -6189,9 +6175,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="36.7284" y1="30.6324" x2="36.7284" y2="27.432" width="0.1524" layer="16"/>
<wire x1="36.7284" y1="27.432" x2="37.338" y2="26.8224" width="0.1524" layer="16"/>
<wire x1="36.1188" y1="31.5468" x2="35.9664" y2="31.6992" width="0.1524" layer="1"/>
<wire x1="35.9664" y1="31.6992" x2="35.9664" y2="35.6616" width="0.1524" layer="1"/>
<wire x1="35.9664" y1="35.6616" x2="36.83" y2="36.5252" width="0.1524" layer="1"/>
<wire x1="36.83" y1="36.5252" x2="37.8968" y2="36.5252" width="0.1524" layer="1"/>
<wire x1="35.9664" y1="31.6992" x2="35.9664" y2="35.3568" width="0.1524" layer="1"/>
<wire x1="35.9664" y1="35.3568" x2="38.2524" y2="37.6428" width="0.1524" layer="1"/>
</signal>
<signal name="VCC">
<contactref element="IC3" pad="18"/>
@ -6463,6 +6448,54 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="70.5612" y="87.4776" extent="1-16" drill="0.3"/>
<wire x1="71.9836" y1="54.4204" x2="71.9836" y2="52.832" width="0.1524" layer="1"/>
<wire x1="71.9836" y1="52.832" x2="72.2376" y2="52.578" width="0.1524" layer="1"/>
<wire x1="69.8246" y1="88.6596" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="59.2328" y1="98.4504" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="54.6354" y1="96.608" x2="57.1754" y2="98.4788" width="0" layer="19" extent="1-1"/>
<wire x1="44.2896" y1="94.8172" x2="52.6034" y2="96.608" width="0" layer="19" extent="1-1"/>
<wire x1="49.9872" y1="86.5124" x2="44.1452" y2="93.726" width="0" layer="19" extent="1-1"/>
<wire x1="44.323" y1="80.4418" x2="49.9872" y2="86.5124" width="0" layer="19" extent="1-1"/>
<wire x1="36.4236" y1="75.272" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
<wire x1="33.133" y1="86.7664" x2="34.7256" y2="81.8172" width="0" layer="19" extent="1-1"/>
<wire x1="41.9862" y1="60.5028" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
<wire x1="44.0436" y1="55.3352" x2="42.5082" y2="56.8706" width="0" layer="19" extent="1-1"/>
<wire x1="47.5742" y1="53.3794" x2="44.0436" y2="54.19" width="0" layer="19" extent="1-1"/>
<wire x1="36.9062" y1="57.9628" x2="41.9862" y2="57.3926" width="0" layer="19" extent="1-1"/>
<wire x1="46.0248" y1="48.1584" x2="47.5742" y2="53.3794" width="0" layer="19" extent="1-1"/>
<wire x1="52.1462" y1="57.9628" x2="48.7172" y2="53.3908" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="52.8828" x2="52.1462" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="47.8028" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="53.34" y1="45.1104" x2="54.6862" y2="47.8028" width="0" layer="19" extent="1-1"/>
<wire x1="52.1462" y1="42.7228" x2="52.1716" y2="44.7942" width="0" layer="19" extent="1-1"/>
<wire x1="41.9862" y1="40.1828" x2="46.0378" y2="44.9772" width="0" layer="19" extent="1-1"/>
<wire x1="37.1348" y1="42.9514" x2="41.9862" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="47.8028" x2="37.3012" y2="45.2882" width="0" layer="19" extent="1-1"/>
<wire x1="31.8262" y1="52.8828" x2="34.3662" y2="47.8028" width="0" layer="19" extent="1-1"/>
<wire x1="64.4652" y1="55.0164" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="71.9836" y1="54.4204" x2="65.0104" y2="54.4712" width="0" layer="19" extent="1-1"/>
<wire x1="75.424" y1="46.6344" x2="73.3688" y2="52.578" width="0" layer="19" extent="1-1"/>
<wire x1="80.01" y1="36.7928" x2="75.4126" y2="44.6278" width="0" layer="19" extent="1-1"/>
<wire x1="75.3872" y1="31.4084" x2="79.0956" y2="33.9208" width="0" layer="19" extent="1-1"/>
<wire x1="77.8764" y1="24.9056" x2="75.3872" y2="29.6164" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="23.5712" x2="77.8764" y2="23.4696" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="21.0312" x2="87.63" y2="23.5712" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="18.4912" x2="87.63" y2="21.0312" width="0" layer="19" extent="1-1"/>
<wire x1="31.5976" y1="33.5788" x2="36.9062" y2="42.7228" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="35.2806" x2="31.5836" y2="33.5648" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="19.2786" x2="33.3134" y2="26.8986" width="0" layer="19" extent="1-1"/>
<wire x1="15.3924" y1="34.3544" x2="24.7904" y2="35.2806" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="37.214" x2="15.3924" y2="34.3544" width="0" layer="19" extent="1-1"/>
<wire x1="5.221" y1="38.862" x2="10.9728" y2="38.862" width="0" layer="19" extent="1-1"/>
<wire x1="1.9304" y1="40.3606" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="6.5786" x2="33.3134" y2="16.7386" width="0" layer="19" extent="1-1"/>
<wire x1="55.513" y1="32.4612" x2="52.1462" y2="42.7228" width="0" layer="19" extent="1-1"/>
<wire x1="56.6534" y1="18.0086" x2="55.1434" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="65.5828" y1="12.5192" x2="61.4172" y2="17.4104" width="0" layer="19" extent="1-1"/>
<wire x1="60.932" y1="5.0686" x2="65.5828" y2="12.5192" width="0" layer="19" extent="1-1"/>
<wire x1="5.0686" y1="53.9496" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
<wire x1="5.221" y1="69.0372" x2="5.1816" y2="55.7022" width="0" layer="19" extent="1-1"/>
<wire x1="4.9428" y1="84.582" x2="5.1816" y2="70.9422" width="0" layer="19" extent="1-1"/>
<wire x1="5.334" y1="18.9738" x2="15.3924" y2="33.2232" width="0" layer="19" extent="1-1"/>
<wire x1="5.4864" y1="3.429" x2="5.334" y2="16.1544" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="GND">
<contactref element="IC2" pad="16"/>
@ -6600,8 +6633,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="65.4304" y="55.3212" extent="1-16" drill="0.3"/>
<via x="60.7568" y="86.5124" extent="1-16" drill="0.3"/>
<via x="46.5328" y="84.4804" extent="1-16" drill="0.3"/>
<via x="72.0852" y="84.328" extent="1-16" drill="0.3"/>
<via x="78.8416" y="57.0484" extent="1-16" drill="0.3"/>
<via x="72.6948" y="84.328" extent="1-16" drill="0.3"/>
<via x="77.6224" y="57.0484" extent="1-16" drill="0.3"/>
<via x="63.1952" y="17.1704" extent="1-16" drill="0.3"/>
<contactref element="C10" pad="2"/>
<contactref element="C11" pad="2"/>
@ -6693,7 +6726,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="42.4688" y="14.1224" extent="1-16" drill="0.3"/>
<via x="47.4472" y="57.9628" extent="1-16" drill="0.3"/>
<via x="48.3616" y="57.9628" extent="1-16" drill="0.3"/>
<via x="70.612" y="60.8076" extent="1-16" drill="0.3"/>
<via x="67.8688" y="60.8076" extent="1-16" drill="0.3"/>
<via x="77.1906" y="39.5224" extent="1-16" drill="0.3"/>
<wire x1="47.5742" y1="55.1294" x2="47.766" y2="55.3212" width="0.6096" layer="1"/>
<wire x1="47.766" y1="55.3212" x2="48.8696" y2="55.3212" width="0.6096" layer="1"/>
@ -6804,7 +6837,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="10.922" y="77.3684" extent="1-16" drill="0.3"/>
<via x="10.7696" y="68.6816" extent="1-16" drill="0.3"/>
<via x="10.16" y="41.2496" extent="1-16" drill="0.3"/>
<via x="10.3886" y="24.7142" extent="1-16" drill="0.3"/>
<via x="9.9314" y="24.7142" extent="1-16" drill="0.3"/>
<via x="10.3124" y="13.8176" extent="1-16" drill="0.3"/>
<via x="10.3124" y="16.8656" extent="1-16" drill="0.3"/>
<via x="10.3124" y="12.1412" extent="1-16" drill="0.3"/>
@ -6920,11 +6953,207 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="36.9824" y="55.4228" extent="1-16" drill="0.3"/>
<via x="36.9824" y="50.2412" extent="1-16" drill="0.3"/>
<via x="72.5932" y="60.8076" extent="1-16" drill="0.3"/>
<via x="73.66" y="61.8744" extent="1-16" drill="0.3"/>
<via x="73.2028" y="61.4172" extent="1-16" drill="0.3"/>
<via x="76.5556" y="56.9976" extent="1-16" drill="0.3"/>
<via x="48.8188" y="47.3964" extent="1-16" drill="0.3"/>
<via x="78.867" y="46.6344" extent="1-16" drill="0.3"/>
<via x="16.7894" y="42.0624" extent="1-16" drill="0.3"/>
<wire x1="85.7504" y1="96.1644" x2="86.5124" y2="98.7552" width="0" layer="19" extent="1-1"/>
<wire x1="87.7316" y1="93.8784" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
<wire x1="91.9988" y1="93.5736" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
<wire x1="78.473" y1="90.354" x2="81.5532" y2="95.7202" width="0" layer="19" extent="1-1"/>
<wire x1="75.7428" y1="88.4428" x2="75.7428" y2="89.9668" width="0" layer="19" extent="1-1"/>
<wire x1="75.8952" y1="68.707" x2="78.473" y2="68.5228" width="0" layer="19" extent="1-1"/>
<wire x1="75.5904" y1="67.2846" x2="75.8952" y2="68.707" width="0" layer="19" extent="1-1"/>
<wire x1="74.3204" y1="94.3102" x2="76.13" y2="90.354" width="0" layer="19" extent="1-1"/>
<wire x1="72.6948" y1="84.328" x2="75.7428" y2="88.4428" width="0" layer="19" extent="1-1"/>
<wire x1="73.2028" y1="61.4172" x2="75.5904" y2="67.2846" width="0" layer="19" extent="1-1"/>
<wire x1="72.5932" y1="60.8076" x2="73.2028" y2="61.4172" width="0" layer="19" extent="1-1"/>
<wire x1="67.8688" y1="60.8076" x2="72.5932" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="69.7484" y1="58.42" x2="67.8688" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="65.4304" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="62.8396" y1="55.372" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="76.5556" y1="56.9976" x2="72.5932" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="77.6224" y1="57.0484" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="67.4116" y1="90.5256" x2="72.6948" y2="84.328" width="0" layer="19" extent="1-1"/>
<wire x1="64.1604" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
<wire x1="61.6712" y1="97.3836" x2="64.1604" y2="94.3102" width="0" layer="19" extent="1-1"/>
<wire x1="59.2836" y1="95.6564" x2="61.6712" y2="97.3836" width="0" layer="19" extent="1-1"/>
<wire x1="51.7896" y1="92.8172" x2="57.1754" y2="95.6788" width="0" layer="19" extent="1-1"/>
<wire x1="49.7896" y1="94.8172" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="98.3488" x2="49.276" y2="96.2152" width="0" layer="19" extent="1-1"/>
<wire x1="45.212" y1="97.1296" x2="47.4472" y2="98.3488" width="0" layer="19" extent="1-1"/>
<wire x1="38.2896" y1="94.8172" x2="43.2896" y2="94.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.7632" y1="94.6912" x2="37.7896" y2="94.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.6108" y1="97.6884" x2="37.7896" y2="96.4636" width="0" layer="19" extent="1-1"/>
<wire x1="33.5788" y1="97.6884" x2="35.6108" y2="97.6884" width="0" layer="19" extent="1-1"/>
<wire x1="31.1912" y1="96.1484" x2="33.5788" y2="97.6884" width="0" layer="19" extent="1-1"/>
<wire x1="49.9872" y1="87.4776" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-16"/>
<wire x1="48.6156" y1="84.7344" x2="50.6476" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="49.2896" y1="78.8172" x2="49.3324" y2="80.8172" width="0" layer="19" extent="1-1"/>
<wire x1="46.5328" y1="84.4804" x2="48.6156" y2="84.7344" width="0" layer="19" extent="1-1"/>
<wire x1="45.5676" y1="86.9696" x2="46.5328" y2="84.4804" width="0" layer="19" extent="1-1"/>
<wire x1="42.7736" y1="86.3346" x2="45.5676" y2="86.9696" width="0" layer="19" extent="1-1"/>
<wire x1="42.9768" y1="84.9884" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
<wire x1="55.4342" y1="86.4108" x2="51.7896" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="60.7568" y1="86.5124" x2="56.4388" y2="86.4108" width="0" layer="19" extent="1-1"/>
<wire x1="44.8678" y1="76.835" x2="49.6824" y2="76.8096" width="0" layer="19" extent="1-1"/>
<wire x1="37.338" y1="86.8172" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
<wire x1="35.7896" y1="86.8172" x2="37.338" y2="86.8172" width="0" layer="19" extent="1-1"/>
<wire x1="31.383" y1="86.7664" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-1"/>
<wire x1="38.2896" y1="78.8172" x2="43.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.8762" y1="79.0956" x2="37.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="58.4708" y1="48.8696" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="56.7944" y1="49.022" x2="58.4708" y2="48.8696" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="50.3428" x2="56.7944" y2="49.022" width="0" layer="19" extent="1-1"/>
<wire x1="52.1716" y1="47.6504" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="45.2628" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
<wire x1="48.8188" y1="47.3964" x2="52.1716" y2="47.6504" width="0" layer="19" extent="1-1"/>
<wire x1="49.8602" y1="42.4434" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="40.1828" x2="49.8602" y2="42.4434" width="0" layer="19" extent="1-1"/>
<wire x1="47.0662" y1="40.1828" x2="49.6062" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="40.1828" x2="47.0662" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="42.0116" y1="43.5356" x2="44.5262" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="39.0512" y1="45.2882" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
<wire x1="37.592" y1="47.7012" x2="39.0144" y2="46.5328" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="50.2412" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="50.3428" x2="36.9824" y2="50.2412" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="52.8828" x2="34.3662" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="55.4228" x2="34.3662" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="55.4228" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="30.5816" y1="54.2036" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="28.194" y1="57.2516" x2="30.5816" y2="54.2036" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="45.2628" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="40.1828" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="54.356" x2="28.194" y2="57.2516" width="0" layer="19" extent="1-1"/>
<wire x1="23.876" y1="51.7652" x2="24.7904" y2="54.356" width="0" layer="19" extent="1-1"/>
<wire x1="24.7396" y1="49.276" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="46.6852" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="44.9072" x2="24.7904" y2="46.6852" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="42.0116" x2="20.9804" y2="44.9072" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="40.3606" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="16.7894" y1="42.0624" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="14.2748" y1="37.8968" x2="15.24" y2="42.037" width="0" layer="19" extent="1-1"/>
<wire x1="29.21" y1="49.022" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="34.414" x2="14.2748" y2="37.8968" width="0" layer="19" extent="1-1"/>
<wire x1="15.3416" y1="32.1056" x2="10.9728" y2="32.766" width="0" layer="19" extent="1-1"/>
<wire x1="15.3924" y1="30.4038" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="32.1056" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="19.7612" y1="34.2392" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="44.1452" y1="48.768" x2="48.8188" y2="47.3964" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="31.496" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="28.9052" x2="24.7904" y2="31.496" width="0" layer="19" extent="1-1"/>
<wire x1="25.7302" y1="28.829" x2="24.7904" y2="28.9052" width="0" layer="19" extent="1-1"/>
<wire x1="29.718" y1="31.3944" x2="25.7302" y2="28.829" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="55.4228" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="37.6428" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
<wire x1="10.16" y1="41.2496" x2="6.971" y2="38.862" width="0" layer="19" extent="1-1"/>
<wire x1="34.798" y1="30.1244" x2="29.8336" y2="31.51" width="0" layer="19" extent="1-1"/>
<wire x1="36.0934" y1="30.1244" x2="34.8234" y2="30.099" width="0" layer="19" extent="1-1"/>
<wire x1="37.3634" y1="28.4086" x2="36.0934" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="38.6334" y1="30.099" x2="37.3634" y2="30.099" width="0" layer="19" extent="1-1"/>
<wire x1="39.9034" y1="28.4086" x2="38.6334" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="24.3586" x2="34.8234" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="31.1912" y1="22.2504" x2="31.9278" y2="24.3586" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="60.5028" x2="36.9824" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="60.5028" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="44.2976" y1="57.9628" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="57.9628" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="47.766" y1="55.3212" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="28.956" y1="16.3462" x2="31.623" y2="21.8186" width="0" layer="19" extent="1-1"/>
<wire x1="38.608" y1="11.9888" x2="33.3134" y2="15.4686" width="0" layer="19" extent="1-1"/>
<wire x1="42.4688" y1="14.1224" x2="38.6334" y2="11.9634" width="0" layer="19" extent="1-1"/>
<wire x1="43.688" y1="14.1224" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="44.958" y1="14.1224" x2="43.688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="46.228" y1="14.1224" x2="44.958" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="48.1076" y1="14.1224" x2="46.228" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="42.418" y1="19.8628" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="43.688" y1="19.9136" x2="42.418" y2="19.8628" width="0" layer="19" extent="1-1"/>
<wire x1="44.958" y1="19.9136" x2="43.688" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="46.2788" y1="19.9136" x2="44.958" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="54.102" y1="12.6492" x2="48.1076" y2="14.1224" width="0" layer="19" extent="1-1"/>
<wire x1="56.6534" y1="15.4686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
<wire x1="63.1952" y1="17.1704" x2="61.214" y2="15.4572" width="0" layer="19" extent="1-1"/>
<wire x1="63.8048" y1="18.1864" x2="63.1952" y2="17.1704" width="0" layer="19" extent="1-1"/>
<wire x1="65.5828" y1="16.7132" x2="65.3288" y2="18.1864" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="19.9136" x2="63.8048" y2="18.1864" width="0" layer="19" extent="1-1"/>
<wire x1="67.7672" y1="15.2908" x2="65.5828" y2="15.3192" width="0" layer="19" extent="1-1"/>
<wire x1="58.5216" y1="19.9644" x2="62.992" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="52.2732" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="53.594" x2="15.24" y2="52.197" width="0" layer="19" extent="1-1"/>
<wire x1="36.8808" y1="67.5132" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="9.9314" y1="24.7142" x2="15.3924" y2="30.4038" width="0" layer="19" extent="1-1"/>
<wire x1="52.6034" y1="5.0686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
<wire x1="56.2356" y1="3.7592" x2="52.6034" y2="3.683" width="0" layer="19" extent="1-1"/>
<wire x1="59.2836" y1="3.7592" x2="56.2356" y2="3.7592" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="16.8656" x2="9.9314" y2="24.7142" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="13.8176" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="10.3124" y1="12.1412" x2="10.3124" y2="13.8176" width="0" layer="19" extent="1-1"/>
<wire x1="7.1234" y1="17.2212" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="10.7696" y1="8.0264" x2="10.3124" y2="12.1412" width="0" layer="19" extent="1-1"/>
<wire x1="13.6652" y1="8.0264" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="9.7028" y1="4.826" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="8.2296" y1="1.6764" x2="9.7028" y2="4.826" width="0" layer="19" extent="1-1"/>
<wire x1="2.7432" y1="0.9144" x2="7.1234" y2="1.6764" width="0" layer="19" extent="1-1"/>
<wire x1="1.778" y1="0.9144" x2="2.7432" y2="0.9144" width="0" layer="19" extent="1-1"/>
<wire x1="0.8636" y1="0.9144" x2="1.778" y2="0.9144" width="0" layer="19" extent="1-1"/>
<wire x1="15.494" y1="16.5608" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
<wire x1="15.5448" y1="14.859" x2="15.494" y2="16.5608" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="3.1496" x2="13.6652" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="21.2852" y1="2.3876" x2="19.9136" y2="3.1496" width="0" layer="19" extent="1-1"/>
<wire x1="26.4668" y1="5.9944" x2="21.2852" y2="2.3876" width="0" layer="19" extent="1-1"/>
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
<wire x1="72.0344" y1="49.6824" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="72.5424" y1="46.6344" x2="72.0344" y2="49.6824" width="0" layer="19" extent="1-1"/>
<wire x1="78.867" y1="46.6344" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
<wire x1="77.1906" y1="39.5224" x2="78.867" y2="46.6344" width="0" layer="19" extent="1-1"/>
<wire x1="77.1144" y1="34.5948" x2="77.1906" y2="39.5224" width="0" layer="19" extent="1-1"/>
<wire x1="76.7956" y1="31.75" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="80.1624" y1="34.5948" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="32.4612" x2="80.1624" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="91.5416" y1="32.3088" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="10.7696" y1="68.6816" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="68.9978" x2="10.7696" y2="68.6816" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="68.9864" x2="10.7696" y2="68.6816" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="67.1322" x2="15.1892" y2="68.9864" width="0" layer="19" extent="1-1"/>
<wire x1="1.9304" y1="30.2006" x2="7.0104" y2="37.6428" width="0" layer="19" extent="1-1"/>
<wire x1="88.3412" y1="83.2104" x2="78.473" y2="83.3436" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="72.9996" x2="78.473" y2="72.828" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
<wire x1="91.5416" y1="62.7888" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="42.672" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="22.2504" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="15.9512" x2="88.4936" y2="22.2504" width="0" layer="19" extent="1-1"/>
<wire x1="87.63" y1="13.4112" x2="87.63" y2="15.9512" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="12.192" x2="87.63" y2="13.4112" width="0" layer="19" extent="1-1"/>
<wire x1="91.694" y1="12.3444" x2="88.4936" y2="12.192" width="0" layer="19" extent="1-1"/>
<wire x1="91.6432" y1="2.3368" x2="91.694" y2="12.3444" width="0" layer="19" extent="1-1"/>
<wire x1="91.6432" y1="1.2192" x2="91.6432" y2="2.3368" width="0" layer="19" extent="1-1"/>
<wire x1="90.2716" y1="1.2192" x2="91.6432" y2="1.2192" width="0" layer="19" extent="1-1"/>
<wire x1="57.2516" y1="30.1752" x2="58.5216" y2="19.9644" width="0" layer="19" extent="1-1"/>
<wire x1="52.6034" y1="29.8704" x2="57.2516" y2="30.1752" width="0" layer="19" extent="1-1"/>
<wire x1="62.4332" y1="35.1536" x2="57.263" y2="32.4612" width="0" layer="19" extent="1-1"/>
<wire x1="63.3476" y1="33.4772" x2="62.4332" y2="35.1536" width="0" layer="19" extent="1-1"/>
<wire x1="63.8048" y1="31.1912" x2="63.6016" y2="33.2232" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="97.9932" x2="1.8288" y2="83.3628" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="98.9076" x2="1.016" y2="97.9932" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="42.037" x2="16.764" y2="42.037" width="0.1524" layer="1"/>
<wire x1="16.764" y1="42.037" x2="16.7894" y2="42.0624" width="0.1524" layer="1"/>
</signal>
<signal name="A27">
<wire x1="82.55" y1="69.2912" x2="75.3872" y2="69.2912" width="0.1524" layer="16"/>
@ -6940,14 +7169,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="68.1736" y="66.4464" extent="1-16" drill="0.3"/>
<contactref element="IC7" pad="16"/>
<wire x1="64.6416" y1="88.3172" x2="51.7896" y2="88.3172" width="0.1524" layer="1"/>
<wire x1="64.6416" y1="88.3172" x2="67.9196" y2="85.0392" width="0.1524" layer="1"/>
<wire x1="67.9196" y1="85.0392" x2="67.9196" y2="66.7004" width="0.1524" layer="1"/>
<wire x1="67.9196" y1="66.7004" x2="68.1736" y2="66.4464" width="0.1524" layer="1"/>
<contactref element="X1" pad="C23"/>
<contactref element="RN2" pad="3"/>
<wire x1="80.023" y1="68.5228" x2="80.4608" y2="68.5228" width="0.1524" layer="1"/>
<wire x1="80.4608" y1="68.5228" x2="81.2292" y2="69.2912" width="0.1524" layer="1"/>
<wire x1="81.2292" y1="69.2912" x2="82.55" y2="69.2912" width="0.1524" layer="1"/>
<wire x1="64.6416" y1="88.3172" x2="68.1736" y2="84.7852" width="0.1524" layer="1"/>
<wire x1="68.1736" y1="84.7852" x2="68.1736" y2="66.4464" width="0.1524" layer="1"/>
</signal>
<signal name="OSZI_OUT">
<contactref element="QG1" pad="8"/>
@ -6962,13 +7190,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="76.8096" y1="28.3464" x2="78.0288" y2="29.5656" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="29.5656" x2="78.0288" y2="43.1292" width="0.1524" layer="1"/>
<contactref element="IC7" pad="20"/>
<wire x1="78.0288" y1="61.8744" x2="69.7484" y2="70.1548" width="0.1524" layer="1"/>
<wire x1="69.7484" y1="70.1548" x2="69.7484" y2="85.8012" width="0.1524" layer="1"/>
<wire x1="69.7484" y1="85.8012" x2="65.2324" y2="90.3172" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="54.5592" x2="70.8152" y2="61.7728" width="0.1524" layer="1"/>
<wire x1="70.8152" y1="61.7728" x2="70.8152" y2="84.7344" width="0.1524" layer="1"/>
<wire x1="70.8152" y1="84.7344" x2="65.2324" y2="90.3172" width="0.1524" layer="1"/>
<wire x1="65.2324" y1="90.3172" x2="51.7896" y2="90.3172" width="0.1524" layer="1"/>
<wire x1="75.3872" y1="43.3832" x2="77.7748" y2="43.3832" width="0.1524" layer="1"/>
<wire x1="77.7748" y1="43.3832" x2="78.0288" y2="43.1292" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="61.8744" x2="78.0288" y2="43.6372" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="54.5592" x2="78.0288" y2="43.6372" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="43.6372" x2="77.7748" y2="43.3832" width="0.1524" layer="1"/>
</signal>
<signal name="TCK">
@ -7214,18 +7442,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="63.0936" y1="44.196" x2="60.8076" y2="44.196" width="0.1524" layer="16"/>
<wire x1="60.8076" y1="44.196" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="51.9684" y1="43.8912" x2="51.2064" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="51.2064" y1="43.1292" x2="43.7642" y2="43.1292" width="0.1524" layer="16"/>
<wire x1="43.7642" y1="43.1292" x2="41.6306" y2="40.9956" width="0.1524" layer="16"/>
<wire x1="51.2064" y1="43.1292" x2="43.6118" y2="43.1292" width="0.1524" layer="16"/>
<via x="12.8016" y="38.7096" extent="1-16" drill="0.3"/>
<wire x1="41.6306" y1="40.9956" x2="40.9956" y2="40.9956" width="0.1524" layer="16"/>
<wire x1="40.9956" y1="40.9956" x2="40.6908" y2="40.6908" width="0.1524" layer="16"/>
<wire x1="40.6908" y1="40.6908" x2="40.6908" y2="36.7284" width="0.1524" layer="16"/>
<wire x1="40.6908" y1="36.7284" x2="40.386" y2="36.4236" width="0.1524" layer="16"/>
<wire x1="40.386" y1="36.4236" x2="36.576" y2="36.4236" width="0.1524" layer="16"/>
<wire x1="36.576" y1="36.4236" x2="35.6616" y2="37.338" width="0.1524" layer="16"/>
<wire x1="35.6616" y1="37.338" x2="35.6616" y2="37.6428" width="0.1524" layer="16"/>
<wire x1="35.6616" y1="37.6428" x2="34.7472" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="34.7472" y1="38.5572" x2="28.6512" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="39.0398" y1="38.5572" x2="28.6512" y2="38.5572" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="38.5572" x2="28.4988" y2="38.7096" width="0.1524" layer="16"/>
<wire x1="28.4988" y1="38.7096" x2="12.8016" y2="38.7096" width="0.1524" layer="16"/>
<wire x1="65.3288" y1="29.6164" x2="65.4304" y2="29.718" width="0.1524" layer="1"/>
@ -7238,6 +7457,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="69.9516" y1="46.482" x2="65.6844" y2="46.482" width="0.1524" layer="16"/>
<wire x1="65.6844" y1="46.482" x2="65.2272" y2="46.0248" width="0.1524" layer="16"/>
<wire x1="51.9684" y1="43.8912" x2="60.5028" y2="43.8912" width="0.1524" layer="16"/>
<wire x1="43.6118" y1="43.1292" x2="39.0398" y2="38.5572" width="0.1524" layer="16"/>
</signal>
<signal name="R/W_00">
<contactref element="IC2" pad="9"/>
@ -7256,11 +7476,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="22.5552" y1="43.5864" x2="23.1648" y2="44.196" width="0.1524" layer="16"/>
<wire x1="23.1648" y1="44.196" x2="25.908" y2="44.196" width="0.1524" layer="16"/>
<wire x1="25.908" y1="44.196" x2="28.6512" y2="46.9392" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="46.9392" x2="30.3276" y2="46.9392" width="0.1524" layer="16"/>
<wire x1="30.3276" y1="46.9392" x2="30.6324" y2="47.244" width="0.1524" layer="16"/>
<wire x1="30.6324" y1="47.244" x2="30.6324" y2="48.006" width="0.1524" layer="16"/>
<wire x1="30.6324" y1="48.006" x2="31.3944" y2="48.768" width="0.1524" layer="16"/>
<wire x1="31.3944" y1="48.768" x2="37.4904" y2="48.768" width="0.1524" layer="16"/>
<wire x1="28.6512" y1="46.9392" x2="29.718" y2="46.9392" width="0.1524" layer="16"/>
<wire x1="29.718" y1="46.9392" x2="31.5468" y2="48.768" width="0.1524" layer="16"/>
<wire x1="31.5468" y1="48.768" x2="37.4904" y2="48.768" width="0.1524" layer="16"/>
<wire x1="37.4904" y1="48.768" x2="37.7952" y2="48.4632" width="0.1524" layer="16"/>
<wire x1="37.7952" y1="48.4632" x2="38.862" y2="48.4632" width="0.1524" layer="16"/>
<via x="38.862" y="48.4632" extent="1-16" drill="0.3"/>
@ -7690,7 +7908,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="6.858" y="21.4884" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="21.4884" x2="4.8768" y2="21.4884" width="0.1524" layer="16"/>
<wire x1="4.8768" y1="21.4884" x2="4.4196" y2="21.0312" width="0.1524" layer="16"/>
<wire x1="4.4196" y1="21.0312" x2="4.4196" y2="14.3256" width="0.1524" layer="16"/>
<wire x1="4.4196" y1="21.0312" x2="4.4196" y2="12.3698" width="0.1524" layer="16"/>
<contactref element="IC6" pad="18"/>
<wire x1="75.3872" y1="27.0764" x2="72.7964" y2="27.0764" width="0.1524" layer="1"/>
<wire x1="72.7964" y1="27.0764" x2="71.4756" y2="25.7556" width="0.1524" layer="1"/>
@ -7703,9 +7921,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="26.0604" y1="16.002" x2="17.8308" y2="16.002" width="0.1524" layer="16"/>
<wire x1="17.8308" y1="16.002" x2="12.3444" y2="21.4884" width="0.1524" layer="16"/>
<wire x1="12.3444" y1="21.4884" x2="6.858" y2="21.4884" width="0.1524" layer="16"/>
<wire x1="4.4196" y1="14.3256" x2="3.5052" y2="13.4112" width="0.1524" layer="16"/>
<wire x1="3.5052" y1="13.4112" x2="3.5052" y2="11.4554" width="0.1524" layer="16"/>
<wire x1="3.5052" y1="11.4554" x2="1.9304" y2="9.8806" width="0.1524" layer="16"/>
<wire x1="4.4196" y1="12.3698" x2="1.9304" y2="9.8806" width="0.1524" layer="16"/>
</signal>
<signal name="AMIGA_D9">
<contactref element="IC2" pad="60"/>
@ -7728,10 +7944,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="38.7096" y1="17.526" x2="27.1272" y2="17.526" width="0.1524" layer="16"/>
<wire x1="27.1272" y1="17.526" x2="25.908" y2="16.3068" width="0.1524" layer="16"/>
<wire x1="25.908" y1="16.3068" x2="18.288" y2="16.3068" width="0.1524" layer="16"/>
<wire x1="18.288" y1="16.3068" x2="12.8016" y2="21.7932" width="0.1524" layer="16"/>
<wire x1="12.8016" y1="21.7932" x2="12.8016" y2="22.4028" width="0.1524" layer="16"/>
<wire x1="12.8016" y1="22.4028" x2="12.4968" y2="22.7076" width="0.1524" layer="16"/>
<wire x1="12.4968" y1="22.7076" x2="6.858" y2="22.7076" width="0.1524" layer="16"/>
<wire x1="18.288" y1="16.3068" x2="11.8872" y2="22.7076" width="0.1524" layer="16"/>
<wire x1="11.8872" y1="22.7076" x2="6.858" y2="22.7076" width="0.1524" layer="16"/>
</signal>
<signal name="AMIGA_D10">
<contactref element="IC2" pad="59"/>
@ -7754,10 +7968,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="38.7096" y1="17.9832" x2="27.1272" y2="17.9832" width="0.1524" layer="16"/>
<wire x1="27.1272" y1="17.9832" x2="25.7556" y2="16.6116" width="0.1524" layer="16"/>
<wire x1="25.7556" y1="16.6116" x2="18.5928" y2="16.6116" width="0.1524" layer="16"/>
<wire x1="18.5928" y1="16.6116" x2="13.4112" y2="21.7932" width="0.1524" layer="16"/>
<wire x1="13.4112" y1="21.7932" x2="13.4112" y2="23.7744" width="0.1524" layer="16"/>
<wire x1="13.4112" y1="23.7744" x2="13.1064" y2="24.0792" width="0.1524" layer="16"/>
<wire x1="13.1064" y1="24.0792" x2="6.858" y2="24.0792" width="0.1524" layer="16"/>
<wire x1="18.5928" y1="16.6116" x2="11.1252" y2="24.0792" width="0.1524" layer="16"/>
<wire x1="11.1252" y1="24.0792" x2="6.858" y2="24.0792" width="0.1524" layer="16"/>
</signal>
<signal name="AMIGA_D11">
<contactref element="IC2" pad="58"/>
@ -7779,10 +7991,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="38.4048" y1="18.288" x2="26.3652" y2="18.288" width="0.1524" layer="16"/>
<wire x1="26.3652" y1="18.288" x2="26.2128" y2="18.4404" width="0.1524" layer="16"/>
<wire x1="26.2128" y1="18.4404" x2="17.3736" y2="18.4404" width="0.1524" layer="16"/>
<wire x1="17.3736" y1="18.4404" x2="13.8684" y2="21.9456" width="0.1524" layer="16"/>
<wire x1="13.8684" y1="21.9456" x2="13.8684" y2="24.8412" width="0.1524" layer="16"/>
<wire x1="13.8684" y1="24.8412" x2="13.4112" y2="25.2984" width="0.1524" layer="16"/>
<wire x1="13.4112" y1="25.2984" x2="6.858" y2="25.2984" width="0.1524" layer="16"/>
<wire x1="17.3736" y1="18.4404" x2="10.5156" y2="25.2984" width="0.1524" layer="16"/>
<wire x1="10.5156" y1="25.2984" x2="6.858" y2="25.2984" width="0.1524" layer="16"/>
</signal>
<signal name="AMIGA_D12">
<contactref element="IC2" pad="57"/>
@ -7796,21 +8006,17 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="IC6" pad="14"/>
<wire x1="70.7136" y1="21.4884" x2="70.7136" y2="24.2316" width="0.1524" layer="1"/>
<via x="70.7136" y="24.2316" extent="1-16" drill="0.3"/>
<wire x1="70.7136" y1="24.2316" x2="41.91" y2="24.2316" width="0.1524" layer="16"/>
<wire x1="41.91" y1="24.2316" x2="37.0332" y2="19.3548" width="0.1524" layer="16"/>
<wire x1="37.0332" y1="19.3548" x2="36.4236" y2="19.3548" width="0.1524" layer="16"/>
<wire x1="36.4236" y1="19.3548" x2="36.1188" y2="19.6596" width="0.1524" layer="16"/>
<wire x1="36.1188" y1="19.6596" x2="30.7848" y2="19.6596" width="0.1524" layer="16"/>
<wire x1="30.7848" y1="19.6596" x2="30.3276" y2="20.1168" width="0.1524" layer="16"/>
<wire x1="30.3276" y1="20.1168" x2="26.8224" y2="20.1168" width="0.1524" layer="16"/>
<wire x1="26.8224" y1="20.1168" x2="25.908" y2="19.2024" width="0.1524" layer="16"/>
<wire x1="25.908" y1="19.2024" x2="19.05" y2="19.2024" width="0.1524" layer="16"/>
<wire x1="70.7136" y1="24.2316" x2="42.0624" y2="24.2316" width="0.1524" layer="16"/>
<wire x1="42.0624" y1="24.2316" x2="37.1856" y2="19.3548" width="0.1524" layer="16"/>
<wire x1="37.1856" y1="19.3548" x2="29.8704" y2="19.3548" width="0.1524" layer="16"/>
<wire x1="29.8704" y1="19.3548" x2="29.1084" y2="20.1168" width="0.1524" layer="16"/>
<wire x1="29.1084" y1="20.1168" x2="28.4988" y2="20.1168" width="0.1524" layer="16"/>
<wire x1="28.4988" y1="20.1168" x2="27.5844" y2="19.2024" width="0.1524" layer="16"/>
<wire x1="27.5844" y1="19.2024" x2="19.05" y2="19.2024" width="0.1524" layer="16"/>
<wire x1="19.05" y1="19.2024" x2="17.3736" y2="20.8788" width="0.1524" layer="16"/>
<wire x1="17.3736" y1="20.8788" x2="16.6116" y2="20.8788" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="20.8788" x2="14.3256" y2="23.1648" width="0.1524" layer="16"/>
<wire x1="14.3256" y1="23.1648" x2="14.3256" y2="25.908" width="0.1524" layer="16"/>
<wire x1="14.3256" y1="25.908" x2="13.5636" y2="26.67" width="0.1524" layer="16"/>
<wire x1="13.5636" y1="26.67" x2="6.858" y2="26.67" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="20.8788" x2="10.8204" y2="26.67" width="0.1524" layer="16"/>
<wire x1="10.8204" y1="26.67" x2="6.858" y2="26.67" width="0.1524" layer="16"/>
<via x="6.858" y="26.67" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="26.67" x2="5.4102" y2="26.67" width="0.1524" layer="1"/>
<wire x1="5.4102" y1="26.67" x2="5.334" y2="26.5938" width="0.1524" layer="1"/>
@ -7842,10 +8048,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="18.8976" y1="22.86" x2="17.9832" y2="22.86" width="0.1524" layer="16"/>
<wire x1="17.9832" y1="22.86" x2="17.526" y2="23.3172" width="0.1524" layer="16"/>
<wire x1="17.526" y1="23.3172" x2="16.764" y2="23.3172" width="0.1524" layer="16"/>
<wire x1="16.764" y1="23.3172" x2="14.7828" y2="25.2984" width="0.1524" layer="16"/>
<wire x1="14.7828" y1="25.2984" x2="14.7828" y2="27.432" width="0.1524" layer="16"/>
<wire x1="14.7828" y1="27.432" x2="14.3256" y2="27.8892" width="0.1524" layer="16"/>
<wire x1="14.3256" y1="27.8892" x2="6.858" y2="27.8892" width="0.1524" layer="16"/>
<wire x1="16.764" y1="23.3172" x2="12.192" y2="27.8892" width="0.1524" layer="16"/>
<wire x1="12.192" y1="27.8892" x2="6.858" y2="27.8892" width="0.1524" layer="16"/>
<via x="6.858" y="27.8892" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="27.8892" x2="5.3594" y2="27.8892" width="0.1524" layer="1"/>
<wire x1="5.3594" y1="27.8892" x2="5.334" y2="27.8638" width="0.1524" layer="1"/>
@ -7869,10 +8073,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="23.1648" y1="23.4696" x2="18.7452" y2="23.4696" width="0.1524" layer="16"/>
<wire x1="18.7452" y1="23.4696" x2="17.526" y2="24.6888" width="0.1524" layer="16"/>
<wire x1="17.526" y1="24.6888" x2="16.6116" y2="24.6888" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="24.6888" x2="15.24" y2="26.0604" width="0.1524" layer="16"/>
<wire x1="15.24" y1="26.0604" x2="15.24" y2="28.6512" width="0.1524" layer="16"/>
<wire x1="15.24" y1="28.6512" x2="14.7828" y2="29.1084" width="0.1524" layer="16"/>
<wire x1="14.7828" y1="29.1084" x2="6.858" y2="29.1084" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="24.6888" x2="12.192" y2="29.1084" width="0.1524" layer="16"/>
<wire x1="12.192" y1="29.1084" x2="6.858" y2="29.1084" width="0.1524" layer="16"/>
<via x="6.858" y="29.1084" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="29.1084" x2="5.3594" y2="29.1084" width="0.1524" layer="1"/>
<wire x1="5.3594" y1="29.1084" x2="5.334" y2="29.1338" width="0.1524" layer="1"/>
@ -7896,10 +8098,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="22.5552" y1="25.4508" x2="21.1836" y2="25.4508" width="0.1524" layer="16"/>
<wire x1="21.1836" y1="25.4508" x2="20.7264" y2="25.908" width="0.1524" layer="16"/>
<wire x1="20.7264" y1="25.908" x2="16.6116" y2="25.908" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="25.908" x2="15.8496" y2="26.67" width="0.1524" layer="16"/>
<wire x1="15.8496" y1="26.67" x2="15.8496" y2="29.8704" width="0.1524" layer="16"/>
<wire x1="15.8496" y1="29.8704" x2="15.3924" y2="30.3276" width="0.1524" layer="16"/>
<wire x1="15.3924" y1="30.3276" x2="6.858" y2="30.3276" width="0.1524" layer="16"/>
<wire x1="16.6116" y1="25.908" x2="12.192" y2="30.3276" width="0.1524" layer="16"/>
<wire x1="12.192" y1="30.3276" x2="6.858" y2="30.3276" width="0.1524" layer="16"/>
<via x="6.858" y="30.3276" extent="1-16" drill="0.3"/>
<wire x1="6.858" y1="30.3276" x2="5.4102" y2="30.3276" width="0.1524" layer="1"/>
<wire x1="5.4102" y1="30.3276" x2="5.334" y2="30.4038" width="0.1524" layer="1"/>

View File

@ -5613,13 +5613,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="89.3064" y1="31.5468" x2="89.0016" y2="31.242" width="0.1524" layer="16"/>
<wire x1="89.0016" y1="31.242" x2="87.6808" y2="31.242" width="0.1524" layer="16"/>
<wire x1="87.6808" y1="31.242" x2="87.63" y2="31.1912" width="0.1524" layer="16"/>
<wire x1="80.772" y1="32.004" x2="80.9244" y2="32.1564" width="0.1524" layer="16"/>
<wire x1="80.6196" y1="31.8516" x2="80.9244" y2="32.1564" width="0.1524" layer="16"/>
<wire x1="80.9244" y1="32.1564" x2="85.1916" y2="32.1564" width="0.1524" layer="16"/>
<wire x1="85.1916" y1="32.1564" x2="86.4108" y2="33.3756" width="0.1524" layer="16"/>
<wire x1="79.0956" y1="32.2208" x2="80.5552" y2="32.2208" width="0.1524" layer="1"/>
<wire x1="80.5552" y1="32.2208" x2="80.772" y2="32.004" width="0.1524" layer="1"/>
<via x="80.772" y="32.004" extent="1-16" drill="0.3"/>
<wire x1="80.772" y1="32.004" x2="80.4672" y2="31.6992" width="0.1524" layer="16"/>
<wire x1="79.0956" y1="32.2208" x2="80.2504" y2="32.2208" width="0.1524" layer="1"/>
<wire x1="80.2504" y1="32.2208" x2="80.6196" y2="31.8516" width="0.1524" layer="1"/>
<via x="80.6196" y="31.8516" extent="1-16" drill="0.3"/>
<wire x1="80.6196" y1="31.8516" x2="80.4672" y2="31.6992" width="0.1524" layer="16"/>
<wire x1="30.7086" y1="31.1912" x2="30.7086" y2="38.1762" width="0.1524" layer="1"/>
<wire x1="30.7086" y1="38.1762" x2="30.48" y2="38.4048" width="0.1524" layer="1"/>
<wire x1="30.48" y1="38.4048" x2="30.48" y2="40.386" width="0.1524" layer="1"/>
@ -5764,9 +5764,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="39.2684" y1="27.5082" x2="39.9034" y2="26.8732" width="0.1524" layer="16"/>
<wire x1="86.2076" y1="32.3088" x2="86.2076" y2="30.734" width="0.1524" layer="16"/>
<wire x1="86.2076" y1="30.734" x2="85.6488" y2="30.1752" width="0.1524" layer="16"/>
<wire x1="85.6488" y1="30.1752" x2="81.6864" y2="30.1752" width="0.1524" layer="16"/>
<wire x1="81.6864" y1="30.1752" x2="80.4672" y2="31.3944" width="0.1524" layer="16"/>
<wire x1="80.4672" y1="31.3944" x2="65.9892" y2="31.3944" width="0.1524" layer="16"/>
<wire x1="85.6488" y1="30.1752" x2="80.6196" y2="30.1752" width="0.1524" layer="16"/>
<wire x1="80.6196" y1="30.1752" x2="79.4004" y2="31.3944" width="0.1524" layer="16"/>
<wire x1="79.4004" y1="31.3944" x2="65.9892" y2="31.3944" width="0.1524" layer="16"/>
<wire x1="65.9892" y1="31.3944" x2="65.3796" y2="32.004" width="0.1524" layer="16"/>
<wire x1="65.3796" y1="32.004" x2="39.4716" y2="32.004" width="0.1524" layer="16"/>
<wire x1="42.2148" y1="48.3108" x2="42.2148" y2="52.1208" width="0.1524" layer="1"/>
@ -6963,6 +6963,16 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="85.3062" y1="95.7202" x2="85.7504" y2="96.1644" width="0.1524" layer="1"/>
<contactref element="IC9" pad="2"/>
<via x="29.21" y="49.022" extent="1-16" drill="0.3"/>
<via x="20.9804" y="44.9072" extent="1-16" drill="0.3"/>
<via x="20.9804" y="42.0116" extent="1-16" drill="0.3"/>
<via x="36.9824" y="55.4228" extent="1-16" drill="0.3"/>
<via x="36.9824" y="50.2412" extent="1-16" drill="0.3"/>
<via x="72.5932" y="60.8076" extent="1-16" drill="0.3"/>
<via x="73.66" y="61.8744" extent="1-16" drill="0.3"/>
<via x="76.5556" y="56.9976" extent="1-16" drill="0.3"/>
<via x="48.8188" y="47.3964" extent="1-16" drill="0.3"/>
<via x="78.867" y="46.6344" extent="1-16" drill="0.3"/>
<via x="16.7894" y="42.0624" extent="1-16" drill="0.3"/>
<wire x1="85.7504" y1="96.1644" x2="86.5124" y2="98.7552" width="0" layer="19" extent="1-1"/>
<wire x1="87.7316" y1="93.8784" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
<wire x1="91.9988" y1="93.5736" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
@ -6972,6 +6982,15 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="75.5904" y1="67.2846" x2="75.8952" y2="68.707" width="0" layer="19" extent="1-1"/>
<wire x1="74.3204" y1="94.3102" x2="76.13" y2="90.354" width="0" layer="19" extent="1-1"/>
<wire x1="72.0852" y1="84.328" x2="75.7428" y2="88.4428" width="0" layer="19" extent="1-1"/>
<wire x1="73.66" y1="61.8744" x2="75.5904" y2="67.2846" width="0" layer="19" extent="1-1"/>
<wire x1="72.5932" y1="60.8076" x2="73.66" y2="61.8744" width="0" layer="19" extent="1-1"/>
<wire x1="70.612" y1="60.8076" x2="72.5932" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="69.7484" y1="58.42" x2="70.612" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="65.4304" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="62.8396" y1="55.372" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="76.5556" y1="56.9976" x2="72.5932" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="78.8416" y1="57.0484" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="67.4116" y1="90.5256" x2="72.0852" y2="84.328" width="0" layer="19" extent="1-1"/>
<wire x1="64.1604" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
<wire x1="61.6712" y1="97.3836" x2="64.1604" y2="94.3102" width="0" layer="19" extent="1-1"/>
@ -7000,27 +7019,12 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="31.383" y1="86.7664" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-1"/>
<wire x1="38.2896" y1="78.8172" x2="43.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="35.8762" y1="79.0956" x2="37.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="70.612" y1="60.8076" x2="75.5904" y2="67.2846" width="0" layer="19" extent="1-1"/>
<wire x1="69.7484" y1="58.42" x2="70.612" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="65.4304" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
<wire x1="62.8396" y1="55.372" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="58.4708" y1="48.8696" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
<wire x1="56.7944" y1="49.022" x2="58.4708" y2="48.8696" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="50.3428" x2="56.7944" y2="49.022" width="0" layer="19" extent="1-1"/>
<wire x1="52.1716" y1="47.6504" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="45.2628" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
<wire x1="48.8188" y1="47.3964" x2="52.1716" y2="47.6504" width="0" layer="19" extent="1-1"/>
<wire x1="49.8602" y1="42.4434" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="40.1828" x2="49.8602" y2="42.4434" width="0" layer="19" extent="1-1"/>
<wire x1="47.0662" y1="40.1828" x2="49.6062" y2="40.1828" width="0" layer="19" extent="1-1"/>
@ -7028,42 +7032,36 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="42.0116" y1="43.5356" x2="44.5262" y2="40.1828" width="0" layer="19" extent="1-1"/>
<wire x1="39.0512" y1="45.2882" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
<wire x1="37.592" y1="47.7012" x2="39.0144" y2="46.5328" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="45.2628" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="50.3428" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="50.2412" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="50.3428" x2="36.9824" y2="50.2412" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="52.8828" x2="34.3662" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="55.4228" x2="34.3662" y2="52.8828" width="0" layer="19" extent="1-1"/>
<wire x1="36.9824" y1="55.4228" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="30.5816" y1="54.2036" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="28.194" y1="57.2516" x2="30.5816" y2="54.2036" width="0" layer="19" extent="1-1"/>
<wire x1="34.3662" y1="45.2628" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="40.1828" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="54.356" x2="28.194" y2="57.2516" width="0" layer="19" extent="1-1"/>
<wire x1="23.876" y1="51.7652" x2="24.7904" y2="54.356" width="0" layer="19" extent="1-1"/>
<wire x1="24.7396" y1="49.276" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="46.6852" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="44.9072" x2="24.7904" y2="46.6852" width="0" layer="19" extent="1-1"/>
<wire x1="20.9804" y1="42.0116" x2="20.9804" y2="44.9072" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="40.3606" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="16.7894" y1="42.0624" x2="20.9804" y2="42.0116" width="0" layer="19" extent="1-1"/>
<wire x1="14.2748" y1="37.8968" x2="15.24" y2="42.037" width="0" layer="19" extent="1-1"/>
<wire x1="29.21" y1="49.022" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="55.4228" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="44.1452" y1="48.768" x2="39.0512" y2="46.496" width="0" layer="19" extent="1-1"/>
<wire x1="48.8696" y1="55.3212" x2="54.6862" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="57.9628" x2="47.766" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="44.2976" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="60.5028" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="60.5028" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="40.3606" x2="24.7904" y2="46.6852" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="52.2732" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="53.594" x2="15.24" y2="52.197" width="0" layer="19" extent="1-1"/>
<wire x1="36.8808" y1="67.5132" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="19.7612" y1="34.2392" x2="24.7904" y2="40.3606" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="32.1056" x2="19.7612" y2="34.2392" width="0" layer="19" extent="1-1"/>
<wire x1="15.3416" y1="32.1056" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="34.414" x2="14.2748" y2="37.8968" width="0" layer="19" extent="1-1"/>
<wire x1="15.3416" y1="32.1056" x2="10.9728" y2="32.766" width="0" layer="19" extent="1-1"/>
<wire x1="15.3924" y1="30.4038" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="10.9728" y1="32.766" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="14.2748" y1="37.8968" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="42.037" x2="14.2748" y2="37.8968" width="0" layer="19" extent="1-1"/>
<wire x1="19.9136" y1="32.1056" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="19.7612" y1="34.2392" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="44.1452" y1="48.768" x2="48.8188" y2="47.3964" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="31.496" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
<wire x1="24.7904" y1="28.9052" x2="24.7904" y2="31.496" width="0" layer="19" extent="1-1"/>
<wire x1="25.7302" y1="28.829" x2="24.7904" y2="28.9052" width="0" layer="19" extent="1-1"/>
<wire x1="29.718" y1="31.3944" x2="25.7302" y2="28.829" width="0" layer="19" extent="1-1"/>
<wire x1="54.6862" y1="55.4228" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="37.6428" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
<wire x1="10.16" y1="41.2496" x2="6.971" y2="38.862" width="0" layer="19" extent="1-1"/>
<wire x1="34.798" y1="30.1244" x2="29.8336" y2="31.51" width="0" layer="19" extent="1-1"/>
@ -7073,6 +7071,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="39.9034" y1="28.4086" x2="38.6334" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="33.3134" y1="24.3586" x2="34.8234" y2="28.4086" width="0" layer="19" extent="1-1"/>
<wire x1="31.1912" y1="22.2504" x2="31.9278" y2="24.3586" width="0" layer="19" extent="1-1"/>
<wire x1="39.4462" y1="60.5028" x2="36.9824" y2="55.4228" width="0" layer="19" extent="1-1"/>
<wire x1="44.5262" y1="60.5028" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="44.2976" y1="57.9628" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="47.4472" y1="57.9628" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="47.766" y1="55.3212" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
<wire x1="28.956" y1="16.3462" x2="31.623" y2="21.8186" width="0" layer="19" extent="1-1"/>
<wire x1="38.608" y1="11.9888" x2="33.3134" y2="15.4686" width="0" layer="19" extent="1-1"/>
<wire x1="42.4688" y1="14.1224" x2="38.6334" y2="11.9634" width="0" layer="19" extent="1-1"/>
@ -7092,6 +7097,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="62.992" y1="19.9136" x2="63.8048" y2="18.1864" width="0" layer="19" extent="1-1"/>
<wire x1="67.7672" y1="15.2908" x2="65.5828" y2="15.3192" width="0" layer="19" extent="1-1"/>
<wire x1="58.5216" y1="19.9644" x2="62.992" y2="19.9136" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="52.2732" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="53.594" x2="15.24" y2="52.197" width="0" layer="19" extent="1-1"/>
<wire x1="36.8808" y1="67.5132" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
<wire x1="10.3886" y1="24.7142" x2="15.3924" y2="30.4038" width="0" layer="19" extent="1-1"/>
<wire x1="52.6034" y1="5.0686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
<wire x1="56.2356" y1="3.7592" x2="52.6034" y2="3.683" width="0" layer="19" extent="1-1"/>
@ -7112,10 +7120,22 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="19.9136" y1="3.1496" x2="13.6652" y2="8.0264" width="0" layer="19" extent="1-1"/>
<wire x1="21.2852" y1="2.3876" x2="19.9136" y2="3.1496" width="0" layer="19" extent="1-1"/>
<wire x1="26.4668" y1="5.9944" x2="21.2852" y2="2.3876" width="0" layer="19" extent="1-1"/>
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
<wire x1="72.0344" y1="49.6824" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
<wire x1="72.0344" y1="49.6824" x2="76.5556" y2="56.9976" width="0" layer="19" extent="1-1"/>
<wire x1="72.5424" y1="46.6344" x2="72.0344" y2="49.6824" width="0" layer="19" extent="1-1"/>
<wire x1="77.1906" y1="39.5224" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
<wire x1="78.867" y1="46.6344" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
<wire x1="77.1906" y1="39.5224" x2="78.867" y2="46.6344" width="0" layer="19" extent="1-1"/>
<wire x1="77.1144" y1="34.5948" x2="77.1906" y2="39.5224" width="0" layer="19" extent="1-1"/>
<wire x1="76.7956" y1="31.75" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
<wire x1="80.1624" y1="34.5948" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
@ -7126,7 +7146,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="15.1892" y1="68.9864" x2="10.7696" y2="68.6816" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="67.1322" x2="15.1892" y2="68.9864" width="0" layer="19" extent="1-1"/>
<wire x1="1.9304" y1="30.2006" x2="7.0104" y2="37.6428" width="0" layer="19" extent="1-1"/>
<wire x1="78.8416" y1="57.0484" x2="70.612" y2="60.8076" width="0" layer="19" extent="1-1"/>
<wire x1="88.3412" y1="83.2104" x2="78.473" y2="83.3436" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="72.9996" x2="78.473" y2="72.828" width="0" layer="19" extent="1-1"/>
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
@ -7148,10 +7167,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="63.8048" y1="31.1912" x2="63.6016" y2="33.2232" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="97.9932" x2="1.8288" y2="83.3628" width="0" layer="19" extent="1-1"/>
<wire x1="1.016" y1="98.9076" x2="1.016" y2="97.9932" width="0" layer="19" extent="1-1"/>
<via x="20.9804" y="44.9072" extent="1-16" drill="0.3"/>
<via x="20.9804" y="42.0116" extent="1-16" drill="0.3"/>
<via x="36.9824" y="55.4228" extent="1-16" drill="0.3"/>
<via x="36.9824" y="50.2412" extent="1-16" drill="0.3"/>
<wire x1="15.24" y1="42.037" x2="16.764" y2="42.037" width="0.1524" layer="1"/>
<wire x1="16.764" y1="42.037" x2="16.7894" y2="42.0624" width="0.1524" layer="1"/>
</signal>
<signal name="A27">
<wire x1="82.55" y1="69.2912" x2="75.3872" y2="69.2912" width="0.1524" layer="16"/>
@ -7185,9 +7202,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<signal name="AMIGA_BUS_EN_LOW">
<contactref element="IC6" pad="19"/>
<contactref element="IC5" pad="19"/>
<wire x1="75.3872" y1="28.3464" x2="77.4192" y2="28.3464" width="0.1524" layer="1"/>
<wire x1="77.4192" y1="28.3464" x2="78.0288" y2="28.956" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="28.956" x2="78.0288" y2="43.1292" width="0.1524" layer="1"/>
<wire x1="75.3872" y1="28.3464" x2="76.8096" y2="28.3464" width="0.1524" layer="1"/>
<wire x1="76.8096" y1="28.3464" x2="78.0288" y2="29.5656" width="0.1524" layer="1"/>
<wire x1="78.0288" y1="29.5656" x2="78.0288" y2="43.1292" width="0.1524" layer="1"/>
<contactref element="IC7" pad="20"/>
<wire x1="78.0288" y1="61.8744" x2="69.7484" y2="70.1548" width="0.1524" layer="1"/>
<wire x1="69.7484" y1="70.1548" x2="69.7484" y2="85.8012" width="0.1524" layer="1"/>
@ -8256,13 +8273,13 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
</signal>
</signals>
<errors>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,933d5dc68ee6b8e9"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,4daddc4e8f67e619"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,3849587e8adf1064"/>
<approved hash="18,30,933d5dc68ee6b8e9"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,93e4923c922593fd"/>
<approved hash="18,30,a0a8a9f4a989a0d5"/>
</errors>

View File

@ -2720,8 +2720,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<element name="R2" library="rcl" package="R0603" value="4,7k" x="74.2188" y="52.578" smashed="yes" rot="R180">
<attribute name="NAME" x="75.1586" y="54.3814" size="0.8128" layer="25" rot="R180"/>
</element>
<element name="R12" library="rcl" package="R0603" value="4,7k" x="64.1604" y="50.7492" smashed="yes">
<attribute name="NAME" x="63.2206" y="49.2506" size="0.8128" layer="25"/>
<element name="R12" library="rcl" package="R0603" value="4,7k" x="64.1604" y="52.8828" smashed="yes">
<attribute name="NAME" x="65.8114" y="52.6034" size="0.8128" layer="25"/>
</element>
<element name="R13" library="rcl" package="R0603" value="4,7k" x="79.0956" y="33.0708" smashed="yes" rot="R90">
<attribute name="NAME" x="78.359" y="31.9786" size="0.8128" layer="25" rot="R90"/>
@ -2738,8 +2738,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<element name="R20" library="rcl" package="R0603" value="4,7k" x="77.8764" y="25.7556" smashed="yes" rot="R270">
<attribute name="NAME" x="78.7146" y="26.8986" size="0.8128" layer="25" rot="R270"/>
</element>
<element name="R21" library="rcl" package="R0603" value="4,7k" x="64.1604" y="52.8828" smashed="yes">
<attribute name="NAME" x="65.8114" y="52.2986" size="0.8128" layer="25"/>
<element name="R21" library="rcl" package="R0603" value="4,7k" x="64.1604" y="55.0164" smashed="yes">
<attribute name="NAME" x="65.8114" y="54.4322" size="0.8128" layer="25"/>
</element>
<element name="RN2" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="71.628" smashed="yes" rot="R90">
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="71.628" size="1.778" layer="27" rot="R90" display="off"/>
@ -2912,6 +2912,9 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<element name="R23" library="rcl" package="R0603" value="4,7k" x="73.7616" y="49.0728" smashed="yes">
<attribute name="NAME" x="72.8218" y="50.0126" size="0.8128" layer="25"/>
</element>
<element name="R24" library="rcl" package="R0603" value="4,7k" x="64.1604" y="50.7492" smashed="yes">
<attribute name="NAME" x="63.373" y="49.2506" size="0.8128" layer="25"/>
</element>
</elements>
<signals>
<signal name="D0">
@ -5671,17 +5674,21 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<signal name="MMUDIS">
<contactref element="IC1" pad="F13"/>
<contactref element="R21" pad="1"/>
<wire x1="59.7662" y1="52.8828" x2="63.3104" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="59.7662" y1="52.8828" x2="60.5028" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="60.5028" y1="52.8828" x2="62.6364" y2="55.0164" width="0.1524" layer="1"/>
<wire x1="62.6364" y1="55.0164" x2="63.3104" y2="55.0164" width="0.1524" layer="1"/>
</signal>
<signal name="CDIS">
<contactref element="IC1" pad="H12"/>
<contactref element="R12" pad="1"/>
<wire x1="61.2648" y1="48.006" x2="61.2648" y2="49.8348" width="0.1524" layer="1"/>
<wire x1="61.2648" y1="49.8348" x2="62.1792" y2="50.7492" width="0.1524" layer="1"/>
<wire x1="62.1792" y1="50.7492" x2="63.3104" y2="50.7492" width="0.1524" layer="1"/>
<wire x1="61.2648" y1="48.006" x2="61.2648" y2="51.9684" width="0.1524" layer="1"/>
<wire x1="61.2648" y1="51.9684" x2="62.1792" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="62.1792" y1="52.8828" x2="63.3104" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="57.2262" y1="47.8028" x2="58.0898" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="58.0898" y1="46.9392" x2="60.198" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="60.198" y1="46.9392" x2="61.2648" y2="48.006" width="0.1524" layer="1"/>
<contactref element="R24" pad="1"/>
<wire x1="63.3104" y1="50.7492" x2="63.3104" y2="52.8828" width="0.1524" layer="1"/>
</signal>
<signal name="STATUS">
<contactref element="IC1" pad="J12"/>
@ -6233,7 +6240,7 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<contactref element="R20" pad="2"/>
<contactref element="R18" pad="1"/>
<contactref element="R19" pad="1"/>
<wire x1="65.0104" y1="50.7492" x2="65.0104" y2="52.8828" width="0.1524" layer="1"/>
<wire x1="65.0104" y1="52.8828" x2="65.0104" y2="55.0164" width="0.1524" layer="1"/>
<wire x1="42.5082" y1="56.8706" x2="41.9862" y2="57.3926" width="0.6096" layer="1"/>
<wire x1="41.9862" y1="57.3926" x2="41.9862" y2="60.5028" width="0.6096" layer="1"/>
<contactref element="C8" pad="1"/>
@ -6382,9 +6389,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="5.4864" y1="3.429" x2="5.4864" y2="1.7894" width="0.8128" layer="1"/>
<wire x1="5.4864" y1="1.7894" x2="5.3734" y2="1.6764" width="0.8128" layer="1"/>
<wire x1="10.9728" y1="37.214" x2="10.9728" y2="38.862" width="0.8128" layer="1"/>
<wire x1="65.0104" y1="52.8828" x2="65.0104" y2="54.4712" width="0.1524" layer="1"/>
<wire x1="65.0104" y1="54.4712" x2="64.4652" y2="55.0164" width="0.1524" layer="1"/>
<via x="64.4652" y="55.0164" extent="1-16" drill="0.3"/>
<wire x1="65.0104" y1="55.0164" x2="65.0104" y2="54.4712" width="0.1524" layer="1"/>
<via x="65.0748" y="57.7596" extent="1-16" drill="0.3"/>
<wire x1="75.3872" y1="44.6532" x2="75.3986" y2="44.6646" width="0.4064" layer="1"/>
<wire x1="75.3986" y1="44.6646" x2="75.3986" y2="46.609" width="0.4064" layer="1"/>
<wire x1="75.3986" y1="46.609" x2="75.424" y2="46.6344" width="0.4064" layer="1"/>
@ -6461,6 +6467,8 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="78.7908" y="28.194" extent="1-16" drill="0.3"/>
<wire x1="72.9116" y1="49.0728" x2="72.2376" y2="49.7468" width="0.1524" layer="1"/>
<wire x1="72.2376" y1="49.7468" x2="72.2376" y2="52.578" width="0.1524" layer="1"/>
<wire x1="65.0748" y1="57.7596" x2="65.0748" y2="55.0808" width="0.1524" layer="1"/>
<wire x1="65.0748" y1="55.0808" x2="65.0104" y2="55.0164" width="0.1524" layer="1"/>
</signal>
<signal name="GND">
<contactref element="IC2" pad="16"/>
@ -6594,8 +6602,6 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<wire x1="44.2896" y1="77.4132" x2="44.8678" y2="76.835" width="0.3048" layer="1"/>
<via x="62.992" y="58.0136" extent="1-16" drill="0.3"/>
<via x="69.7484" y="58.42" extent="1-16" drill="0.3"/>
<via x="62.8396" y="55.372" extent="1-16" drill="0.3"/>
<via x="65.4304" y="55.3212" extent="1-16" drill="0.3"/>
<via x="60.7568" y="86.5124" extent="1-16" drill="0.3"/>
<via x="46.5328" y="84.4804" extent="1-16" drill="0.3"/>
<via x="72.6948" y="84.328" extent="1-16" drill="0.3"/>
@ -6922,6 +6928,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
<via x="16.7894" y="42.0624" extent="1-16" drill="0.3"/>
<wire x1="15.24" y1="42.037" x2="16.764" y2="42.037" width="0.1524" layer="1"/>
<wire x1="16.764" y1="42.037" x2="16.7894" y2="42.0624" width="0.1524" layer="1"/>
<contactref element="R24" pad="2"/>
<wire x1="65.0104" y1="50.7492" x2="65.0104" y2="48.0704" width="0.1524" layer="1"/>
<wire x1="65.0104" y1="48.0704" x2="66.1416" y2="46.9392" width="0.1524" layer="1"/>
<wire x1="66.1416" y1="46.9392" x2="66.1416" y2="45.72" width="0.1524" layer="1"/>
<via x="66.1416" y="45.72" extent="1-16" drill="0.3"/>
</signal>
<signal name="A27">
<wire x1="82.55" y1="69.2912" x2="75.3872" y2="69.2912" width="0.1524" layer="16"/>
@ -7993,11 +8004,11 @@ minimale Strichstärke: &lt;b&gt;0.2 mm&lt;/b&gt;&lt;br&gt;&lt;br&gt;
</signals>
<errors>
<approved hash="18,30,4daddc4e8f67e619"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,1b42dc4e8e6fb74c"/>
<approved hash="18,30,933d5dc68ee6b8e9"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,c2185cc68efeee34"/>
<approved hash="18,30,f646dbc696e743f0"/>
<approved hash="18,30,a5d25a4e8a6e8dce"/>
<approved hash="18,30,a0a8a9f4a989a0d5"/>
<approved hash="18,30,93e4923c922593fd"/>
</errors>

View File

@ -10078,8 +10078,8 @@ Source: RS Component / Phycomp</description>
<part name="R19" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="R20" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="R21" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="RN1" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="RN2" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="RN1" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="C8" library="rcl" deviceset="C-EU" device="C1210" value="10µF"/>
<part name="IC7" library="amd-mach-Small-pad" deviceset="M4-128T100" device=""/>
<part name="C9" library="rcl" deviceset="C-EU" device="C0603K" value="100nF"/>
@ -10096,7 +10096,6 @@ Source: RS Component / Phycomp</description>
<part name="C21" library="rcl" deviceset="C-EU" device="C0603K" value="100nF"/>
<part name="C22" library="rcl" deviceset="C-EU" device="C0603K" value="100nF"/>
<part name="C23" library="rcl" deviceset="C-EU" device="C0603K" value="100nF"/>
<part name="RN3" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="R8" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="X1" library="con-vg" deviceset="FABC96R" device=""/>
<part name="IC4" library="74xx-eu" deviceset="74*245" device="DW" technology="HCT"/>
@ -10115,12 +10114,16 @@ Source: RS Component / Phycomp</description>
<part name="R14" library="rcl" deviceset="R-EU_" device="R0603"/>
<part name="R16" library="rcl" deviceset="R-EU_" device="R0603"/>
<part name="R17" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="RN3" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="RN4" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="RN5" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="RN6" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="RN7" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="RN8" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="RN9" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
<part name="R3" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="R9" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="R22" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="R23" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
</parts>
<sheets>
<sheet>
@ -10154,12 +10157,12 @@ Source: RS Component / Phycomp</description>
<instance part="C21" gate="G$1" x="-7.62" y="50.8"/>
<instance part="C22" gate="G$1" x="-15.24" y="50.8"/>
<instance part="C23" gate="G$1" x="-7.62" y="71.12"/>
<instance part="RN3" gate="A" x="-2.54" y="147.32"/>
<instance part="RN3" gate="B" x="-2.54" y="142.24"/>
<instance part="RN3" gate="C" x="-2.54" y="137.16"/>
<instance part="RN3" gate="D" x="-2.54" y="132.08"/>
<instance part="R8" gate="G$1" x="-2.54" y="121.92"/>
<instance part="R17" gate="G$1" x="-2.54" y="93.98"/>
<instance part="R3" gate="G$1" x="-2.54" y="147.32"/>
<instance part="R9" gate="G$1" x="-2.54" y="137.16"/>
<instance part="R22" gate="G$1" x="-2.54" y="132.08"/>
<instance part="R23" gate="G$1" x="-2.54" y="142.24"/>
</instances>
<busses>
<bus name="A[0..31]">
@ -11018,9 +11021,9 @@ Source: RS Component / Phycomp</description>
<label x="76.2" y="134.62" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN3" gate="B" pin="2"/>
<wire x1="2.54" y1="142.24" x2="7.62" y2="142.24" width="0.1524" layer="91"/>
<label x="7.62" y="142.24" size="1.27" layer="95"/>
<pinref part="R23" gate="G$1" pin="2"/>
</segment>
</net>
<net name="DSACK0" class="0">
@ -11035,9 +11038,9 @@ Source: RS Component / Phycomp</description>
<label x="76.2" y="139.7" size="1.27" layer="95" xref="yes"/>
</segment>
<segment>
<pinref part="RN3" gate="D" pin="2"/>
<wire x1="2.54" y1="132.08" x2="7.62" y2="132.08" width="0.1524" layer="91"/>
<label x="7.62" y="132.08" size="1.27" layer="95"/>
<pinref part="R22" gate="G$1" pin="2"/>
</segment>
</net>
<net name="SIZ0" class="0">
@ -11092,9 +11095,9 @@ Source: RS Component / Phycomp</description>
<label x="33.02" y="124.46" size="1.27" layer="95" rot="R180" xref="yes"/>
</segment>
<segment>
<pinref part="RN3" gate="C" pin="2"/>
<wire x1="2.54" y1="137.16" x2="7.62" y2="137.16" width="0.1524" layer="91"/>
<label x="7.62" y="137.16" size="1.27" layer="95"/>
<pinref part="R9" gate="G$1" pin="2"/>
</segment>
</net>
<net name="DS_30" class="0">
@ -11109,9 +11112,9 @@ Source: RS Component / Phycomp</description>
<label x="33.02" y="121.92" size="1.27" layer="95" rot="R180" xref="yes"/>
</segment>
<segment>
<pinref part="RN3" gate="A" pin="2"/>
<wire x1="2.54" y1="147.32" x2="7.62" y2="147.32" width="0.1524" layer="91"/>
<label x="7.62" y="147.32" size="1.27" layer="95"/>
<pinref part="R3" gate="G$1" pin="2"/>
</segment>
</net>
<net name="DBEN" class="0">
@ -11320,19 +11323,15 @@ Source: RS Component / Phycomp</description>
<junction x="-20.32" y="127"/>
<pinref part="R18" gate="G$1" pin="1"/>
<pinref part="R19" gate="G$1" pin="1"/>
<pinref part="RN3" gate="A" pin="1"/>
<wire x1="-7.62" y1="147.32" x2="-20.32" y2="147.32" width="0.1524" layer="91"/>
<wire x1="-20.32" y1="147.32" x2="-20.32" y2="142.24" width="0.1524" layer="91"/>
<pinref part="RN3" gate="B" pin="1"/>
<wire x1="-20.32" y1="142.24" x2="-20.32" y2="137.16" width="0.1524" layer="91"/>
<wire x1="-20.32" y1="137.16" x2="-20.32" y2="132.08" width="0.1524" layer="91"/>
<wire x1="-20.32" y1="132.08" x2="-20.32" y2="127" width="0.1524" layer="91"/>
<wire x1="-7.62" y1="142.24" x2="-20.32" y2="142.24" width="0.1524" layer="91"/>
<junction x="-20.32" y="142.24"/>
<pinref part="RN3" gate="C" pin="1"/>
<wire x1="-7.62" y1="137.16" x2="-20.32" y2="137.16" width="0.1524" layer="91"/>
<junction x="-20.32" y="137.16"/>
<pinref part="RN3" gate="D" pin="1"/>
<wire x1="-7.62" y1="132.08" x2="-20.32" y2="132.08" width="0.1524" layer="91"/>
<junction x="-20.32" y="132.08"/>
<pinref part="R8" gate="G$1" pin="1"/>
@ -11344,6 +11343,10 @@ Source: RS Component / Phycomp</description>
<wire x1="-20.32" y1="93.98" x2="-20.32" y2="101.6" width="0.1524" layer="91"/>
<wire x1="-7.62" y1="93.98" x2="-20.32" y2="93.98" width="0.1524" layer="91"/>
<junction x="-20.32" y="93.98"/>
<pinref part="R9" gate="G$1" pin="1"/>
<pinref part="R22" gate="G$1" pin="1"/>
<pinref part="R23" gate="G$1" pin="1"/>
<pinref part="R3" gate="G$1" pin="1"/>
</segment>
<segment>
<pinref part="C19" gate="G$1" pin="1"/>
@ -13368,38 +13371,38 @@ Source: RS Component / Phycomp</description>
<instance part="C26" gate="G$1" x="185.42" y="116.84"/>
<instance part="C27" gate="G$1" x="175.26" y="116.84"/>
<instance part="C28" gate="G$1" x="165.1" y="116.84"/>
<instance part="RN1" gate="A" x="96.52" y="45.72"/>
<instance part="RN1" gate="B" x="96.52" y="40.64"/>
<instance part="RN1" gate="C" x="96.52" y="35.56"/>
<instance part="RN1" gate="D" x="96.52" y="30.48"/>
<instance part="RN2" gate="A" x="96.52" y="25.4"/>
<instance part="RN2" gate="B" x="96.52" y="20.32"/>
<instance part="RN2" gate="C" x="96.52" y="15.24"/>
<instance part="RN2" gate="D" x="96.52" y="10.16"/>
<instance part="RN4" gate="A" x="96.52" y="66.04"/>
<instance part="RN4" gate="B" x="96.52" y="60.96"/>
<instance part="RN4" gate="C" x="96.52" y="55.88"/>
<instance part="RN4" gate="D" x="96.52" y="50.8"/>
<instance part="RN5" gate="A" x="96.52" y="86.36"/>
<instance part="RN5" gate="B" x="96.52" y="81.28"/>
<instance part="RN5" gate="C" x="96.52" y="76.2"/>
<instance part="RN5" gate="D" x="96.52" y="71.12"/>
<instance part="RN6" gate="A" x="96.52" y="106.68"/>
<instance part="RN6" gate="B" x="96.52" y="101.6"/>
<instance part="RN6" gate="C" x="96.52" y="96.52"/>
<instance part="RN6" gate="D" x="96.52" y="91.44"/>
<instance part="RN7" gate="A" x="96.52" y="127"/>
<instance part="RN7" gate="B" x="96.52" y="121.92"/>
<instance part="RN7" gate="C" x="96.52" y="116.84"/>
<instance part="RN7" gate="D" x="96.52" y="111.76"/>
<instance part="RN8" gate="A" x="96.52" y="147.32"/>
<instance part="RN8" gate="B" x="96.52" y="142.24"/>
<instance part="RN8" gate="C" x="96.52" y="137.16"/>
<instance part="RN8" gate="D" x="96.52" y="132.08"/>
<instance part="RN9" gate="A" x="96.52" y="167.64"/>
<instance part="RN9" gate="B" x="96.52" y="162.56"/>
<instance part="RN9" gate="C" x="96.52" y="157.48"/>
<instance part="RN9" gate="D" x="96.52" y="152.4"/>
<instance part="RN2" gate="A" x="96.52" y="45.72"/>
<instance part="RN2" gate="B" x="96.52" y="40.64"/>
<instance part="RN2" gate="C" x="96.52" y="35.56"/>
<instance part="RN2" gate="D" x="96.52" y="30.48"/>
<instance part="RN1" gate="A" x="96.52" y="25.4"/>
<instance part="RN1" gate="B" x="96.52" y="20.32"/>
<instance part="RN1" gate="C" x="96.52" y="15.24"/>
<instance part="RN1" gate="D" x="96.52" y="10.16"/>
<instance part="RN3" gate="A" x="96.52" y="66.04"/>
<instance part="RN3" gate="B" x="96.52" y="60.96"/>
<instance part="RN3" gate="C" x="96.52" y="55.88"/>
<instance part="RN3" gate="D" x="96.52" y="50.8"/>
<instance part="RN4" gate="A" x="96.52" y="86.36"/>
<instance part="RN4" gate="B" x="96.52" y="81.28"/>
<instance part="RN4" gate="C" x="96.52" y="76.2"/>
<instance part="RN4" gate="D" x="96.52" y="71.12"/>
<instance part="RN5" gate="A" x="96.52" y="106.68"/>
<instance part="RN5" gate="B" x="96.52" y="101.6"/>
<instance part="RN5" gate="C" x="96.52" y="96.52"/>
<instance part="RN5" gate="D" x="96.52" y="91.44"/>
<instance part="RN6" gate="A" x="96.52" y="127"/>
<instance part="RN6" gate="B" x="96.52" y="121.92"/>
<instance part="RN6" gate="C" x="96.52" y="116.84"/>
<instance part="RN6" gate="D" x="96.52" y="111.76"/>
<instance part="RN7" gate="A" x="96.52" y="147.32"/>
<instance part="RN7" gate="B" x="96.52" y="142.24"/>
<instance part="RN7" gate="C" x="96.52" y="137.16"/>
<instance part="RN7" gate="D" x="96.52" y="132.08"/>
<instance part="RN8" gate="A" x="96.52" y="167.64"/>
<instance part="RN8" gate="B" x="96.52" y="162.56"/>
<instance part="RN8" gate="C" x="96.52" y="157.48"/>
<instance part="RN8" gate="D" x="96.52" y="152.4"/>
</instances>
<busses>
<bus name="A[0..31]">
@ -13715,98 +13718,98 @@ Source: RS Component / Phycomp</description>
<segment>
<label x="101.6" y="5.08" size="1.27" layer="95" xref="yes"/>
<wire x1="101.6" y1="50.8" x2="101.6" y2="45.72" width="0.1524" layer="91"/>
<pinref part="RN1" gate="A" pin="2"/>
<pinref part="RN2" gate="A" pin="2"/>
<wire x1="101.6" y1="45.72" x2="101.6" y2="40.64" width="0.1524" layer="91"/>
<junction x="101.6" y="45.72"/>
<pinref part="RN1" gate="B" pin="2"/>
<pinref part="RN2" gate="B" pin="2"/>
<wire x1="101.6" y1="40.64" x2="101.6" y2="35.56" width="0.1524" layer="91"/>
<junction x="101.6" y="40.64"/>
<pinref part="RN1" gate="C" pin="2"/>
<pinref part="RN2" gate="C" pin="2"/>
<wire x1="101.6" y1="35.56" x2="101.6" y2="30.48" width="0.1524" layer="91"/>
<junction x="101.6" y="35.56"/>
<pinref part="RN1" gate="D" pin="2"/>
<pinref part="RN2" gate="D" pin="2"/>
<wire x1="101.6" y1="30.48" x2="101.6" y2="25.4" width="0.1524" layer="91"/>
<junction x="101.6" y="30.48"/>
<pinref part="RN2" gate="A" pin="2"/>
<pinref part="RN1" gate="A" pin="2"/>
<wire x1="101.6" y1="25.4" x2="101.6" y2="20.32" width="0.1524" layer="91"/>
<junction x="101.6" y="25.4"/>
<pinref part="RN2" gate="B" pin="2"/>
<pinref part="RN1" gate="B" pin="2"/>
<wire x1="101.6" y1="20.32" x2="101.6" y2="15.24" width="0.1524" layer="91"/>
<junction x="101.6" y="20.32"/>
<pinref part="RN2" gate="C" pin="2"/>
<pinref part="RN1" gate="C" pin="2"/>
<wire x1="101.6" y1="15.24" x2="101.6" y2="10.16" width="0.1524" layer="91"/>
<junction x="101.6" y="15.24"/>
<pinref part="RN2" gate="D" pin="2"/>
<pinref part="RN1" gate="D" pin="2"/>
<wire x1="101.6" y1="10.16" x2="101.6" y2="5.08" width="0.1524" layer="91"/>
<junction x="101.6" y="10.16"/>
<pinref part="RN4" gate="D" pin="2"/>
<pinref part="RN4" gate="C" pin="2"/>
<pinref part="RN3" gate="D" pin="2"/>
<pinref part="RN3" gate="C" pin="2"/>
<wire x1="101.6" y1="50.8" x2="101.6" y2="55.88" width="0.1524" layer="91"/>
<junction x="101.6" y="50.8"/>
<pinref part="RN4" gate="B" pin="2"/>
<pinref part="RN3" gate="B" pin="2"/>
<wire x1="101.6" y1="55.88" x2="101.6" y2="60.96" width="0.1524" layer="91"/>
<junction x="101.6" y="55.88"/>
<pinref part="RN4" gate="A" pin="2"/>
<pinref part="RN3" gate="A" pin="2"/>
<wire x1="101.6" y1="60.96" x2="101.6" y2="66.04" width="0.1524" layer="91"/>
<junction x="101.6" y="60.96"/>
<pinref part="RN7" gate="A" pin="2"/>
<pinref part="RN7" gate="B" pin="2"/>
<pinref part="RN6" gate="A" pin="2"/>
<pinref part="RN6" gate="B" pin="2"/>
<wire x1="101.6" y1="127" x2="101.6" y2="121.92" width="0.1524" layer="91"/>
<pinref part="RN7" gate="C" pin="2"/>
<pinref part="RN6" gate="C" pin="2"/>
<wire x1="101.6" y1="121.92" x2="101.6" y2="116.84" width="0.1524" layer="91"/>
<junction x="101.6" y="121.92"/>
<pinref part="RN7" gate="D" pin="2"/>
<pinref part="RN6" gate="D" pin="2"/>
<wire x1="101.6" y1="116.84" x2="101.6" y2="111.76" width="0.1524" layer="91"/>
<junction x="101.6" y="116.84"/>
<pinref part="RN6" gate="A" pin="2"/>
<pinref part="RN5" gate="A" pin="2"/>
<wire x1="101.6" y1="111.76" x2="101.6" y2="106.68" width="0.1524" layer="91"/>
<junction x="101.6" y="111.76"/>
<pinref part="RN6" gate="B" pin="2"/>
<pinref part="RN5" gate="B" pin="2"/>
<wire x1="101.6" y1="106.68" x2="101.6" y2="101.6" width="0.1524" layer="91"/>
<junction x="101.6" y="106.68"/>
<pinref part="RN6" gate="C" pin="2"/>
<pinref part="RN5" gate="C" pin="2"/>
<wire x1="101.6" y1="101.6" x2="101.6" y2="96.52" width="0.1524" layer="91"/>
<junction x="101.6" y="101.6"/>
<pinref part="RN6" gate="D" pin="2"/>
<pinref part="RN5" gate="D" pin="2"/>
<wire x1="101.6" y1="96.52" x2="101.6" y2="91.44" width="0.1524" layer="91"/>
<junction x="101.6" y="96.52"/>
<pinref part="RN5" gate="A" pin="2"/>
<pinref part="RN4" gate="A" pin="2"/>
<wire x1="101.6" y1="91.44" x2="101.6" y2="86.36" width="0.1524" layer="91"/>
<junction x="101.6" y="91.44"/>
<pinref part="RN5" gate="B" pin="2"/>
<pinref part="RN4" gate="B" pin="2"/>
<wire x1="101.6" y1="86.36" x2="101.6" y2="81.28" width="0.1524" layer="91"/>
<junction x="101.6" y="86.36"/>
<pinref part="RN5" gate="C" pin="2"/>
<pinref part="RN4" gate="C" pin="2"/>
<wire x1="101.6" y1="81.28" x2="101.6" y2="76.2" width="0.1524" layer="91"/>
<junction x="101.6" y="81.28"/>
<pinref part="RN5" gate="D" pin="2"/>
<pinref part="RN4" gate="D" pin="2"/>
<wire x1="101.6" y1="76.2" x2="101.6" y2="71.12" width="0.1524" layer="91"/>
<junction x="101.6" y="76.2"/>
<wire x1="101.6" y1="71.12" x2="101.6" y2="66.04" width="0.1524" layer="91"/>
<junction x="101.6" y="71.12"/>
<junction x="101.6" y="66.04"/>
<pinref part="RN8" gate="D" pin="2"/>
<pinref part="RN7" gate="D" pin="2"/>
<wire x1="101.6" y1="127" x2="101.6" y2="132.08" width="0.1524" layer="91"/>
<junction x="101.6" y="127"/>
<pinref part="RN8" gate="C" pin="2"/>
<pinref part="RN7" gate="C" pin="2"/>
<wire x1="101.6" y1="132.08" x2="101.6" y2="137.16" width="0.1524" layer="91"/>
<junction x="101.6" y="132.08"/>
<pinref part="RN8" gate="B" pin="2"/>
<pinref part="RN7" gate="B" pin="2"/>
<wire x1="101.6" y1="137.16" x2="101.6" y2="142.24" width="0.1524" layer="91"/>
<junction x="101.6" y="137.16"/>
<pinref part="RN8" gate="A" pin="2"/>
<pinref part="RN7" gate="A" pin="2"/>
<wire x1="101.6" y1="142.24" x2="101.6" y2="147.32" width="0.1524" layer="91"/>
<junction x="101.6" y="142.24"/>
<pinref part="RN9" gate="D" pin="2"/>
<pinref part="RN8" gate="D" pin="2"/>
<wire x1="101.6" y1="147.32" x2="101.6" y2="152.4" width="0.1524" layer="91"/>
<junction x="101.6" y="147.32"/>
<pinref part="RN9" gate="C" pin="2"/>
<pinref part="RN8" gate="C" pin="2"/>
<wire x1="101.6" y1="152.4" x2="101.6" y2="157.48" width="0.1524" layer="91"/>
<junction x="101.6" y="152.4"/>
<pinref part="RN9" gate="B" pin="2"/>
<pinref part="RN8" gate="B" pin="2"/>
<wire x1="101.6" y1="157.48" x2="101.6" y2="162.56" width="0.1524" layer="91"/>
<junction x="101.6" y="157.48"/>
<pinref part="RN9" gate="A" pin="2"/>
<pinref part="RN8" gate="A" pin="2"/>
<wire x1="101.6" y1="162.56" x2="101.6" y2="167.64" width="0.1524" layer="91"/>
<junction x="101.6" y="162.56"/>
</segment>
@ -13924,7 +13927,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="83.82" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN4" gate="C" pin="1"/>
<pinref part="RN3" gate="C" pin="1"/>
<wire x1="91.44" y1="55.88" x2="86.36" y2="55.88" width="0.1524" layer="91"/>
<label x="88.9" y="55.88" size="1.27" layer="95" rot="R180"/>
</segment>
@ -13936,7 +13939,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="81.28" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN4" gate="B" pin="1"/>
<pinref part="RN3" gate="B" pin="1"/>
<wire x1="91.44" y1="60.96" x2="86.36" y2="60.96" width="0.1524" layer="91"/>
<label x="88.9" y="60.96" size="1.27" layer="95" rot="R180"/>
</segment>
@ -13948,7 +13951,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="78.74" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN4" gate="A" pin="1"/>
<pinref part="RN3" gate="A" pin="1"/>
<wire x1="91.44" y1="66.04" x2="86.36" y2="66.04" width="0.1524" layer="91"/>
<label x="88.9" y="66.04" size="1.27" layer="95" rot="R180"/>
</segment>
@ -13960,7 +13963,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="76.2" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN5" gate="B" pin="1"/>
<pinref part="RN4" gate="B" pin="1"/>
<wire x1="91.44" y1="81.28" x2="86.36" y2="81.28" width="0.1524" layer="91"/>
<label x="88.9" y="81.28" size="1.27" layer="95" rot="R180"/>
</segment>
@ -13972,7 +13975,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="73.66" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN5" gate="A" pin="1"/>
<pinref part="RN4" gate="A" pin="1"/>
<wire x1="91.44" y1="86.36" x2="86.36" y2="86.36" width="0.1524" layer="91"/>
<label x="88.9" y="86.36" size="1.27" layer="95" rot="R180"/>
</segment>
@ -13984,7 +13987,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="71.12" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN4" gate="D" pin="1"/>
<pinref part="RN3" gate="D" pin="1"/>
<wire x1="91.44" y1="50.8" x2="86.36" y2="50.8" width="0.1524" layer="91"/>
<label x="88.9" y="50.8" size="1.27" layer="95" rot="R180"/>
</segment>
@ -13996,7 +13999,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="68.58" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN6" gate="A" pin="1"/>
<pinref part="RN5" gate="A" pin="1"/>
<wire x1="91.44" y1="106.68" x2="86.36" y2="106.68" width="0.1524" layer="91"/>
<label x="88.9" y="106.68" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14057,7 +14060,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="124.46" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN5" gate="D" pin="1"/>
<pinref part="RN4" gate="D" pin="1"/>
<wire x1="91.44" y1="71.12" x2="86.36" y2="71.12" width="0.1524" layer="91"/>
<label x="88.9" y="71.12" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14069,7 +14072,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="121.92" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN5" gate="C" pin="1"/>
<pinref part="RN4" gate="C" pin="1"/>
<wire x1="91.44" y1="76.2" x2="86.36" y2="76.2" width="0.1524" layer="91"/>
<label x="88.9" y="76.2" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14081,7 +14084,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="119.38" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN6" gate="D" pin="1"/>
<pinref part="RN5" gate="D" pin="1"/>
<wire x1="91.44" y1="91.44" x2="86.36" y2="91.44" width="0.1524" layer="91"/>
<label x="88.9" y="91.44" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14093,7 +14096,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="116.84" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN6" gate="C" pin="1"/>
<pinref part="RN5" gate="C" pin="1"/>
<wire x1="91.44" y1="96.52" x2="86.36" y2="96.52" width="0.1524" layer="91"/>
<label x="88.9" y="96.52" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14105,7 +14108,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="114.3" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN6" gate="B" pin="1"/>
<pinref part="RN5" gate="B" pin="1"/>
<wire x1="91.44" y1="101.6" x2="86.36" y2="101.6" width="0.1524" layer="91"/>
<label x="88.9" y="101.6" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14117,7 +14120,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="111.76" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN7" gate="C" pin="1"/>
<pinref part="RN6" gate="C" pin="1"/>
<wire x1="91.44" y1="116.84" x2="86.36" y2="116.84" width="0.1524" layer="91"/>
<label x="88.9" y="116.84" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14129,7 +14132,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="109.22" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN7" gate="B" pin="1"/>
<pinref part="RN6" gate="B" pin="1"/>
<wire x1="91.44" y1="121.92" x2="86.36" y2="121.92" width="0.1524" layer="91"/>
<label x="88.9" y="121.92" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14141,7 +14144,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="106.68" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN7" gate="A" pin="1"/>
<pinref part="RN6" gate="A" pin="1"/>
<wire x1="91.44" y1="127" x2="86.36" y2="127" width="0.1524" layer="91"/>
<label x="88.9" y="127" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14153,7 +14156,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="147.32" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN9" gate="C" pin="1"/>
<pinref part="RN8" gate="C" pin="1"/>
<wire x1="91.44" y1="157.48" x2="86.36" y2="157.48" width="0.1524" layer="91"/>
<label x="88.9" y="157.48" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14165,7 +14168,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="149.86" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN7" gate="D" pin="1"/>
<pinref part="RN6" gate="D" pin="1"/>
<wire x1="91.44" y1="111.76" x2="86.36" y2="111.76" width="0.1524" layer="91"/>
<label x="88.9" y="111.76" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14177,7 +14180,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="152.4" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN8" gate="A" pin="1"/>
<pinref part="RN7" gate="A" pin="1"/>
<wire x1="91.44" y1="147.32" x2="86.36" y2="147.32" width="0.1524" layer="91"/>
<label x="88.9" y="147.32" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14189,7 +14192,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="154.94" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN8" gate="B" pin="1"/>
<pinref part="RN7" gate="B" pin="1"/>
<wire x1="91.44" y1="142.24" x2="86.36" y2="142.24" width="0.1524" layer="91"/>
<label x="88.9" y="142.24" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14441,7 +14444,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="157.48" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN8" gate="D" pin="1"/>
<pinref part="RN7" gate="D" pin="1"/>
<wire x1="91.44" y1="132.08" x2="86.36" y2="132.08" width="0.1524" layer="91"/>
<label x="88.9" y="132.08" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14453,7 +14456,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="160.02" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN8" gate="C" pin="1"/>
<pinref part="RN7" gate="C" pin="1"/>
<wire x1="91.44" y1="137.16" x2="86.36" y2="137.16" width="0.1524" layer="91"/>
<label x="88.9" y="137.16" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14465,7 +14468,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="162.56" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN9" gate="D" pin="1"/>
<pinref part="RN8" gate="D" pin="1"/>
<wire x1="91.44" y1="152.4" x2="86.36" y2="152.4" width="0.1524" layer="91"/>
<label x="88.9" y="152.4" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14477,7 +14480,7 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="165.1" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN9" gate="B" pin="1"/>
<pinref part="RN8" gate="B" pin="1"/>
<wire x1="91.44" y1="162.56" x2="86.36" y2="162.56" width="0.1524" layer="91"/>
<label x="88.9" y="162.56" size="1.27" layer="95" rot="R180"/>
</segment>
@ -14565,63 +14568,63 @@ Source: RS Component / Phycomp</description>
</net>
<net name="A0" class="0">
<segment>
<pinref part="RN9" gate="A" pin="1"/>
<pinref part="RN8" gate="A" pin="1"/>
<wire x1="91.44" y1="167.64" x2="86.36" y2="167.64" width="0.1524" layer="91"/>
<label x="88.9" y="167.64" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A26" class="0">
<segment>
<pinref part="RN1" gate="D" pin="1"/>
<pinref part="RN2" gate="D" pin="1"/>
<wire x1="91.44" y1="30.48" x2="86.36" y2="30.48" width="0.1524" layer="91"/>
<label x="88.9" y="30.48" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A25" class="0">
<segment>
<pinref part="RN1" gate="C" pin="1"/>
<pinref part="RN2" gate="C" pin="1"/>
<wire x1="91.44" y1="35.56" x2="86.36" y2="35.56" width="0.1524" layer="91"/>
<label x="88.9" y="35.56" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A24" class="0">
<segment>
<pinref part="RN1" gate="B" pin="1"/>
<pinref part="RN2" gate="B" pin="1"/>
<wire x1="91.44" y1="40.64" x2="86.36" y2="40.64" width="0.1524" layer="91"/>
<label x="88.9" y="40.64" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A29" class="0">
<segment>
<pinref part="RN1" gate="A" pin="1"/>
<pinref part="RN2" gate="A" pin="1"/>
<wire x1="91.44" y1="45.72" x2="86.36" y2="45.72" width="0.1524" layer="91"/>
<label x="88.9" y="45.72" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A28" class="0">
<segment>
<pinref part="RN2" gate="D" pin="1"/>
<pinref part="RN1" gate="D" pin="1"/>
<wire x1="91.44" y1="10.16" x2="86.36" y2="10.16" width="0.1524" layer="91"/>
<label x="88.9" y="10.16" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A27" class="0">
<segment>
<pinref part="RN2" gate="C" pin="1"/>
<pinref part="RN1" gate="C" pin="1"/>
<wire x1="91.44" y1="15.24" x2="86.36" y2="15.24" width="0.1524" layer="91"/>
<label x="88.9" y="15.24" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A31" class="0">
<segment>
<pinref part="RN2" gate="B" pin="1"/>
<pinref part="RN1" gate="B" pin="1"/>
<wire x1="91.44" y1="20.32" x2="86.36" y2="20.32" width="0.1524" layer="91"/>
<label x="88.9" y="20.32" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A30" class="0">
<segment>
<pinref part="RN2" gate="A" pin="1"/>
<pinref part="RN1" gate="A" pin="1"/>
<wire x1="91.44" y1="25.4" x2="86.36" y2="25.4" width="0.1524" layer="91"/>
<label x="88.9" y="25.4" size="1.27" layer="95" rot="R180"/>
</segment>

View File

@ -11018,9 +11018,9 @@ Source: RS Component / Phycomp</description>
<label x="76.2" y="134.62" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN3" gate="D" pin="2"/>
<wire x1="2.54" y1="132.08" x2="7.62" y2="132.08" width="0.1524" layer="91"/>
<label x="7.62" y="132.08" size="1.27" layer="95"/>
<pinref part="RN3" gate="B" pin="2"/>
<wire x1="2.54" y1="142.24" x2="7.62" y2="142.24" width="0.1524" layer="91"/>
<label x="7.62" y="142.24" size="1.27" layer="95"/>
</segment>
</net>
<net name="DSACK0" class="0">
@ -11035,9 +11035,9 @@ Source: RS Component / Phycomp</description>
<label x="76.2" y="139.7" size="1.27" layer="95" xref="yes"/>
</segment>
<segment>
<pinref part="RN3" gate="C" pin="2"/>
<wire x1="2.54" y1="137.16" x2="7.62" y2="137.16" width="0.1524" layer="91"/>
<label x="7.62" y="137.16" size="1.27" layer="95"/>
<pinref part="RN3" gate="D" pin="2"/>
<wire x1="2.54" y1="132.08" x2="7.62" y2="132.08" width="0.1524" layer="91"/>
<label x="7.62" y="132.08" size="1.27" layer="95"/>
</segment>
</net>
<net name="SIZ0" class="0">
@ -11092,9 +11092,9 @@ Source: RS Component / Phycomp</description>
<label x="33.02" y="124.46" size="1.27" layer="95" rot="R180" xref="yes"/>
</segment>
<segment>
<pinref part="RN3" gate="B" pin="2"/>
<wire x1="2.54" y1="142.24" x2="7.62" y2="142.24" width="0.1524" layer="91"/>
<label x="7.62" y="142.24" size="1.27" layer="95"/>
<pinref part="RN3" gate="C" pin="2"/>
<wire x1="2.54" y1="137.16" x2="7.62" y2="137.16" width="0.1524" layer="91"/>
<label x="7.62" y="137.16" size="1.27" layer="95"/>
</segment>
</net>
<net name="DS_30" class="0">
@ -12680,11 +12680,6 @@ Source: RS Component / Phycomp</description>
<pinref part="X1" gate="-A9" pin="B"/>
<wire x1="236.22" y1="86.36" x2="238.76" y2="86.36" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="IC7" gate="G$1" pin="IO58"/>
<wire x1="134.62" y1="116.84" x2="134.62" y2="121.92" width="0.1524" layer="91"/>
<label x="134.62" y="121.92" size="1.016" layer="95" rot="R90"/>
</segment>
</net>
<net name="BR_30" class="0">
<segment>
@ -13338,6 +13333,13 @@ Source: RS Component / Phycomp</description>
<label x="124.46" y="27.94" size="1.27" layer="95" rot="R270"/>
</segment>
</net>
<net name="R/W_00" class="0">
<segment>
<pinref part="IC7" gate="G$1" pin="IO58"/>
<wire x1="134.62" y1="116.84" x2="134.62" y2="121.92" width="0.1524" layer="91"/>
<label x="134.62" y="121.92" size="1.016" layer="95" rot="R90"/>
</segment>
</net>
</nets>
</sheet>
<sheet>
@ -13808,6 +13810,11 @@ Source: RS Component / Phycomp</description>
<wire x1="101.6" y1="162.56" x2="101.6" y2="167.64" width="0.1524" layer="91"/>
<junction x="101.6" y="162.56"/>
</segment>
<segment>
<pinref part="IC9" gate="A" pin="A1"/>
<wire x1="40.64" y1="86.36" x2="43.18" y2="86.36" width="0.1524" layer="91"/>
<label x="43.18" y="86.36" size="1.27" layer="95"/>
</segment>
</net>
<net name="VCC" class="0">
<segment>
@ -13994,13 +14001,6 @@ Source: RS Component / Phycomp</description>
<label x="88.9" y="106.68" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="R/W_00" class="0">
<segment>
<pinref part="IC9" gate="A" pin="B1"/>
<wire x1="15.24" y1="86.36" x2="12.7" y2="86.36" width="0.1524" layer="91"/>
<label x="12.7" y="86.36" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="AMIGA_A23" class="0">
<segment>
<pinref part="IC9" gate="A" pin="B2"/>
@ -14563,13 +14563,6 @@ Source: RS Component / Phycomp</description>
<label x="45.72" y="60.96" size="1.27" layer="95"/>
</segment>
</net>
<net name="R/W" class="0">
<segment>
<pinref part="IC9" gate="A" pin="A1"/>
<wire x1="40.64" y1="86.36" x2="45.72" y2="86.36" width="0.1524" layer="91"/>
<label x="45.72" y="86.36" size="1.27" layer="95"/>
</segment>
</net>
<net name="A0" class="0">
<segment>
<pinref part="RN9" gate="A" pin="1"/>

View File

@ -13917,9 +13917,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="83.82" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN4" gate="A" pin="1"/>
<wire x1="91.44" y1="66.04" x2="86.36" y2="66.04" width="0.1524" layer="91"/>
<label x="88.9" y="66.04" size="1.27" layer="95" rot="R180"/>
<pinref part="RN4" gate="C" pin="1"/>
<wire x1="91.44" y1="55.88" x2="86.36" y2="55.88" width="0.1524" layer="91"/>
<label x="88.9" y="55.88" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A22" class="0">
@ -13941,9 +13941,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="78.74" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN4" gate="C" pin="1"/>
<wire x1="91.44" y1="55.88" x2="86.36" y2="55.88" width="0.1524" layer="91"/>
<label x="88.9" y="55.88" size="1.27" layer="95" rot="R180"/>
<pinref part="RN4" gate="A" pin="1"/>
<wire x1="91.44" y1="66.04" x2="86.36" y2="66.04" width="0.1524" layer="91"/>
<label x="88.9" y="66.04" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A20" class="0">
@ -13953,9 +13953,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="76.2" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN4" gate="D" pin="1"/>
<wire x1="91.44" y1="50.8" x2="86.36" y2="50.8" width="0.1524" layer="91"/>
<label x="88.9" y="50.8" size="1.27" layer="95" rot="R180"/>
<pinref part="RN5" gate="B" pin="1"/>
<wire x1="91.44" y1="81.28" x2="86.36" y2="81.28" width="0.1524" layer="91"/>
<label x="88.9" y="81.28" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A19" class="0">
@ -13977,9 +13977,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="71.12" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN5" gate="B" pin="1"/>
<wire x1="91.44" y1="81.28" x2="86.36" y2="81.28" width="0.1524" layer="91"/>
<label x="88.9" y="81.28" size="1.27" layer="95" rot="R180"/>
<pinref part="RN4" gate="D" pin="1"/>
<wire x1="91.44" y1="50.8" x2="86.36" y2="50.8" width="0.1524" layer="91"/>
<label x="88.9" y="50.8" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A17" class="0">
@ -13989,9 +13989,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="68.58" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN5" gate="C" pin="1"/>
<wire x1="91.44" y1="76.2" x2="86.36" y2="76.2" width="0.1524" layer="91"/>
<label x="88.9" y="76.2" size="1.27" layer="95" rot="R180"/>
<pinref part="RN6" gate="A" pin="1"/>
<wire x1="91.44" y1="106.68" x2="86.36" y2="106.68" width="0.1524" layer="91"/>
<label x="88.9" y="106.68" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="R/W_00" class="0">
@ -14069,9 +14069,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="121.92" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN6" gate="A" pin="1"/>
<wire x1="91.44" y1="106.68" x2="86.36" y2="106.68" width="0.1524" layer="91"/>
<label x="88.9" y="106.68" size="1.27" layer="95" rot="R180"/>
<pinref part="RN5" gate="C" pin="1"/>
<wire x1="91.44" y1="76.2" x2="86.36" y2="76.2" width="0.1524" layer="91"/>
<label x="88.9" y="76.2" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A14" class="0">
@ -14081,9 +14081,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="119.38" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN6" gate="B" pin="1"/>
<wire x1="91.44" y1="101.6" x2="86.36" y2="101.6" width="0.1524" layer="91"/>
<label x="88.9" y="101.6" size="1.27" layer="95" rot="R180"/>
<pinref part="RN6" gate="D" pin="1"/>
<wire x1="91.44" y1="91.44" x2="86.36" y2="91.44" width="0.1524" layer="91"/>
<label x="88.9" y="91.44" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A13" class="0">
@ -14105,9 +14105,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="114.3" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN6" gate="D" pin="1"/>
<wire x1="91.44" y1="91.44" x2="86.36" y2="91.44" width="0.1524" layer="91"/>
<label x="88.9" y="91.44" size="1.27" layer="95" rot="R180"/>
<pinref part="RN6" gate="B" pin="1"/>
<wire x1="91.44" y1="101.6" x2="86.36" y2="101.6" width="0.1524" layer="91"/>
<label x="88.9" y="101.6" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A11" class="0">
@ -14117,9 +14117,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="111.76" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN7" gate="A" pin="1"/>
<wire x1="91.44" y1="127" x2="86.36" y2="127" width="0.1524" layer="91"/>
<label x="88.9" y="127" size="1.27" layer="95" rot="R180"/>
<pinref part="RN7" gate="C" pin="1"/>
<wire x1="91.44" y1="116.84" x2="86.36" y2="116.84" width="0.1524" layer="91"/>
<label x="88.9" y="116.84" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A10" class="0">
@ -14141,9 +14141,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="106.68" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN7" gate="C" pin="1"/>
<wire x1="91.44" y1="116.84" x2="86.36" y2="116.84" width="0.1524" layer="91"/>
<label x="88.9" y="116.84" size="1.27" layer="95" rot="R180"/>
<pinref part="RN7" gate="A" pin="1"/>
<wire x1="91.44" y1="127" x2="86.36" y2="127" width="0.1524" layer="91"/>
<label x="88.9" y="127" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A5" class="0">
@ -14153,9 +14153,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="147.32" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN8" gate="C" pin="1"/>
<wire x1="91.44" y1="137.16" x2="86.36" y2="137.16" width="0.1524" layer="91"/>
<label x="88.9" y="137.16" size="1.27" layer="95" rot="R180"/>
<pinref part="RN9" gate="C" pin="1"/>
<wire x1="91.44" y1="157.48" x2="86.36" y2="157.48" width="0.1524" layer="91"/>
<label x="88.9" y="157.48" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A6" class="0">
@ -14165,9 +14165,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="149.86" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN8" gate="B" pin="1"/>
<wire x1="91.44" y1="142.24" x2="86.36" y2="142.24" width="0.1524" layer="91"/>
<label x="88.9" y="142.24" size="1.27" layer="95" rot="R180"/>
<pinref part="RN7" gate="D" pin="1"/>
<wire x1="91.44" y1="111.76" x2="86.36" y2="111.76" width="0.1524" layer="91"/>
<label x="88.9" y="111.76" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A7" class="0">
@ -14189,9 +14189,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="154.94" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN7" gate="D" pin="1"/>
<wire x1="91.44" y1="111.76" x2="86.36" y2="111.76" width="0.1524" layer="91"/>
<label x="88.9" y="111.76" size="1.27" layer="95" rot="R180"/>
<pinref part="RN8" gate="B" pin="1"/>
<wire x1="91.44" y1="142.24" x2="86.36" y2="142.24" width="0.1524" layer="91"/>
<label x="88.9" y="142.24" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="AMIGA_A4" class="0">
@ -14453,9 +14453,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="160.02" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN9" gate="A" pin="1"/>
<wire x1="91.44" y1="167.64" x2="86.36" y2="167.64" width="0.1524" layer="91"/>
<label x="88.9" y="167.64" size="1.27" layer="95" rot="R180"/>
<pinref part="RN8" gate="C" pin="1"/>
<wire x1="91.44" y1="137.16" x2="86.36" y2="137.16" width="0.1524" layer="91"/>
<label x="88.9" y="137.16" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A2" class="0">
@ -14465,9 +14465,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="162.56" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN9" gate="B" pin="1"/>
<wire x1="91.44" y1="162.56" x2="86.36" y2="162.56" width="0.1524" layer="91"/>
<label x="88.9" y="162.56" size="1.27" layer="95" rot="R180"/>
<pinref part="RN9" gate="D" pin="1"/>
<wire x1="91.44" y1="152.4" x2="86.36" y2="152.4" width="0.1524" layer="91"/>
<label x="88.9" y="152.4" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A1" class="0">
@ -14477,9 +14477,9 @@ Source: RS Component / Phycomp</description>
<label x="53.34" y="165.1" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="RN9" gate="C" pin="1"/>
<wire x1="91.44" y1="157.48" x2="86.36" y2="157.48" width="0.1524" layer="91"/>
<label x="88.9" y="157.48" size="1.27" layer="95" rot="R180"/>
<pinref part="RN9" gate="B" pin="1"/>
<wire x1="91.44" y1="162.56" x2="86.36" y2="162.56" width="0.1524" layer="91"/>
<label x="88.9" y="162.56" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="AMIGA_D7" class="0">
@ -14570,67 +14570,67 @@ Source: RS Component / Phycomp</description>
<label x="45.72" y="86.36" size="1.27" layer="95"/>
</segment>
</net>
<net name="A24" class="0">
<net name="A0" class="0">
<segment>
<wire x1="86.36" y1="45.72" x2="91.44" y2="45.72" width="0.1524" layer="91"/>
<pinref part="RN1" gate="A" pin="1"/>
<label x="88.9" y="45.72" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A25" class="0">
<segment>
<wire x1="86.36" y1="40.64" x2="91.44" y2="40.64" width="0.1524" layer="91"/>
<pinref part="RN1" gate="B" pin="1"/>
<label x="88.9" y="40.64" size="1.27" layer="95" rot="R180"/>
<pinref part="RN9" gate="A" pin="1"/>
<wire x1="91.44" y1="167.64" x2="86.36" y2="167.64" width="0.1524" layer="91"/>
<label x="88.9" y="167.64" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A26" class="0">
<segment>
<wire x1="86.36" y1="35.56" x2="91.44" y2="35.56" width="0.1524" layer="91"/>
<pinref part="RN1" gate="D" pin="1"/>
<wire x1="91.44" y1="30.48" x2="86.36" y2="30.48" width="0.1524" layer="91"/>
<label x="88.9" y="30.48" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A25" class="0">
<segment>
<pinref part="RN1" gate="C" pin="1"/>
<wire x1="91.44" y1="35.56" x2="86.36" y2="35.56" width="0.1524" layer="91"/>
<label x="88.9" y="35.56" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A28" class="0">
<net name="A24" class="0">
<segment>
<wire x1="86.36" y1="25.4" x2="91.44" y2="25.4" width="0.1524" layer="91"/>
<pinref part="RN2" gate="A" pin="1"/>
<label x="88.9" y="25.4" size="1.27" layer="95" rot="R180"/>
<pinref part="RN1" gate="B" pin="1"/>
<wire x1="91.44" y1="40.64" x2="86.36" y2="40.64" width="0.1524" layer="91"/>
<label x="88.9" y="40.64" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A29" class="0">
<segment>
<wire x1="86.36" y1="20.32" x2="91.44" y2="20.32" width="0.1524" layer="91"/>
<pinref part="RN2" gate="B" pin="1"/>
<label x="88.9" y="20.32" size="1.27" layer="95" rot="R180"/>
<pinref part="RN1" gate="A" pin="1"/>
<wire x1="91.44" y1="45.72" x2="86.36" y2="45.72" width="0.1524" layer="91"/>
<label x="88.9" y="45.72" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A30" class="0">
<net name="A28" class="0">
<segment>
<wire x1="86.36" y1="15.24" x2="91.44" y2="15.24" width="0.1524" layer="91"/>
<pinref part="RN2" gate="C" pin="1"/>
<label x="88.9" y="15.24" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A31" class="0">
<segment>
<wire x1="86.36" y1="10.16" x2="91.44" y2="10.16" width="0.1524" layer="91"/>
<pinref part="RN2" gate="D" pin="1"/>
<wire x1="91.44" y1="10.16" x2="86.36" y2="10.16" width="0.1524" layer="91"/>
<label x="88.9" y="10.16" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A27" class="0">
<segment>
<wire x1="86.36" y1="30.48" x2="91.44" y2="30.48" width="0.1524" layer="91"/>
<pinref part="RN1" gate="D" pin="1"/>
<label x="88.9" y="30.48" size="1.27" layer="95" rot="R180"/>
<pinref part="RN2" gate="C" pin="1"/>
<wire x1="91.44" y1="15.24" x2="86.36" y2="15.24" width="0.1524" layer="91"/>
<label x="88.9" y="15.24" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A0" class="0">
<net name="A31" class="0">
<segment>
<pinref part="RN9" gate="D" pin="1"/>
<wire x1="91.44" y1="152.4" x2="86.36" y2="152.4" width="0.1524" layer="91"/>
<label x="88.9" y="152.4" size="1.27" layer="95" rot="R180"/>
<pinref part="RN2" gate="B" pin="1"/>
<wire x1="91.44" y1="20.32" x2="86.36" y2="20.32" width="0.1524" layer="91"/>
<label x="88.9" y="20.32" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="A30" class="0">
<segment>
<pinref part="RN2" gate="A" pin="1"/>
<wire x1="91.44" y1="25.4" x2="86.36" y2="25.4" width="0.1524" layer="91"/>
<label x="88.9" y="25.4" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
</nets>

File diff suppressed because it is too large Load Diff

View File

@ -10124,6 +10124,7 @@ Source: RS Component / Phycomp</description>
<part name="R9" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="R22" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="R23" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
<part name="R24" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
</parts>
<sheets>
<sheet>
@ -10163,6 +10164,7 @@ Source: RS Component / Phycomp</description>
<instance part="R9" gate="G$1" x="-2.54" y="137.16"/>
<instance part="R22" gate="G$1" x="-2.54" y="132.08"/>
<instance part="R23" gate="G$1" x="-2.54" y="142.24"/>
<instance part="R24" gate="G$1" x="-25.4" y="83.82"/>
</instances>
<busses>
<bus name="A[0..31]">
@ -10994,6 +10996,11 @@ Source: RS Component / Phycomp</description>
<wire x1="132.08" y1="111.76" x2="137.16" y2="111.76" width="0.1524" layer="91"/>
<label x="137.16" y="111.76" size="1.27" layer="95" xref="yes"/>
</segment>
<segment>
<pinref part="R24" gate="G$1" pin="1"/>
<wire x1="-30.48" y1="83.82" x2="-33.02" y2="83.82" width="0.1524" layer="91"/>
<label x="-33.02" y="83.82" size="1.27" layer="95" rot="R180"/>
</segment>
</net>
<net name="STATUS" class="0">
<segment>
@ -11530,6 +11537,12 @@ Source: RS Component / Phycomp</description>
<wire x1="-7.62" y1="66.04" x2="7.62" y2="66.04" width="0.1524" layer="91"/>
<label x="7.62" y="66.04" size="1.27" layer="95"/>
</segment>
<segment>
<pinref part="R24" gate="G$1" pin="2"/>
<wire x1="-20.32" y1="83.82" x2="-15.24" y2="83.82" width="0.1524" layer="91"/>
<wire x1="-15.24" y1="83.82" x2="-15.24" y2="81.28" width="0.1524" layer="91"/>
<label x="-15.24" y="81.28" size="1.27" layer="95"/>
</segment>
</net>
<net name="A27" class="0">
<segment>