########## Tcl recorder starts at 12/01/13 16:23:00 ########## set version "1.6" set proj_dir "C:/Users/Matze/Amiga/Hardwarehacks/68030-TK/Logic" cd $proj_dir # Get directory paths set pver $version regsub -all {\.} $pver {_} pver set lscfile "lsc_" append lscfile $pver ".ini" set lsvini_dir [lindex [array get env LSC_INI_PATH] 1] set lsvini_path [file join $lsvini_dir $lscfile] if {[catch {set fid [open $lsvini_path]} msg]} { puts "File Open Error: $lsvini_path" return false } else {set data [read $fid]; close $fid } foreach line [split $data '\n'] { set lline [string tolower $line] set lline [string trim $lline] if {[string compare $lline "\[paths\]"] == 0} { set path 1; continue} if {$path && [regexp {^\[} $lline]} {set path 0; break} if {$path && [regexp {^bin} $lline]} {set cpld_bin $line; continue} if {$path && [regexp {^fpgapath} $lline]} {set fpga_dir $line; continue} if {$path && [regexp {^fpgabinpath} $lline]} {set fpga_bin $line}} set cpld_bin [string range $cpld_bin [expr [string first "=" $cpld_bin]+1] end] regsub -all "\"" $cpld_bin "" cpld_bin set cpld_bin [file join $cpld_bin] set install_dir [string range $cpld_bin 0 [expr [string first "ispcpld" $cpld_bin]-2]] regsub -all "\"" $install_dir "" install_dir set install_dir [file join $install_dir] set fpga_dir [string range $fpga_dir [expr [string first "=" $fpga_dir]+1] end] regsub -all "\"" $fpga_dir "" fpga_dir set fpga_dir [file join $fpga_dir] set fpga_bin [string range $fpga_bin [expr [string first "=" $fpga_bin]+1] end] regsub -all "\"" $fpga_bin "" fpga_bin set fpga_bin [file join $fpga_bin] if {[string match "*$fpga_bin;*" $env(PATH)] == 0 } { set env(PATH) "$fpga_bin;$env(PATH)" } if {[string match "*$cpld_bin;*" $env(PATH)] == 0 } { set env(PATH) "$cpld_bin;$env(PATH)" } lappend auto_path [file join $install_dir "ispcpld" "tcltk" "lib" "ispwidget" "runproc"] package require runcmd # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 16:23:00 ########### ########## Tcl recorder starts at 12/01/13 16:23:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 16:23:45 ########### ########## Tcl recorder starts at 12/01/13 16:23:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 16:23:49 ########### ########## Tcl recorder starts at 12/01/13 16:51:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 16:51:33 ########### ########## Tcl recorder starts at 12/01/13 19:32:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 19:32:22 ########### ########## Tcl recorder starts at 12/01/13 21:16:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:16:53 ########### ########## Tcl recorder starts at 12/01/13 21:20:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:20:44 ########### ########## Tcl recorder starts at 12/01/13 21:21:10 ########## # Commands to make the Process: # Hierarchy Browser # - none - # Application to view the Process: # Hierarchy Browser if [runCmd "\"$cpld_bin/hierbro\" 68030_tk.jid BUS68030"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:21:10 ########### ########## Tcl recorder starts at 12/01/13 21:21:16 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:21:16 ########### ########## Tcl recorder starts at 12/01/13 21:21:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:21:50 ########### ########## Tcl recorder starts at 12/01/13 21:21:52 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:21:52 ########### ########## Tcl recorder starts at 12/01/13 21:22:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:22:46 ########### ########## Tcl recorder starts at 12/01/13 21:22:49 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:22:49 ########### ########## Tcl recorder starts at 12/01/13 21:23:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:23:14 ########### ########## Tcl recorder starts at 12/01/13 21:24:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:24:01 ########### ########## Tcl recorder starts at 12/01/13 21:24:06 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:24:06 ########### ########## Tcl recorder starts at 12/01/13 21:46:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:46:04 ########### ########## Tcl recorder starts at 12/01/13 21:46:08 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:46:08 ########### ########## Tcl recorder starts at 12/01/13 21:46:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:46:31 ########### ########## Tcl recorder starts at 12/01/13 21:46:33 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:46:33 ########### ########## Tcl recorder starts at 12/01/13 21:47:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:47:11 ########### ########## Tcl recorder starts at 12/01/13 21:47:14 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:47:14 ########### ########## Tcl recorder starts at 12/01/13 21:47:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:47:53 ########### ########## Tcl recorder starts at 12/01/13 21:47:54 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:47:54 ########### ########## Tcl recorder starts at 12/01/13 21:53:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:53:00 ########### ########## Tcl recorder starts at 12/01/13 21:53:13 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:53:13 ########### ########## Tcl recorder starts at 12/01/13 21:53:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:53:32 ########### ########## Tcl recorder starts at 12/01/13 21:53:34 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:53:34 ########### ########## Tcl recorder starts at 12/01/13 21:54:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:54:01 ########### ########## Tcl recorder starts at 12/01/13 21:54:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:54:13 ########### ########## Tcl recorder starts at 12/01/13 21:54:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:54:20 ########### ########## Tcl recorder starts at 12/01/13 21:54:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:54:28 ########### ########## Tcl recorder starts at 12/01/13 21:55:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:02 ########### ########## Tcl recorder starts at 12/01/13 21:55:04 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:04 ########### ########## Tcl recorder starts at 12/01/13 21:55:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:29 ########### ########## Tcl recorder starts at 12/01/13 21:55:31 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:31 ########### ########## Tcl recorder starts at 12/01/13 21:55:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:58 ########### ########## Tcl recorder starts at 12/01/13 21:56:09 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:09 ########### ########## Tcl recorder starts at 12/01/13 21:56:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:33 ########### ########## Tcl recorder starts at 12/01/13 21:56:34 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:34 ########### ########## Tcl recorder starts at 12/01/13 21:56:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:45 ########### ########## Tcl recorder starts at 12/01/13 21:56:47 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:47 ########### ########## Tcl recorder starts at 12/01/13 21:56:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:57 ########### ########## Tcl recorder starts at 12/01/13 21:56:58 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:58 ########### ########## Tcl recorder starts at 12/01/13 21:57:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:57:17 ########### ########## Tcl recorder starts at 12/01/13 21:57:23 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:57:23 ########### ########## Tcl recorder starts at 12/01/13 21:58:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:58:25 ########### ########## Tcl recorder starts at 12/01/13 22:00:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:00:13 ########### ########## Tcl recorder starts at 12/01/13 22:00:18 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:00:18 ########### ########## Tcl recorder starts at 12/01/13 22:01:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:01:40 ########### ########## Tcl recorder starts at 12/01/13 22:01:42 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:01:42 ########### ########## Tcl recorder starts at 12/01/13 22:04:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:04:57 ########### ########## Tcl recorder starts at 12/01/13 22:05:08 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:05:08 ########### ########## Tcl recorder starts at 12/01/13 22:28:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:28:14 ########### ########## Tcl recorder starts at 12/01/13 22:28:18 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:28:18 ########### ########## Tcl recorder starts at 12/01/13 22:29:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:29:32 ########### ########## Tcl recorder starts at 12/01/13 22:29:37 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:29:37 ########### ########## Tcl recorder starts at 12/01/13 22:29:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:29:42 ########### ########## Tcl recorder starts at 12/01/13 22:29:44 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:29:44 ########### ########## Tcl recorder starts at 12/01/13 22:30:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:30:09 ########### ########## Tcl recorder starts at 12/01/13 22:30:28 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:30:28 ########### ########## Tcl recorder starts at 12/01/13 22:30:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:30:40 ########### ########## Tcl recorder starts at 12/01/13 22:30:44 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:30:44 ########### ########## Tcl recorder starts at 04/24/14 11:43:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:43:55 ########### ########## Tcl recorder starts at 04/24/14 11:44:04 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:44:04 ########### ########## Tcl recorder starts at 04/24/14 11:44:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:44:28 ########### ########## Tcl recorder starts at 04/24/14 11:44:36 ########## # Commands to make the Process: # Compile EDIF File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:44:36 ########### ########## Tcl recorder starts at 04/24/14 11:44:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:44:59 ########### ########## Tcl recorder starts at 04/24/14 11:45:01 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:45:01 ########### ########## Tcl recorder starts at 04/24/14 11:46:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:46:14 ########### ########## Tcl recorder starts at 04/24/14 11:46:16 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:46:16 ########### ########## Tcl recorder starts at 04/24/14 11:46:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:46:34 ########### ########## Tcl recorder starts at 04/24/14 11:46:39 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:46:39 ########### ########## Tcl recorder starts at 04/24/14 11:47:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:47:03 ########### ########## Tcl recorder starts at 04/24/14 11:47:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:47:51 ########### ########## Tcl recorder starts at 04/24/14 11:47:57 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:47:57 ########### ########## Tcl recorder starts at 04/24/14 11:48:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:48:34 ########### ########## Tcl recorder starts at 04/24/14 11:48:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:48:44 ########### ########## Tcl recorder starts at 04/24/14 11:48:47 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:48:47 ########### ########## Tcl recorder starts at 04/24/14 11:50:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:50:38 ########### ########## Tcl recorder starts at 04/24/14 11:51:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:51:09 ########### ########## Tcl recorder starts at 04/24/14 11:51:12 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:51:12 ########### ########## Tcl recorder starts at 04/24/14 11:51:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:51:33 ########### ########## Tcl recorder starts at 04/24/14 11:51:37 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:51:37 ########### ########## Tcl recorder starts at 04/24/14 11:52:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:52:06 ########### ########## Tcl recorder starts at 04/24/14 11:52:10 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:52:10 ########### ########## Tcl recorder starts at 04/24/14 11:53:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:53:07 ########### ########## Tcl recorder starts at 04/24/14 11:53:09 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:53:09 ########### ########## Tcl recorder starts at 04/24/14 11:54:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:54:18 ########### ########## Tcl recorder starts at 04/24/14 11:54:21 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:54:21 ########### ########## Tcl recorder starts at 04/24/14 11:55:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:55:07 ########### ########## Tcl recorder starts at 04/24/14 11:55:12 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:55:12 ########### ########## Tcl recorder starts at 04/24/14 11:56:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:56:05 ########### ########## Tcl recorder starts at 04/24/14 11:56:15 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:56:15 ########### ########## Tcl recorder starts at 04/24/14 11:57:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:57:46 ########### ########## Tcl recorder starts at 04/24/14 11:57:47 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:57:48 ########### ########## Tcl recorder starts at 04/24/14 11:58:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:58:15 ########### ########## Tcl recorder starts at 04/24/14 11:58:20 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:58:20 ########### ########## Tcl recorder starts at 04/24/14 11:58:32 ########## # Commands to make the Process: # Constraint Editor if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:58:32 ########### ########## Tcl recorder starts at 04/24/14 12:10:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:10:27 ########### ########## Tcl recorder starts at 04/24/14 12:10:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:10:54 ########### ########## Tcl recorder starts at 04/24/14 12:11:36 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:11:36 ########### ########## Tcl recorder starts at 04/24/14 12:12:16 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:12:16 ########### ########## Tcl recorder starts at 04/24/14 12:23:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:23:04 ########### ########## Tcl recorder starts at 04/24/14 12:24:53 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:24:53 ########### ########## Tcl recorder starts at 04/24/14 12:25:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:25:27 ########### ########## Tcl recorder starts at 04/24/14 12:25:29 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:25:29 ########### ########## Tcl recorder starts at 04/24/14 12:26:02 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:26:02 ########### ########## Tcl recorder starts at 04/24/14 12:27:03 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:27:03 ########### ########## Tcl recorder starts at 04/24/14 12:33:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:33:53 ########### ########## Tcl recorder starts at 04/24/14 12:33:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:33:54 ########### ########## Tcl recorder starts at 04/24/14 13:40:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 13:40:50 ########### ########## Tcl recorder starts at 04/24/14 13:40:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 13:40:54 ########### ########## Tcl recorder starts at 04/24/14 13:41:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 13:41:15 ########### ########## Tcl recorder starts at 04/24/14 13:41:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 13:41:17 ########### ########## Tcl recorder starts at 04/24/14 21:21:55 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:21:55 ########### ########## Tcl recorder starts at 04/24/14 21:24:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:24:17 ########### ########## Tcl recorder starts at 04/24/14 21:24:25 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:24:25 ########### ########## Tcl recorder starts at 04/24/14 21:26:44 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:26:44 ########### ########## Tcl recorder starts at 04/24/14 21:26:59 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:26:59 ########### ########## Tcl recorder starts at 04/24/14 21:27:27 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:27:27 ########### ########## Tcl recorder starts at 04/24/14 21:27:29 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:27:30 ########### ########## Tcl recorder starts at 04/24/14 21:31:37 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:31:37 ########### ########## Tcl recorder starts at 04/24/14 21:32:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:32:24 ########### ########## Tcl recorder starts at 04/24/14 21:32:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:32:28 ########### ########## Tcl recorder starts at 04/24/14 21:39:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:39:44 ########### ########## Tcl recorder starts at 04/24/14 21:39:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:39:49 ########### ########## Tcl recorder starts at 04/24/14 22:00:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:00:36 ########### ########## Tcl recorder starts at 04/24/14 22:01:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:01:45 ########### ########## Tcl recorder starts at 04/24/14 22:04:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:04:02 ########### ########## Tcl recorder starts at 04/24/14 22:04:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:04:15 ########### ########## Tcl recorder starts at 04/24/14 22:13:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:13:20 ########### ########## Tcl recorder starts at 04/24/14 22:13:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:13:59 ########### ########## Tcl recorder starts at 04/24/14 22:14:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:14:56 ########### ########## Tcl recorder starts at 04/24/14 22:15:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:15:03 ########### ########## Tcl recorder starts at 04/24/14 22:16:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:16:02 ########### ########## Tcl recorder starts at 04/24/14 22:16:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:16:04 ########### ########## Tcl recorder starts at 04/24/14 22:21:05 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:21:05 ########### ########## Tcl recorder starts at 04/24/14 22:23:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:23:04 ########### ########## Tcl recorder starts at 04/24/14 22:23:15 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:23:15 ########### ########## Tcl recorder starts at 04/24/14 22:25:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:25:11 ########### ########## Tcl recorder starts at 04/24/14 22:25:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:25:17 ########### ########## Tcl recorder starts at 04/24/14 22:25:30 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:25:30 ########### ########## Tcl recorder starts at 04/24/14 22:33:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:33:54 ########### ########## Tcl recorder starts at 04/24/14 22:34:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:34:14 ########### ########## Tcl recorder starts at 04/24/14 22:40:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:40:37 ########### ########## Tcl recorder starts at 04/24/14 22:40:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:40:53 ########### ########## Tcl recorder starts at 04/25/14 12:41:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:41:09 ########### ########## Tcl recorder starts at 04/25/14 12:41:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:41:12 ########### ########## Tcl recorder starts at 04/25/14 12:44:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:44:06 ########### ########## Tcl recorder starts at 04/25/14 12:44:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:44:41 ########### ########## Tcl recorder starts at 04/25/14 12:44:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:44:45 ########### ########## Tcl recorder starts at 04/25/14 13:52:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 13:52:48 ########### ########## Tcl recorder starts at 04/25/14 13:52:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 13:52:52 ########### ########## Tcl recorder starts at 04/25/14 13:58:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 13:58:53 ########### ########## Tcl recorder starts at 04/25/14 13:58:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 13:58:57 ########### ########## Tcl recorder starts at 04/25/14 14:02:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:02:00 ########### ########## Tcl recorder starts at 04/25/14 14:02:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:02:14 ########### ########## Tcl recorder starts at 04/25/14 14:04:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:04:57 ########### ########## Tcl recorder starts at 04/25/14 14:05:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:05:07 ########### ########## Tcl recorder starts at 04/25/14 14:07:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:07:49 ########### ########## Tcl recorder starts at 04/25/14 14:08:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:08:00 ########### ########## Tcl recorder starts at 04/25/14 14:09:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:09:45 ########### ########## Tcl recorder starts at 04/25/14 14:09:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:09:46 ########### ########## Tcl recorder starts at 04/25/14 14:11:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:11:34 ########### ########## Tcl recorder starts at 04/25/14 14:12:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:12:28 ########### ########## Tcl recorder starts at 04/25/14 14:12:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:12:53 ########### ########## Tcl recorder starts at 04/25/14 14:13:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:13:10 ########### ########## Tcl recorder starts at 04/25/14 14:13:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:13:12 ########### ########## Tcl recorder starts at 04/25/14 14:15:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:15:14 ########### ########## Tcl recorder starts at 04/25/14 14:15:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:15:18 ########### ########## Tcl recorder starts at 04/26/14 07:45:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 07:45:42 ########### ########## Tcl recorder starts at 04/26/14 07:45:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 07:45:49 ########### ########## Tcl recorder starts at 04/26/14 11:53:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:53:50 ########### ########## Tcl recorder starts at 04/26/14 11:53:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:53:57 ########### ########## Tcl recorder starts at 04/26/14 11:55:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:55:46 ########### ########## Tcl recorder starts at 04/26/14 11:55:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:55:50 ########### ########## Tcl recorder starts at 04/26/14 11:56:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:56:10 ########### ########## Tcl recorder starts at 04/26/14 11:56:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:56:13 ########### ########## Tcl recorder starts at 04/26/14 12:41:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:41:19 ########### ########## Tcl recorder starts at 04/26/14 12:42:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:42:00 ########### ########## Tcl recorder starts at 04/26/14 12:42:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:42:04 ########### ########## Tcl recorder starts at 04/26/14 12:48:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:48:01 ########### ########## Tcl recorder starts at 04/26/14 12:48:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:48:08 ########### ########## Tcl recorder starts at 04/26/14 12:52:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:52:06 ########### ########## Tcl recorder starts at 04/26/14 12:52:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:52:14 ########### ########## Tcl recorder starts at 04/26/14 12:55:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:55:57 ########### ########## Tcl recorder starts at 04/26/14 12:56:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:56:02 ########### ########## Tcl recorder starts at 04/26/14 12:58:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:58:49 ########### ########## Tcl recorder starts at 04/26/14 12:58:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:58:54 ########### ########## Tcl recorder starts at 04/26/14 13:00:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:00:37 ########### ########## Tcl recorder starts at 04/26/14 13:00:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:00:42 ########### ########## Tcl recorder starts at 04/26/14 13:05:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:05:43 ########### ########## Tcl recorder starts at 04/26/14 13:06:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:06:21 ########### ########## Tcl recorder starts at 04/26/14 13:06:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:06:34 ########### ########## Tcl recorder starts at 04/26/14 13:06:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:06:38 ########### ########## Tcl recorder starts at 04/26/14 13:10:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:10:34 ########### ########## Tcl recorder starts at 04/26/14 13:11:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:11:01 ########### ########## Tcl recorder starts at 04/26/14 13:11:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:11:08 ########### ########## Tcl recorder starts at 04/26/14 13:12:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:12:30 ########### ########## Tcl recorder starts at 04/26/14 13:12:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:12:33 ########### ########## Tcl recorder starts at 04/26/14 13:31:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:31:13 ########### ########## Tcl recorder starts at 04/26/14 13:31:23 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:31:23 ########### ########## Tcl recorder starts at 04/26/14 13:31:54 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:31:54 ########### ########## Tcl recorder starts at 04/26/14 13:38:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:38:00 ########### ########## Tcl recorder starts at 04/26/14 13:38:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:38:10 ########### ########## Tcl recorder starts at 04/26/14 13:40:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:40:23 ########### ########## Tcl recorder starts at 04/26/14 13:40:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:40:32 ########### ########## Tcl recorder starts at 04/26/14 13:46:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:46:08 ########### ########## Tcl recorder starts at 04/26/14 13:46:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:46:12 ########### ########## Tcl recorder starts at 04/26/14 13:48:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:48:41 ########### ########## Tcl recorder starts at 04/26/14 13:48:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:48:46 ########### ########## Tcl recorder starts at 04/26/14 13:49:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:49:55 ########### ########## Tcl recorder starts at 04/26/14 20:38:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:38:18 ########### ########## Tcl recorder starts at 04/26/14 20:38:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:38:29 ########### ########## Tcl recorder starts at 04/26/14 20:39:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:39:32 ########### ########## Tcl recorder starts at 04/26/14 20:39:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:39:37 ########### ########## Tcl recorder starts at 04/26/14 20:43:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:43:53 ########### ########## Tcl recorder starts at 04/26/14 20:59:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:59:57 ########### ########## Tcl recorder starts at 04/26/14 21:10:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:10:17 ########### ########## Tcl recorder starts at 04/26/14 21:18:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:00 ########### ########## Tcl recorder starts at 04/26/14 21:18:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:18 ########### ########## Tcl recorder starts at 04/26/14 21:18:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:22 ########### ########## Tcl recorder starts at 04/26/14 21:18:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:36 ########### ########## Tcl recorder starts at 04/26/14 21:18:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:38 ########### ########## Tcl recorder starts at 04/26/14 21:19:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:19:06 ########### ########## Tcl recorder starts at 04/26/14 21:19:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:19:09 ########### ########## Tcl recorder starts at 04/26/14 21:20:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:20:09 ########### ########## Tcl recorder starts at 04/26/14 21:20:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:20:20 ########### ########## Tcl recorder starts at 04/26/14 21:23:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:23:15 ########### ########## Tcl recorder starts at 04/26/14 21:24:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:24:09 ########### ########## Tcl recorder starts at 04/26/14 21:24:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:24:11 ########### ########## Tcl recorder starts at 04/26/14 21:24:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:24:51 ########### ########## Tcl recorder starts at 04/26/14 21:26:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:26:37 ########### ########## Tcl recorder starts at 04/26/14 21:26:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:26:43 ########### ########## Tcl recorder starts at 04/26/14 21:27:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:27:10 ########### ########## Tcl recorder starts at 04/26/14 21:27:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:27:12 ########### ########## Tcl recorder starts at 04/26/14 21:27:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:27:30 ########### ########## Tcl recorder starts at 04/26/14 21:27:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:27:32 ########### ########## Tcl recorder starts at 04/26/14 21:28:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:28:47 ########### ########## Tcl recorder starts at 04/26/14 21:28:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:28:54 ########### ########## Tcl recorder starts at 04/26/14 21:32:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:32:27 ########### ########## Tcl recorder starts at 04/26/14 21:34:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:34:08 ########### ########## Tcl recorder starts at 04/26/14 21:34:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:34:13 ########### ########## Tcl recorder starts at 04/26/14 21:43:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:43:16 ########### ########## Tcl recorder starts at 04/26/14 21:44:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:44:10 ########### ########## Tcl recorder starts at 04/26/14 21:44:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:44:12 ########### ########## Tcl recorder starts at 04/26/14 21:47:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:47:06 ########### ########## Tcl recorder starts at 04/26/14 21:48:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:48:56 ########### ########## Tcl recorder starts at 04/26/14 21:49:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:49:22 ########### ########## Tcl recorder starts at 04/26/14 21:50:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:50:59 ########### ########## Tcl recorder starts at 04/26/14 21:55:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:55:15 ########### ########## Tcl recorder starts at 04/26/14 21:57:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:57:40 ########### ########## Tcl recorder starts at 04/26/14 22:00:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:00:43 ########### ########## Tcl recorder starts at 04/26/14 22:01:52 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:01:52 ########### ########## Tcl recorder starts at 04/26/14 22:07:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:07:15 ########### ########## Tcl recorder starts at 04/26/14 22:08:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:08:40 ########### ########## Tcl recorder starts at 04/26/14 22:09:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:09:30 ########### ########## Tcl recorder starts at 04/26/14 22:09:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:09:34 ########### ########## Tcl recorder starts at 04/26/14 22:11:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:11:51 ########### ########## Tcl recorder starts at 04/26/14 22:13:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:13:04 ########### ########## Tcl recorder starts at 04/26/14 22:13:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:13:37 ########### ########## Tcl recorder starts at 04/26/14 22:13:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:13:39 ########### ########## Tcl recorder starts at 04/26/14 22:16:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:16:19 ########### ########## Tcl recorder starts at 04/26/14 22:16:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:16:23 ########### ########## Tcl recorder starts at 04/26/14 22:23:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:23:08 ########### ########## Tcl recorder starts at 04/26/14 22:23:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:23:13 ########### ########## Tcl recorder starts at 04/26/14 22:23:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:23:34 ########### ########## Tcl recorder starts at 04/26/14 22:23:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:23:35 ########### ########## Tcl recorder starts at 04/26/14 22:24:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:24:14 ########### ########## Tcl recorder starts at 04/26/14 22:26:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:26:02 ########### ########## Tcl recorder starts at 04/26/14 22:26:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:26:22 ########### ########## Tcl recorder starts at 04/26/14 22:26:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:26:25 ########### ########## Tcl recorder starts at 04/26/14 22:27:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:27:09 ########### ########## Tcl recorder starts at 04/26/14 22:27:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:27:16 ########### ########## Tcl recorder starts at 04/26/14 22:28:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:28:23 ########### ########## Tcl recorder starts at 04/26/14 22:28:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:28:29 ########### ########## Tcl recorder starts at 04/26/14 22:30:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:30:58 ########### ########## Tcl recorder starts at 04/26/14 22:31:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:31:05 ########### ########## Tcl recorder starts at 04/26/14 22:32:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:32:26 ########### ########## Tcl recorder starts at 04/26/14 22:32:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:32:28 ########### ########## Tcl recorder starts at 04/26/14 22:32:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:32:48 ########### ########## Tcl recorder starts at 04/26/14 22:32:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:32:49 ########### ########## Tcl recorder starts at 04/26/14 22:33:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:33:53 ########### ########## Tcl recorder starts at 04/26/14 22:33:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:33:57 ########### ########## Tcl recorder starts at 04/26/14 22:34:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:34:58 ########### ########## Tcl recorder starts at 04/26/14 22:35:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:35:00 ########### ########## Tcl recorder starts at 04/26/14 22:35:13 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:35:13 ########### ########## Tcl recorder starts at 04/26/14 22:36:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:36:58 ########### ########## Tcl recorder starts at 04/26/14 22:38:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:38:34 ########### ########## Tcl recorder starts at 04/26/14 22:44:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:44:12 ########### ########## Tcl recorder starts at 04/26/14 22:44:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:44:18 ########### ########## Tcl recorder starts at 04/26/14 22:50:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:50:27 ########### ########## Tcl recorder starts at 04/26/14 22:50:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:50:42 ########### ########## Tcl recorder starts at 04/26/14 22:50:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:50:51 ########### ########## Tcl recorder starts at 04/26/14 22:51:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:51:08 ########### ########## Tcl recorder starts at 04/26/14 22:51:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:51:09 ########### ########## Tcl recorder starts at 04/26/14 22:53:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:53:56 ########### ########## Tcl recorder starts at 04/26/14 22:54:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:54:00 ########### ########## Tcl recorder starts at 04/26/14 22:56:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:56:17 ########### ########## Tcl recorder starts at 04/26/14 23:03:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:03:34 ########### ########## Tcl recorder starts at 04/26/14 23:03:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:03:37 ########### ########## Tcl recorder starts at 04/26/14 23:03:55 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:03:56 ########### ########## Tcl recorder starts at 04/26/14 23:06:21 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:06:21 ########### ########## Tcl recorder starts at 04/26/14 23:08:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:08:15 ########### ########## Tcl recorder starts at 04/26/14 23:08:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:08:20 ########### ########## Tcl recorder starts at 04/26/14 23:11:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:11:17 ########### ########## Tcl recorder starts at 04/26/14 23:11:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:11:22 ########### ########## Tcl recorder starts at 04/26/14 23:12:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:12:28 ########### ########## Tcl recorder starts at 04/26/14 23:12:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:12:32 ########### ########## Tcl recorder starts at 04/26/14 23:18:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:18:41 ########### ########## Tcl recorder starts at 04/26/14 23:18:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:18:56 ########### ########## Tcl recorder starts at 04/26/14 23:19:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:19:16 ########### ########## Tcl recorder starts at 04/26/14 23:31:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:31:52 ########### ########## Tcl recorder starts at 04/26/14 23:31:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:31:56 ########### ########## Tcl recorder starts at 04/26/14 23:33:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:33:53 ########### ########## Tcl recorder starts at 04/26/14 23:34:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:34:17 ########### ########## Tcl recorder starts at 04/26/14 23:37:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:37:18 ########### ########## Tcl recorder starts at 04/26/14 23:37:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:37:21 ########### ########## Tcl recorder starts at 04/26/14 23:37:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:37:45 ########### ########## Tcl recorder starts at 04/26/14 23:37:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:37:47 ########### ########## Tcl recorder starts at 04/26/14 23:41:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:15 ########### ########## Tcl recorder starts at 04/26/14 23:41:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:18 ########### ########## Tcl recorder starts at 04/26/14 23:41:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:29 ########### ########## Tcl recorder starts at 04/26/14 23:41:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:31 ########### ########## Tcl recorder starts at 04/26/14 23:41:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:52 ########### ########## Tcl recorder starts at 04/26/14 23:42:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:42:12 ########### ########## Tcl recorder starts at 04/26/14 23:42:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:42:36 ########### ########## Tcl recorder starts at 04/26/14 23:42:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:42:40 ########### ########## Tcl recorder starts at 04/26/14 23:42:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:42:57 ########### ########## Tcl recorder starts at 04/26/14 23:43:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:02 ########### ########## Tcl recorder starts at 04/26/14 23:43:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:06 ########### ########## Tcl recorder starts at 04/26/14 23:43:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:24 ########### ########## Tcl recorder starts at 04/26/14 23:43:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:26 ########### ########## Tcl recorder starts at 04/26/14 23:43:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:39 ########### ########## Tcl recorder starts at 04/26/14 23:43:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:40 ########### ########## Tcl recorder starts at 04/26/14 23:44:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:44:20 ########### ########## Tcl recorder starts at 04/26/14 23:44:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:44:23 ########### ########## Tcl recorder starts at 04/26/14 23:45:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:45:22 ########### ########## Tcl recorder starts at 04/26/14 23:46:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:46:49 ########### ########## Tcl recorder starts at 04/26/14 23:46:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:46:57 ########### ########## Tcl recorder starts at 04/26/14 23:47:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:47:36 ########### ########## Tcl recorder starts at 04/26/14 23:47:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:47:39 ########### ########## Tcl recorder starts at 04/26/14 23:57:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:57:03 ########### ########## Tcl recorder starts at 04/26/14 23:57:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:57:10 ########### ########## Tcl recorder starts at 04/27/14 00:00:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:00:20 ########### ########## Tcl recorder starts at 04/27/14 00:02:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:02:43 ########### ########## Tcl recorder starts at 04/27/14 00:03:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:03:00 ########### ########## Tcl recorder starts at 04/27/14 00:07:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:07:29 ########### ########## Tcl recorder starts at 04/27/14 00:07:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:07:45 ########### ########## Tcl recorder starts at 04/27/14 00:08:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:08:03 ########### ########## Tcl recorder starts at 04/27/14 00:08:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:08:13 ########### ########## Tcl recorder starts at 04/27/14 00:08:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:08:17 ########### ########## Tcl recorder starts at 04/27/14 00:12:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:12:36 ########### ########## Tcl recorder starts at 04/27/14 00:12:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:12:57 ########### ########## Tcl recorder starts at 04/27/14 00:13:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:13:10 ########### ########## Tcl recorder starts at 04/27/14 00:13:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:13:11 ########### ########## Tcl recorder starts at 04/27/14 00:16:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:16:29 ########### ########## Tcl recorder starts at 04/27/14 00:18:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:18:33 ########### ########## Tcl recorder starts at 04/27/14 00:20:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:20:55 ########### ########## Tcl recorder starts at 04/27/14 00:21:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:21:02 ########### ########## Tcl recorder starts at 04/27/14 00:24:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:24:22 ########### ########## Tcl recorder starts at 04/27/14 00:24:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:24:29 ########### ########## Tcl recorder starts at 04/27/14 00:24:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:24:45 ########### ########## Tcl recorder starts at 04/27/14 00:24:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:24:46 ########### ########## Tcl recorder starts at 04/27/14 00:25:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:25:00 ########### ########## Tcl recorder starts at 04/27/14 00:25:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:25:01 ########### ########## Tcl recorder starts at 04/27/14 00:27:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:27:17 ########### ########## Tcl recorder starts at 04/27/14 00:27:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:27:25 ########### ########## Tcl recorder starts at 04/27/14 00:27:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:27:33 ########### ########## Tcl recorder starts at 04/27/14 00:30:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:30:14 ########### ########## Tcl recorder starts at 04/27/14 00:30:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:30:17 ########### ########## Tcl recorder starts at 04/27/14 00:33:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:33:12 ########### ########## Tcl recorder starts at 04/27/14 00:39:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:39:05 ########### ########## Tcl recorder starts at 04/27/14 00:39:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:39:42 ########### ########## Tcl recorder starts at 04/27/14 00:40:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:40:54 ########### ########## Tcl recorder starts at 04/27/14 00:41:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:41:19 ########### ########## Tcl recorder starts at 04/27/14 00:41:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:41:29 ########### ########## Tcl recorder starts at 04/27/14 00:42:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:42:10 ########### ########## Tcl recorder starts at 04/27/14 00:42:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:42:17 ########### ########## Tcl recorder starts at 04/27/14 00:45:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:45:10 ########### ########## Tcl recorder starts at 04/27/14 00:45:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:45:16 ########### ########## Tcl recorder starts at 04/27/14 00:46:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:46:12 ########### ########## Tcl recorder starts at 04/27/14 00:46:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:46:17 ########### ########## Tcl recorder starts at 04/27/14 00:53:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:53:39 ########### ########## Tcl recorder starts at 04/27/14 00:53:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:53:45 ########### ########## Tcl recorder starts at 04/27/14 00:57:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:57:38 ########### ########## Tcl recorder starts at 04/27/14 00:57:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:57:43 ########### ########## Tcl recorder starts at 04/27/14 00:58:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:58:41 ########### ########## Tcl recorder starts at 04/27/14 00:58:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:58:47 ########### ########## Tcl recorder starts at 04/27/14 01:00:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:00:17 ########### ########## Tcl recorder starts at 04/27/14 01:00:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:00:20 ########### ########## Tcl recorder starts at 04/27/14 01:01:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:01:17 ########### ########## Tcl recorder starts at 04/27/14 01:05:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:05:08 ########### ########## Tcl recorder starts at 04/27/14 01:05:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:05:30 ########### ########## Tcl recorder starts at 04/27/14 01:05:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:05:31 ########### ########## Tcl recorder starts at 04/27/14 01:06:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:06:06 ########### ########## Tcl recorder starts at 04/27/14 01:06:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:06:12 ########### ########## Tcl recorder starts at 04/27/14 01:08:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:08:17 ########### ########## Tcl recorder starts at 04/27/14 01:08:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:08:19 ########### ########## Tcl recorder starts at 04/27/14 01:08:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:08:55 ########### ########## Tcl recorder starts at 04/27/14 01:09:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:09:02 ########### ########## Tcl recorder starts at 04/27/14 01:10:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:10:46 ########### ########## Tcl recorder starts at 04/27/14 01:10:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:10:53 ########### ########## Tcl recorder starts at 04/27/14 01:13:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:13:41 ########### ########## Tcl recorder starts at 04/27/14 01:13:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:13:46 ########### ########## Tcl recorder starts at 04/27/14 01:16:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:16:48 ########### ########## Tcl recorder starts at 04/27/14 01:17:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:17:01 ########### ########## Tcl recorder starts at 04/27/14 01:19:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:19:18 ########### ########## Tcl recorder starts at 04/27/14 01:19:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:19:22 ########### ########## Tcl recorder starts at 04/27/14 01:20:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:20:31 ########### ########## Tcl recorder starts at 04/27/14 01:20:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:20:34 ########### ########## Tcl recorder starts at 04/27/14 01:25:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:25:02 ########### ########## Tcl recorder starts at 04/27/14 01:25:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:25:20 ########### ########## Tcl recorder starts at 04/27/14 01:25:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:25:31 ########### ########## Tcl recorder starts at 04/27/14 01:25:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:25:36 ########### ########## Tcl recorder starts at 04/27/14 07:58:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 07:58:36 ########### ########## Tcl recorder starts at 04/27/14 07:58:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 07:58:43 ########### ########## Tcl recorder starts at 04/27/14 10:05:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:05:51 ########### ########## Tcl recorder starts at 04/27/14 10:05:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:05:57 ########### ########## Tcl recorder starts at 04/27/14 10:06:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:06:09 ########### ########## Tcl recorder starts at 04/27/14 10:06:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:06:12 ########### ########## Tcl recorder starts at 04/27/14 10:07:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:07:45 ########### ########## Tcl recorder starts at 04/27/14 10:07:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:07:48 ########### ########## Tcl recorder starts at 04/27/14 10:08:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:08:19 ########### ########## Tcl recorder starts at 04/27/14 10:08:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:08:23 ########### ########## Tcl recorder starts at 04/27/14 10:09:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:09:39 ########### ########## Tcl recorder starts at 04/27/14 10:09:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:09:40 ########### ########## Tcl recorder starts at 04/27/14 10:10:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:10:42 ########### ########## Tcl recorder starts at 04/27/14 10:10:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:10:54 ########### ########## Tcl recorder starts at 04/27/14 10:11:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:11:03 ########### ########## Tcl recorder starts at 04/27/14 10:11:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:11:08 ########### ########## Tcl recorder starts at 04/27/14 10:11:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:11:44 ########### ########## Tcl recorder starts at 04/27/14 10:11:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:11:47 ########### ########## Tcl recorder starts at 04/27/14 10:12:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:12:33 ########### ########## Tcl recorder starts at 04/27/14 10:12:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:12:37 ########### ########## Tcl recorder starts at 04/27/14 10:12:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:12:39 ########### ########## Tcl recorder starts at 04/27/14 10:13:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:13:57 ########### ########## Tcl recorder starts at 04/27/14 10:13:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:13:59 ########### ########## Tcl recorder starts at 04/27/14 10:15:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:15:17 ########### ########## Tcl recorder starts at 04/27/14 10:15:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:15:22 ########### ########## Tcl recorder starts at 04/27/14 10:17:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:17:22 ########### ########## Tcl recorder starts at 04/27/14 10:17:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:17:42 ########### ########## Tcl recorder starts at 04/27/14 10:18:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:18:29 ########### ########## Tcl recorder starts at 04/27/14 10:18:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:18:36 ########### ########## Tcl recorder starts at 04/27/14 10:19:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:19:30 ########### ########## Tcl recorder starts at 04/27/14 10:19:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:19:33 ########### ########## Tcl recorder starts at 04/27/14 10:20:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:20:57 ########### ########## Tcl recorder starts at 04/27/14 10:21:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:21:13 ########### ########## Tcl recorder starts at 04/27/14 10:22:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:22:13 ########### ########## Tcl recorder starts at 04/27/14 10:22:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:22:18 ########### ########## Tcl recorder starts at 04/27/14 10:24:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:24:06 ########### ########## Tcl recorder starts at 04/27/14 10:25:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:08 ########### ########## Tcl recorder starts at 04/27/14 10:25:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:19 ########### ########## Tcl recorder starts at 04/27/14 10:25:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:25 ########### ########## Tcl recorder starts at 04/27/14 10:25:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:47 ########### ########## Tcl recorder starts at 04/27/14 10:25:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:51 ########### ########## Tcl recorder starts at 04/27/14 10:26:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:26:40 ########### ########## Tcl recorder starts at 04/27/14 10:27:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:27:47 ########### ########## Tcl recorder starts at 04/27/14 10:27:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:27:51 ########### ########## Tcl recorder starts at 04/27/14 10:29:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:29:37 ########### ########## Tcl recorder starts at 04/27/14 10:30:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:30:37 ########### ########## Tcl recorder starts at 04/27/14 10:31:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:31:22 ########### ########## Tcl recorder starts at 04/27/14 10:31:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:31:33 ########### ########## Tcl recorder starts at 04/27/14 10:31:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:31:49 ########### ########## Tcl recorder starts at 04/27/14 10:32:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:32:21 ########### ########## Tcl recorder starts at 04/27/14 10:32:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:32:23 ########### ########## Tcl recorder starts at 04/27/14 10:35:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:35:58 ########### ########## Tcl recorder starts at 04/27/14 10:36:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:36:12 ########### ########## Tcl recorder starts at 04/27/14 10:36:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:36:17 ########### ########## Tcl recorder starts at 04/27/14 10:37:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:37:28 ########### ########## Tcl recorder starts at 04/27/14 10:50:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:50:14 ########### ########## Tcl recorder starts at 04/27/14 10:50:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:50:55 ########### ########## Tcl recorder starts at 04/27/14 10:51:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:51:01 ########### ########## Tcl recorder starts at 04/27/14 11:03:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:03:54 ########### ########## Tcl recorder starts at 04/27/14 11:04:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:04:11 ########### ########## Tcl recorder starts at 04/27/14 11:09:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:09:27 ########### ########## Tcl recorder starts at 04/27/14 11:12:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:12:08 ########### ########## Tcl recorder starts at 04/27/14 11:12:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:12:25 ########### ########## Tcl recorder starts at 04/27/14 11:18:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:18:07 ########### ########## Tcl recorder starts at 04/27/14 11:18:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:18:33 ########### ########## Tcl recorder starts at 04/27/14 11:18:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:18:37 ########### ########## Tcl recorder starts at 04/27/14 11:18:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:18:42 ########### ########## Tcl recorder starts at 04/27/14 11:19:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:19:33 ########### ########## Tcl recorder starts at 04/27/14 11:27:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:27:36 ########### ########## Tcl recorder starts at 04/27/14 11:27:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:27:41 ########### ########## Tcl recorder starts at 04/27/14 11:30:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:30:44 ########### ########## Tcl recorder starts at 04/27/14 11:30:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:30:52 ########### ########## Tcl recorder starts at 04/27/14 11:31:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:31:49 ########### ########## Tcl recorder starts at 04/27/14 11:31:51 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:31:51 ########### ########## Tcl recorder starts at 04/27/14 11:32:28 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:32:28 ########### ########## Tcl recorder starts at 04/27/14 11:32:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:32:39 ########### ########## Tcl recorder starts at 04/27/14 11:35:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:35:01 ########### ########## Tcl recorder starts at 04/27/14 11:36:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:36:02 ########### ########## Tcl recorder starts at 04/27/14 11:36:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:36:07 ########### ########## Tcl recorder starts at 04/27/14 11:36:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:36:19 ########### ########## Tcl recorder starts at 04/27/14 11:36:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:36:20 ########### ########## Tcl recorder starts at 04/27/14 11:41:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:41:25 ########### ########## Tcl recorder starts at 04/27/14 11:41:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:41:27 ########### ########## Tcl recorder starts at 04/27/14 11:41:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:41:48 ########### ########## Tcl recorder starts at 04/27/14 11:43:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:43:20 ########### ########## Tcl recorder starts at 04/27/14 11:43:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:43:22 ########### ########## Tcl recorder starts at 04/27/14 11:44:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:44:08 ########### ########## Tcl recorder starts at 04/27/14 11:44:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:44:25 ########### ########## Tcl recorder starts at 04/27/14 11:44:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:44:32 ########### ########## Tcl recorder starts at 04/27/14 11:44:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:44:39 ########### ########## Tcl recorder starts at 04/27/14 11:47:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:47:08 ########### ########## Tcl recorder starts at 04/27/14 11:47:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:47:44 ########### ########## Tcl recorder starts at 04/27/14 11:47:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:47:47 ########### ########## Tcl recorder starts at 04/27/14 11:49:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:49:52 ########### ########## Tcl recorder starts at 04/27/14 11:50:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:50:10 ########### ########## Tcl recorder starts at 04/27/14 11:50:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:50:14 ########### ########## Tcl recorder starts at 04/27/14 11:50:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:50:34 ########### ########## Tcl recorder starts at 04/27/14 11:50:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:50:36 ########### ########## Tcl recorder starts at 04/27/14 16:50:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:50:08 ########### ########## Tcl recorder starts at 04/27/14 16:50:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:50:28 ########### ########## Tcl recorder starts at 04/27/14 16:52:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:52:18 ########### ########## Tcl recorder starts at 04/27/14 16:52:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:52:34 ########### ########## Tcl recorder starts at 04/27/14 16:52:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:52:40 ########### ########## Tcl recorder starts at 04/27/14 16:55:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:55:33 ########### ########## Tcl recorder starts at 04/27/14 16:56:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:56:18 ########### ########## Tcl recorder starts at 04/27/14 16:56:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:56:24 ########### ########## Tcl recorder starts at 04/27/14 16:57:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:57:35 ########### ########## Tcl recorder starts at 04/27/14 16:57:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:57:47 ########### ########## Tcl recorder starts at 04/27/14 18:01:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:01:32 ########### ########## Tcl recorder starts at 04/27/14 18:01:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:01:50 ########### ########## Tcl recorder starts at 04/27/14 18:02:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:02:05 ########### ########## Tcl recorder starts at 04/27/14 18:02:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:02:07 ########### ########## Tcl recorder starts at 04/27/14 18:02:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:02:36 ########### ########## Tcl recorder starts at 04/27/14 18:03:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:03:01 ########### ########## Tcl recorder starts at 04/27/14 18:03:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:03:46 ########### ########## Tcl recorder starts at 04/27/14 18:03:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:03:49 ########### ########## Tcl recorder starts at 04/27/14 18:04:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:04:33 ########### ########## Tcl recorder starts at 04/27/14 18:04:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:04:41 ########### ########## Tcl recorder starts at 04/27/14 18:14:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:14:07 ########### ########## Tcl recorder starts at 04/27/14 18:19:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:19:04 ########### ########## Tcl recorder starts at 04/27/14 18:19:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:19:24 ########### ########## Tcl recorder starts at 04/27/14 18:20:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:20:23 ########### ########## Tcl recorder starts at 04/27/14 18:20:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:20:27 ########### ########## Tcl recorder starts at 04/27/14 18:23:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:23:49 ########### ########## Tcl recorder starts at 04/27/14 18:24:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:24:11 ########### ########## Tcl recorder starts at 04/27/14 18:26:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:26:38 ########### ########## Tcl recorder starts at 04/27/14 18:27:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:27:12 ########### ########## Tcl recorder starts at 04/27/14 18:27:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:27:55 ########### ########## Tcl recorder starts at 04/27/14 18:29:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:29:34 ########### ########## Tcl recorder starts at 04/27/14 18:31:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:00 ########### ########## Tcl recorder starts at 04/27/14 18:31:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:04 ########### ########## Tcl recorder starts at 04/27/14 18:31:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:15 ########### ########## Tcl recorder starts at 04/27/14 18:31:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:17 ########### ########## Tcl recorder starts at 04/27/14 18:31:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:44 ########### ########## Tcl recorder starts at 04/27/14 18:31:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:53 ########### ########## Tcl recorder starts at 04/27/14 18:35:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:35:00 ########### ########## Tcl recorder starts at 04/27/14 18:35:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:35:03 ########### ########## Tcl recorder starts at 04/27/14 18:36:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:36:04 ########### ########## Tcl recorder starts at 04/27/14 18:36:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:36:08 ########### ########## Tcl recorder starts at 04/28/14 23:12:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:12:00 ########### ########## Tcl recorder starts at 04/28/14 23:12:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:12:11 ########### ########## Tcl recorder starts at 04/28/14 23:15:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:15:54 ########### ########## Tcl recorder starts at 04/28/14 23:15:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:15:56 ########### ########## Tcl recorder starts at 04/28/14 23:16:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:16:51 ########### ########## Tcl recorder starts at 04/28/14 23:16:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:16:52 ########### ########## Tcl recorder starts at 04/28/14 23:18:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:18:08 ########### ########## Tcl recorder starts at 04/28/14 23:18:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:18:11 ########### ########## Tcl recorder starts at 04/28/14 23:18:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:18:26 ########### ########## Tcl recorder starts at 04/28/14 23:18:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:18:29 ########### ########## Tcl recorder starts at 04/28/14 23:19:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:19:17 ########### ########## Tcl recorder starts at 04/28/14 23:19:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:19:21 ########### ########## Tcl recorder starts at 04/28/14 23:20:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:20:12 ########### ########## Tcl recorder starts at 04/28/14 23:20:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:20:14 ########### ########## Tcl recorder starts at 04/28/14 23:22:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:22:35 ########### ########## Tcl recorder starts at 04/28/14 23:22:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:22:39 ########### ########## Tcl recorder starts at 04/28/14 23:22:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:22:58 ########### ########## Tcl recorder starts at 04/28/14 23:23:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:23:31 ########### ########## Tcl recorder starts at 04/28/14 23:23:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:23:34 ########### ########## Tcl recorder starts at 04/28/14 23:23:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:23:48 ########### ########## Tcl recorder starts at 04/28/14 23:23:56 ########## # Commands to make the Process: # ISC-1532 File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2i "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:23:56 ########### ########## Tcl recorder starts at 04/28/14 23:26:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:26:06 ########### ########## Tcl recorder starts at 04/28/14 23:26:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:26:08 ########### ########## Tcl recorder starts at 04/28/14 23:26:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:26:27 ########### ########## Tcl recorder starts at 04/28/14 23:26:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:26:29 ########### ########## Tcl recorder starts at 04/28/14 23:27:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:27:55 ########### ########## Tcl recorder starts at 04/28/14 23:27:56 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:27:56 ########### ########## Tcl recorder starts at 04/28/14 23:33:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:33:32 ########### ########## Tcl recorder starts at 04/28/14 23:33:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:33:36 ########### ########## Tcl recorder starts at 04/28/14 23:34:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:34:58 ########### ########## Tcl recorder starts at 04/28/14 23:35:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:35:01 ########### ########## Tcl recorder starts at 04/28/14 23:40:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:40:57 ########### ########## Tcl recorder starts at 04/28/14 23:41:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:41:09 ########### ########## Tcl recorder starts at 04/29/14 08:22:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 08:22:01 ########### ########## Tcl recorder starts at 04/29/14 08:22:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 08:22:05 ########### ########## Tcl recorder starts at 04/29/14 08:24:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 08:24:46 ########### ########## Tcl recorder starts at 04/29/14 08:24:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 08:24:52 ########### ########## Tcl recorder starts at 04/29/14 18:14:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 18:14:54 ########### ########## Tcl recorder starts at 04/29/14 22:01:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:01:00 ########### ########## Tcl recorder starts at 04/29/14 22:01:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:01:06 ########### ########## Tcl recorder starts at 04/29/14 22:03:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:03:25 ########### ########## Tcl recorder starts at 04/29/14 22:03:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:03:30 ########### ########## Tcl recorder starts at 04/29/14 22:05:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:05:53 ########### ########## Tcl recorder starts at 04/29/14 22:07:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:07:50 ########### ########## Tcl recorder starts at 04/29/14 22:08:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:08:01 ########### ########## Tcl recorder starts at 04/29/14 22:09:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:09:01 ########### ########## Tcl recorder starts at 04/29/14 22:09:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:09:03 ########### ########## Tcl recorder starts at 04/29/14 22:10:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:10:17 ########### ########## Tcl recorder starts at 04/29/14 22:10:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:10:20 ########### ########## Tcl recorder starts at 04/29/14 22:12:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:12:02 ########### ########## Tcl recorder starts at 04/29/14 22:12:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:12:03 ########### ########## Tcl recorder starts at 04/29/14 22:12:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:12:37 ########### ########## Tcl recorder starts at 04/29/14 22:13:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:13:28 ########### ########## Tcl recorder starts at 04/29/14 22:13:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:13:34 ########### ########## Tcl recorder starts at 04/29/14 22:14:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:14:27 ########### ########## Tcl recorder starts at 04/29/14 22:14:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:14:29 ########### ########## Tcl recorder starts at 04/29/14 22:17:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:17:36 ########### ########## Tcl recorder starts at 04/29/14 22:20:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:20:19 ########### ########## Tcl recorder starts at 04/29/14 22:20:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:20:23 ########### ########## Tcl recorder starts at 04/29/14 22:21:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:21:04 ########### ########## Tcl recorder starts at 04/29/14 22:21:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:21:06 ########### ########## Tcl recorder starts at 04/29/14 22:22:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:22:07 ########### ########## Tcl recorder starts at 04/29/14 22:22:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:22:10 ########### ########## Tcl recorder starts at 04/29/14 22:24:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:24:05 ########### ########## Tcl recorder starts at 04/29/14 22:24:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:24:09 ########### ########## Tcl recorder starts at 04/29/14 22:29:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:29:44 ########### ########## Tcl recorder starts at 04/29/14 22:29:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:29:58 ########### ########## Tcl recorder starts at 04/30/14 16:53:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:53:57 ########### ########## Tcl recorder starts at 04/30/14 16:55:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:55:36 ########### ########## Tcl recorder starts at 04/30/14 16:55:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:55:40 ########### ########## Tcl recorder starts at 04/30/14 16:55:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:55:51 ########### ########## Tcl recorder starts at 04/30/14 16:55:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:55:53 ########### ########## Tcl recorder starts at 04/30/14 16:56:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:56:17 ########### ########## Tcl recorder starts at 04/30/14 16:56:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:56:22 ########### ########## Tcl recorder starts at 04/30/14 17:00:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:00:28 ########### ########## Tcl recorder starts at 04/30/14 17:00:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:00:35 ########### ########## Tcl recorder starts at 04/30/14 17:01:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:01:11 ########### ########## Tcl recorder starts at 04/30/14 17:01:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:01:16 ########### ########## Tcl recorder starts at 04/30/14 17:02:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:02:32 ########### ########## Tcl recorder starts at 04/30/14 17:04:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:04:57 ########### ########## Tcl recorder starts at 04/30/14 17:05:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:05:56 ########### ########## Tcl recorder starts at 04/30/14 17:05:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:05:58 ########### ########## Tcl recorder starts at 04/30/14 17:13:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:13:48 ########### ########## Tcl recorder starts at 04/30/14 17:13:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:13:59 ########### ########## Tcl recorder starts at 04/30/14 17:14:05 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:14:05 ########### ########## Tcl recorder starts at 04/30/14 17:14:33 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:14:33 ########### ########## Tcl recorder starts at 04/30/14 17:15:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:15:44 ########### ########## Tcl recorder starts at 04/30/14 17:15:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:15:53 ########### ########## Tcl recorder starts at 04/30/14 17:18:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:18:06 ########### ########## Tcl recorder starts at 04/30/14 17:18:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:18:15 ########### ########## Tcl recorder starts at 04/30/14 17:18:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:18:34 ########### ########## Tcl recorder starts at 04/30/14 17:21:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:21:37 ########### ########## Tcl recorder starts at 04/30/14 17:21:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:21:58 ########### ########## Tcl recorder starts at 04/30/14 17:22:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:22:05 ########### ########## Tcl recorder starts at 04/30/14 17:27:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:27:34 ########### ########## Tcl recorder starts at 04/30/14 17:29:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:29:17 ########### ########## Tcl recorder starts at 04/30/14 17:29:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:29:42 ########### ########## Tcl recorder starts at 04/30/14 17:30:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:30:12 ########### ########## Tcl recorder starts at 04/30/14 17:30:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:30:14 ########### ########## Tcl recorder starts at 04/30/14 17:33:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:33:24 ########### ########## Tcl recorder starts at 04/30/14 17:33:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:33:53 ########### ########## Tcl recorder starts at 04/30/14 17:35:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:35:56 ########### ########## Tcl recorder starts at 04/30/14 17:36:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:36:01 ########### ########## Tcl recorder starts at 04/30/14 17:45:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:45:07 ########### ########## Tcl recorder starts at 04/30/14 17:45:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:45:08 ########### ########## Tcl recorder starts at 04/30/14 17:47:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:47:13 ########### ########## Tcl recorder starts at 04/30/14 17:47:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:47:27 ########### ########## Tcl recorder starts at 04/30/14 17:49:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:49:45 ########### ########## Tcl recorder starts at 04/30/14 17:49:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:49:54 ########### ########## Tcl recorder starts at 04/30/14 18:19:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:19:33 ########### ########## Tcl recorder starts at 04/30/14 18:19:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:19:47 ########### ########## Tcl recorder starts at 04/30/14 18:20:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:20:05 ########### ########## Tcl recorder starts at 04/30/14 18:20:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:20:30 ########### ########## Tcl recorder starts at 04/30/14 18:20:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:20:35 ########### ########## Tcl recorder starts at 04/30/14 18:21:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:21:00 ########### ########## Tcl recorder starts at 04/30/14 18:21:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:21:06 ########### ########## Tcl recorder starts at 04/30/14 18:36:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:36:51 ########### ########## Tcl recorder starts at 04/30/14 18:37:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:37:43 ########### ########## Tcl recorder starts at 04/30/14 18:37:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:37:48 ########### ########## Tcl recorder starts at 04/30/14 18:43:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:43:08 ########### ########## Tcl recorder starts at 04/30/14 19:43:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 19:43:35 ########### ########## Tcl recorder starts at 04/30/14 19:44:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 19:44:08 ########### ########## Tcl recorder starts at 04/30/14 19:44:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 19:44:45 ########### ########## Tcl recorder starts at 04/30/14 20:10:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:10:17 ########### ########## Tcl recorder starts at 04/30/14 20:11:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:11:19 ########### ########## Tcl recorder starts at 04/30/14 20:11:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:11:25 ########### ########## Tcl recorder starts at 04/30/14 20:11:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:11:49 ########### ########## Tcl recorder starts at 04/30/14 20:13:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:13:35 ########### ########## Tcl recorder starts at 04/30/14 20:14:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:14:05 ########### ########## Tcl recorder starts at 04/30/14 20:15:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:15:07 ########### ########## Tcl recorder starts at 04/30/14 20:16:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:16:42 ########### ########## Tcl recorder starts at 04/30/14 20:31:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:31:34 ########### ########## Tcl recorder starts at 04/30/14 20:31:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:31:40 ########### ########## Tcl recorder starts at 04/30/14 20:37:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:37:11 ########### ########## Tcl recorder starts at 04/30/14 20:37:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:37:19 ########### ########## Tcl recorder starts at 04/30/14 20:41:34 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:41:34 ########### ########## Tcl recorder starts at 04/30/14 20:42:27 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:42:27 ########### ########## Tcl recorder starts at 04/30/14 20:43:51 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:43:51 ########### ########## Tcl recorder starts at 04/30/14 20:44:31 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:44:31 ########### ########## Tcl recorder starts at 04/30/14 20:46:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:46:21 ########### ########## Tcl recorder starts at 04/30/14 20:47:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:47:01 ########### ########## Tcl recorder starts at 04/30/14 20:47:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:47:07 ########### ########## Tcl recorder starts at 05/01/14 12:42:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:42:12 ########### ########## Tcl recorder starts at 05/01/14 12:42:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:42:12 ########### ########## Tcl recorder starts at 05/01/14 12:42:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:42:28 ########### ########## Tcl recorder starts at 05/01/14 12:43:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:43:42 ########### ########## Tcl recorder starts at 05/01/14 12:43:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:43:45 ########### ########## Tcl recorder starts at 05/01/14 12:49:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:49:37 ########### ########## Tcl recorder starts at 05/01/14 12:49:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:49:37 ########### ########## Tcl recorder starts at 05/01/14 12:50:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:50:54 ########### ########## Tcl recorder starts at 05/01/14 12:51:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:51:02 ########### ########## Tcl recorder starts at 05/01/14 12:51:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:51:51 ########### ########## Tcl recorder starts at 05/01/14 12:51:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:51:58 ########### ########## Tcl recorder starts at 05/01/14 12:52:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:52:10 ########### ########## Tcl recorder starts at 05/01/14 12:53:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:53:31 ########### ########## Tcl recorder starts at 05/01/14 12:53:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:53:54 ########### ########## Tcl recorder starts at 05/01/14 12:57:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:57:34 ########### ########## Tcl recorder starts at 05/01/14 12:58:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:58:01 ########### ########## Tcl recorder starts at 05/01/14 12:59:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:59:02 ########### ########## Tcl recorder starts at 05/01/14 12:59:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:59:26 ########### ########## Tcl recorder starts at 05/01/14 12:59:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:59:27 ########### ########## Tcl recorder starts at 05/01/14 13:00:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:00:39 ########### ########## Tcl recorder starts at 05/01/14 13:00:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:00:41 ########### ########## Tcl recorder starts at 05/01/14 13:02:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:02:12 ########### ########## Tcl recorder starts at 05/01/14 13:02:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:02:47 ########### ########## Tcl recorder starts at 05/01/14 13:09:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:09:39 ########### ########## Tcl recorder starts at 05/01/14 13:19:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:19:14 ########### ########## Tcl recorder starts at 05/01/14 13:19:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:19:15 ########### ########## Tcl recorder starts at 05/01/14 13:20:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:03 ########### ########## Tcl recorder starts at 05/01/14 13:20:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:05 ########### ########## Tcl recorder starts at 05/01/14 13:20:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:19 ########### ########## Tcl recorder starts at 05/01/14 13:20:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:21 ########### ########## Tcl recorder starts at 05/01/14 13:20:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:36 ########### ########## Tcl recorder starts at 05/01/14 13:20:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:38 ########### ########## Tcl recorder starts at 05/01/14 13:20:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:55 ########### ########## Tcl recorder starts at 05/01/14 13:21:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:00 ########### ########## Tcl recorder starts at 05/01/14 13:21:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:13 ########### ########## Tcl recorder starts at 05/01/14 13:21:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:15 ########### ########## Tcl recorder starts at 05/01/14 13:21:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:37 ########### ########## Tcl recorder starts at 05/01/14 13:21:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:40 ########### ########## Tcl recorder starts at 05/01/14 13:24:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:24:45 ########### ########## Tcl recorder starts at 05/01/14 13:24:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:24:51 ########### ########## Tcl recorder starts at 05/01/14 13:33:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:33:24 ########### ########## Tcl recorder starts at 05/01/14 13:33:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:33:26 ########### ########## Tcl recorder starts at 05/01/14 13:34:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:34:51 ########### ########## Tcl recorder starts at 05/01/14 13:34:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:34:55 ########### ########## Tcl recorder starts at 05/01/14 13:37:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:37:30 ########### ########## Tcl recorder starts at 05/01/14 13:37:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:37:55 ########### ########## Tcl recorder starts at 05/01/14 13:38:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:38:03 ########### ########## Tcl recorder starts at 05/01/14 13:41:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:41:40 ########### ########## Tcl recorder starts at 05/01/14 13:41:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:41:46 ########### ########## Tcl recorder starts at 05/01/14 13:42:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:42:55 ########### ########## Tcl recorder starts at 05/01/14 13:43:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:43:06 ########### ########## Tcl recorder starts at 05/01/14 13:43:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:43:14 ########### ########## Tcl recorder starts at 05/01/14 13:44:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:44:18 ########### ########## Tcl recorder starts at 05/01/14 13:44:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:44:20 ########### ########## Tcl recorder starts at 05/01/14 15:58:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 15:58:24 ########### ########## Tcl recorder starts at 05/01/14 15:58:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 15:58:35 ########### ########## Tcl recorder starts at 05/01/14 18:26:45 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 18:26:45 ########### ########## Tcl recorder starts at 05/01/14 19:03:56 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:03:56 ########### ########## Tcl recorder starts at 05/01/14 19:04:15 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:04:15 ########### ########## Tcl recorder starts at 05/01/14 19:05:16 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:05:16 ########### ########## Tcl recorder starts at 05/01/14 19:17:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:17:13 ########### ########## Tcl recorder starts at 05/01/14 19:20:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:20:03 ########### ########## Tcl recorder starts at 05/01/14 19:20:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:20:11 ########### ########## Tcl recorder starts at 05/01/14 19:29:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:29:33 ########### ########## Tcl recorder starts at 05/01/14 19:29:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:29:39 ########### ########## Tcl recorder starts at 05/01/14 19:31:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:31:08 ########### ########## Tcl recorder starts at 05/01/14 19:31:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:31:14 ########### ########## Tcl recorder starts at 05/01/14 19:35:28 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:35:28 ########### ########## Tcl recorder starts at 05/01/14 19:35:51 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:35:51 ########### ########## Tcl recorder starts at 05/01/14 19:37:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:37:41 ########### ########## Tcl recorder starts at 05/01/14 19:39:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:39:07 ########### ########## Tcl recorder starts at 05/01/14 19:39:10 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:39:10 ########### ########## Tcl recorder starts at 05/01/14 19:39:32 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:39:32 ########### ########## Tcl recorder starts at 05/01/14 19:41:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:41:56 ########### ########## Tcl recorder starts at 05/01/14 19:42:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:42:01 ########### ########## Tcl recorder starts at 05/01/14 19:50:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:50:02 ########### ########## Tcl recorder starts at 05/01/14 19:50:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:50:04 ########### ########## Tcl recorder starts at 05/01/14 19:51:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:51:06 ########### ########## Tcl recorder starts at 05/01/14 19:51:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:51:22 ########### ########## Tcl recorder starts at 05/01/14 19:51:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:51:24 ########### ########## Tcl recorder starts at 05/01/14 20:12:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:12:55 ########### ########## Tcl recorder starts at 05/01/14 20:12:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:12:55 ########### ########## Tcl recorder starts at 05/01/14 20:15:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:15:38 ########### ########## Tcl recorder starts at 05/01/14 20:15:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:15:58 ########### ########## Tcl recorder starts at 05/01/14 20:17:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:17:41 ########### ########## Tcl recorder starts at 05/01/14 20:17:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:17:44 ########### ########## Tcl recorder starts at 05/01/14 20:26:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:26:18 ########### ########## Tcl recorder starts at 05/01/14 20:27:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:27:08 ########### ########## Tcl recorder starts at 05/01/14 23:18:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 23:18:19 ########### ########## Tcl recorder starts at 05/01/14 23:18:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 23:18:27 ########### ########## Tcl recorder starts at 05/01/14 23:26:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 23:26:12 ########### ########## Tcl recorder starts at 05/02/14 11:10:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:10:40 ########### ########## Tcl recorder starts at 05/02/14 11:11:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:11:22 ########### ########## Tcl recorder starts at 05/02/14 11:11:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:11:44 ########### ########## Tcl recorder starts at 05/02/14 11:11:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:11:46 ########### ########## Tcl recorder starts at 05/02/14 11:16:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:16:01 ########### ########## Tcl recorder starts at 05/02/14 11:16:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:16:13 ########### ########## Tcl recorder starts at 05/02/14 11:16:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:16:21 ########### ########## Tcl recorder starts at 05/02/14 11:30:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:30:03 ########### ########## Tcl recorder starts at 05/02/14 11:30:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:30:03 ########### ########## Tcl recorder starts at 05/02/14 11:33:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:33:50 ########### ########## Tcl recorder starts at 05/02/14 11:33:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:33:55 ########### ########## Tcl recorder starts at 05/02/14 11:34:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:34:12 ########### ########## Tcl recorder starts at 05/02/14 11:34:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:34:50 ########### ########## Tcl recorder starts at 05/02/14 11:35:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:35:12 ########### ########## Tcl recorder starts at 05/02/14 11:35:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:35:24 ########### ########## Tcl recorder starts at 05/02/14 11:35:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:35:26 ########### ########## Tcl recorder starts at 05/02/14 11:36:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:36:29 ########### ########## Tcl recorder starts at 05/02/14 11:36:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:36:43 ########### ########## Tcl recorder starts at 05/02/14 11:39:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:39:45 ########### ########## Tcl recorder starts at 05/02/14 11:39:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:39:47 ########### ########## Tcl recorder starts at 05/02/14 11:40:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:40:02 ########### ########## Tcl recorder starts at 05/02/14 11:40:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:40:03 ########### ########## Tcl recorder starts at 05/02/14 11:43:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:43:02 ########### ########## Tcl recorder starts at 05/02/14 11:44:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:44:39 ########### ########## Tcl recorder starts at 05/02/14 11:44:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:44:43 ########### ########## Tcl recorder starts at 05/02/14 11:45:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:45:21 ########### ########## Tcl recorder starts at 05/02/14 11:45:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:45:26 ########### ########## Tcl recorder starts at 05/02/14 11:50:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:50:37 ########### ########## Tcl recorder starts at 05/02/14 11:50:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:50:52 ########### ########## Tcl recorder starts at 05/02/14 11:50:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:50:59 ########### ########## Tcl recorder starts at 05/02/14 11:53:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:53:41 ########### ########## Tcl recorder starts at 05/02/14 11:54:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:54:33 ########### ########## Tcl recorder starts at 05/02/14 11:54:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:54:43 ########### ########## Tcl recorder starts at 05/02/14 11:55:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:55:03 ########### ########## Tcl recorder starts at 05/02/14 11:57:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:57:07 ########### ########## Tcl recorder starts at 05/02/14 11:57:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:57:16 ########### ########## Tcl recorder starts at 05/02/14 12:12:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:12:11 ########### ########## Tcl recorder starts at 05/02/14 12:12:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:12:13 ########### ########## Tcl recorder starts at 05/02/14 12:16:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:16:17 ########### ########## Tcl recorder starts at 05/02/14 12:16:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:16:59 ########### ########## Tcl recorder starts at 05/02/14 12:17:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:17:35 ########### ########## Tcl recorder starts at 05/02/14 12:20:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:20:50 ########### ########## Tcl recorder starts at 05/02/14 12:22:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:22:53 ########### ########## Tcl recorder starts at 05/02/14 12:25:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:25:26 ########### ########## Tcl recorder starts at 05/02/14 12:25:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:25:26 ########### ########## Tcl recorder starts at 05/02/14 12:26:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:26:11 ########### ########## Tcl recorder starts at 05/02/14 12:26:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:26:21 ########### ########## Tcl recorder starts at 05/02/14 12:32:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:32:54 ########### ########## Tcl recorder starts at 05/02/14 12:32:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:32:59 ########### ########## Tcl recorder starts at 05/02/14 12:40:45 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:40:45 ########### ########## Tcl recorder starts at 05/02/14 12:41:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:41:14 ########### ########## Tcl recorder starts at 05/02/14 12:49:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:49:31 ########### ########## Tcl recorder starts at 05/02/14 12:51:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:51:29 ########### ########## Tcl recorder starts at 05/02/14 12:51:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:51:42 ########### ########## Tcl recorder starts at 05/02/14 16:16:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:16:06 ########### ########## Tcl recorder starts at 05/02/14 16:16:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:16:46 ########### ########## Tcl recorder starts at 05/02/14 16:16:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:16:51 ########### ########## Tcl recorder starts at 05/02/14 16:17:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:17:27 ########### ########## Tcl recorder starts at 05/02/14 16:17:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:17:28 ########### ########## Tcl recorder starts at 05/02/14 16:22:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:22:43 ########### ########## Tcl recorder starts at 05/02/14 16:22:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:22:49 ########### ########## Tcl recorder starts at 05/02/14 16:25:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:25:10 ########### ########## Tcl recorder starts at 05/02/14 16:25:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:25:14 ########### ########## Tcl recorder starts at 05/02/14 16:26:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:26:25 ########### ########## Tcl recorder starts at 05/02/14 16:26:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:26:44 ########### ########## Tcl recorder starts at 05/02/14 19:08:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:08:32 ########### ########## Tcl recorder starts at 05/02/14 19:08:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:08:39 ########### ########## Tcl recorder starts at 05/02/14 19:09:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:09:36 ########### ########## Tcl recorder starts at 05/02/14 19:09:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:09:39 ########### ########## Tcl recorder starts at 05/02/14 19:10:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:10:17 ########### ########## Tcl recorder starts at 05/02/14 19:10:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:10:22 ########### ########## Tcl recorder starts at 05/02/14 19:11:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:11:13 ########### ########## Tcl recorder starts at 05/02/14 19:11:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:11:16 ########### ########## Tcl recorder starts at 05/02/14 19:14:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:14:31 ########### ########## Tcl recorder starts at 05/02/14 19:14:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:14:36 ########### ########## Tcl recorder starts at 05/02/14 19:16:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:16:01 ########### ########## Tcl recorder starts at 05/02/14 19:16:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:16:39 ########### ########## Tcl recorder starts at 05/02/14 19:16:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:16:44 ########### ########## Tcl recorder starts at 05/02/14 19:17:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:17:37 ########### ########## Tcl recorder starts at 05/02/14 19:17:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:17:42 ########### ########## Tcl recorder starts at 05/03/14 11:10:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:10:31 ########### ########## Tcl recorder starts at 05/03/14 11:10:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:10:39 ########### ########## Tcl recorder starts at 05/03/14 11:12:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:12:56 ########### ########## Tcl recorder starts at 05/03/14 11:13:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:13:13 ########### ########## Tcl recorder starts at 05/03/14 11:14:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:14:23 ########### ########## Tcl recorder starts at 05/03/14 11:14:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:14:41 ########### ########## Tcl recorder starts at 05/03/14 11:14:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:14:48 ########### ########## Tcl recorder starts at 05/03/14 11:15:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:15:46 ########### ########## Tcl recorder starts at 05/03/14 11:15:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:15:51 ########### ########## Tcl recorder starts at 05/03/14 11:16:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:16:26 ########### ########## Tcl recorder starts at 05/03/14 11:16:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:16:28 ########### ########## Tcl recorder starts at 05/03/14 11:17:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:17:20 ########### ########## Tcl recorder starts at 05/03/14 11:17:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:17:23 ########### ########## Tcl recorder starts at 05/03/14 11:33:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:33:05 ########### ########## Tcl recorder starts at 05/03/14 20:54:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 20:54:38 ########### ########## Tcl recorder starts at 05/03/14 20:55:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 20:55:33 ########### ########## Tcl recorder starts at 05/03/14 21:02:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 21:02:00 ########### ########## Tcl recorder starts at 05/03/14 21:02:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 21:02:02 ########### ########## Tcl recorder starts at 05/03/14 21:59:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 21:59:42 ########### ########## Tcl recorder starts at 05/03/14 21:59:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 21:59:53 ########### ########## Tcl recorder starts at 05/03/14 22:02:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:02:32 ########### ########## Tcl recorder starts at 05/03/14 22:02:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:02:40 ########### ########## Tcl recorder starts at 05/03/14 22:02:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:02:45 ########### ########## Tcl recorder starts at 05/03/14 22:03:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:03:51 ########### ########## Tcl recorder starts at 05/03/14 22:04:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:04:05 ########### ########## Tcl recorder starts at 05/03/14 22:04:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:04:16 ########### ########## Tcl recorder starts at 05/04/14 10:18:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:18:22 ########### ########## Tcl recorder starts at 05/04/14 10:20:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:20:27 ########### ########## Tcl recorder starts at 05/04/14 10:40:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:40:08 ########### ########## Tcl recorder starts at 05/04/14 10:41:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:41:01 ########### ########## Tcl recorder starts at 05/04/14 10:41:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:41:20 ########### ########## Tcl recorder starts at 05/04/14 10:41:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:41:27 ########### ########## Tcl recorder starts at 05/04/14 10:41:41 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:41:41 ########### ########## Tcl recorder starts at 05/04/14 10:54:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:54:32 ########### ########## Tcl recorder starts at 05/04/14 10:55:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:55:20 ########### ########## Tcl recorder starts at 05/04/14 11:02:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:02:42 ########### ########## Tcl recorder starts at 05/04/14 11:02:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:02:47 ########### ########## Tcl recorder starts at 05/04/14 11:03:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:03:42 ########### ########## Tcl recorder starts at 05/04/14 11:03:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:03:47 ########### ########## Tcl recorder starts at 05/04/14 11:04:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:04:54 ########### ########## Tcl recorder starts at 05/04/14 11:05:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:05:05 ########### ########## Tcl recorder starts at 05/04/14 11:05:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:05:09 ########### ########## Tcl recorder starts at 05/04/14 11:06:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:06:04 ########### ########## Tcl recorder starts at 05/04/14 11:06:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:06:05 ########### ########## Tcl recorder starts at 05/04/14 11:07:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:07:04 ########### ########## Tcl recorder starts at 05/04/14 11:07:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:07:09 ########### ########## Tcl recorder starts at 05/04/14 11:12:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:12:55 ########### ########## Tcl recorder starts at 05/04/14 11:13:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:13:02 ########### ########## Tcl recorder starts at 05/04/14 11:14:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:14:14 ########### ########## Tcl recorder starts at 05/04/14 11:14:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:14:16 ########### ########## Tcl recorder starts at 05/04/14 11:20:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:20:03 ########### ########## Tcl recorder starts at 05/04/14 11:20:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:20:07 ########### ########## Tcl recorder starts at 05/04/14 11:21:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:21:03 ########### ########## Tcl recorder starts at 05/04/14 11:21:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:21:05 ########### ########## Tcl recorder starts at 05/04/14 11:22:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:22:09 ########### ########## Tcl recorder starts at 05/04/14 11:22:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:22:11 ########### ########## Tcl recorder starts at 05/04/14 11:54:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:54:35 ########### ########## Tcl recorder starts at 05/04/14 11:54:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:54:48 ########### ########## Tcl recorder starts at 05/04/14 11:59:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:59:33 ########### ########## Tcl recorder starts at 05/04/14 11:59:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:59:38 ########### ########## Tcl recorder starts at 05/04/14 12:00:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:00:33 ########### ########## Tcl recorder starts at 05/04/14 12:00:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:00:37 ########### ########## Tcl recorder starts at 05/04/14 12:08:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:08:32 ########### ########## Tcl recorder starts at 05/04/14 12:08:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:08:46 ########### ########## Tcl recorder starts at 05/04/14 12:08:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:08:50 ########### ########## Tcl recorder starts at 05/04/14 12:10:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:10:36 ########### ########## Tcl recorder starts at 05/04/14 12:10:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:10:36 ########### ########## Tcl recorder starts at 05/04/14 12:11:41 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:11:41 ########### ########## Tcl recorder starts at 05/04/14 12:13:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:13:38 ########### ########## Tcl recorder starts at 05/04/14 12:14:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:14:35 ########### ########## Tcl recorder starts at 05/04/14 12:14:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:14:37 ########### ########## Tcl recorder starts at 05/04/14 12:16:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:16:49 ########### ########## Tcl recorder starts at 05/04/14 12:16:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:16:49 ########### ########## Tcl recorder starts at 05/04/14 12:18:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:18:18 ########### ########## Tcl recorder starts at 05/04/14 12:18:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:18:21 ########### ########## Tcl recorder starts at 05/07/14 13:54:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 13:54:08 ########### ########## Tcl recorder starts at 05/07/14 13:54:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 13:54:15 ########### ########## Tcl recorder starts at 05/07/14 13:55:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 13:55:30 ########### ########## Tcl recorder starts at 05/07/14 13:55:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 13:55:36 ########### ########## Tcl recorder starts at 05/07/14 14:00:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:00:00 ########### ########## Tcl recorder starts at 05/07/14 14:00:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:00:04 ########### ########## Tcl recorder starts at 05/07/14 14:01:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:01:11 ########### ########## Tcl recorder starts at 05/07/14 14:01:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:01:24 ########### ########## Tcl recorder starts at 05/07/14 14:09:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:09:51 ########### ########## Tcl recorder starts at 05/07/14 14:09:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:09:59 ########### ########## Tcl recorder starts at 05/07/14 14:10:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:10:36 ########### ########## Tcl recorder starts at 05/07/14 14:10:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:10:43 ########### ########## Tcl recorder starts at 05/07/14 14:32:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:32:39 ########### ########## Tcl recorder starts at 05/07/14 14:33:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:33:02 ########### ########## Tcl recorder starts at 05/07/14 14:34:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:34:35 ########### ########## Tcl recorder starts at 05/07/14 14:34:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:34:39 ########### ########## Tcl recorder starts at 05/07/14 14:36:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:36:35 ########### ########## Tcl recorder starts at 05/07/14 14:36:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:36:37 ########### ########## Tcl recorder starts at 05/07/14 14:38:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:38:38 ########### ########## Tcl recorder starts at 05/07/14 14:38:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:38:46 ########### ########## Tcl recorder starts at 05/07/14 14:39:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:39:01 ########### ########## Tcl recorder starts at 05/07/14 14:39:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:39:03 ########### ########## Tcl recorder starts at 05/07/14 14:39:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:39:42 ########### ########## Tcl recorder starts at 05/07/14 14:39:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:39:44 ########### ########## Tcl recorder starts at 05/07/14 14:40:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:40:30 ########### ########## Tcl recorder starts at 05/07/14 14:40:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:40:31 ########### ########## Tcl recorder starts at 05/07/14 14:41:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:41:16 ########### ########## Tcl recorder starts at 05/07/14 14:41:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:41:18 ########### ########## Tcl recorder starts at 05/07/14 14:42:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:42:36 ########### ########## Tcl recorder starts at 05/07/14 14:42:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:42:38 ########### ########## Tcl recorder starts at 05/07/14 14:43:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:43:29 ########### ########## Tcl recorder starts at 05/07/14 14:43:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:43:35 ########### ########## Tcl recorder starts at 05/07/14 14:44:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:44:17 ########### ########## Tcl recorder starts at 05/07/14 14:44:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:44:19 ########### ########## Tcl recorder starts at 05/07/14 14:45:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:45:07 ########### ########## Tcl recorder starts at 05/07/14 14:45:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:45:11 ########### ########## Tcl recorder starts at 05/07/14 14:46:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:46:00 ########### ########## Tcl recorder starts at 05/07/14 14:46:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:46:04 ########### ########## Tcl recorder starts at 05/07/14 14:46:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:46:43 ########### ########## Tcl recorder starts at 05/07/14 14:46:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:46:47 ########### ########## Tcl recorder starts at 05/07/14 14:50:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:50:28 ########### ########## Tcl recorder starts at 05/07/14 14:50:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:50:33 ########### ########## Tcl recorder starts at 05/07/14 14:55:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:55:00 ########### ########## Tcl recorder starts at 05/07/14 14:55:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:55:22 ########### ########## Tcl recorder starts at 05/07/14 14:57:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:57:55 ########### ########## Tcl recorder starts at 05/07/14 14:59:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:59:21 ########### ########## Tcl recorder starts at 05/07/14 14:59:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:59:28 ########### ########## Tcl recorder starts at 05/07/14 14:59:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:59:31 ########### ########## Tcl recorder starts at 05/07/14 16:35:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 16:35:15 ########### ########## Tcl recorder starts at 05/07/14 16:35:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 16:35:21 ########### ########## Tcl recorder starts at 05/07/14 16:38:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 16:38:00 ########### ########## Tcl recorder starts at 05/07/14 16:38:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 16:38:03 ########### ########## Tcl recorder starts at 05/07/14 17:23:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 17:23:01 ########### ########## Tcl recorder starts at 05/07/14 17:23:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 17:23:06 ########### ########## Tcl recorder starts at 05/07/14 17:24:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 17:24:31 ########### ########## Tcl recorder starts at 05/07/14 17:24:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 17:24:35 ########### ########## Tcl recorder starts at 05/07/14 21:29:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 21:29:40 ########### ########## Tcl recorder starts at 05/07/14 21:29:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 21:29:46 ########### ########## Tcl recorder starts at 05/07/14 22:03:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:03:01 ########### ########## Tcl recorder starts at 05/07/14 22:03:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:03:04 ########### ########## Tcl recorder starts at 05/07/14 22:03:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:03:25 ########### ########## Tcl recorder starts at 05/07/14 22:03:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:03:27 ########### ########## Tcl recorder starts at 05/07/14 22:04:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:04:13 ########### ########## Tcl recorder starts at 05/07/14 22:04:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:04:15 ########### ########## Tcl recorder starts at 05/07/14 22:52:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:52:29 ########### ########## Tcl recorder starts at 05/07/14 22:52:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:52:33 ########### ########## Tcl recorder starts at 05/07/14 22:53:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:53:50 ########### ########## Tcl recorder starts at 05/07/14 22:54:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:54:13 ########### ########## Tcl recorder starts at 05/07/14 22:54:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:54:15 ########### ########## Tcl recorder starts at 05/08/14 11:46:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 11:46:36 ########### ########## Tcl recorder starts at 05/08/14 11:46:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 11:46:52 ########### ########## Tcl recorder starts at 05/08/14 12:59:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 12:59:07 ########### ########## Tcl recorder starts at 05/08/14 12:59:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 12:59:13 ########### ########## Tcl recorder starts at 05/08/14 13:02:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:02:51 ########### ########## Tcl recorder starts at 05/08/14 13:02:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:02:53 ########### ########## Tcl recorder starts at 05/08/14 13:04:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:04:33 ########### ########## Tcl recorder starts at 05/08/14 13:04:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:04:53 ########### ########## Tcl recorder starts at 05/08/14 13:07:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:07:03 ########### ########## Tcl recorder starts at 05/08/14 13:07:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:07:08 ########### ########## Tcl recorder starts at 05/08/14 13:08:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:08:23 ########### ########## Tcl recorder starts at 05/08/14 13:08:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:08:42 ########### ########## Tcl recorder starts at 05/08/14 13:08:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:08:45 ########### ########## Tcl recorder starts at 05/08/14 13:09:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:00 ########### ########## Tcl recorder starts at 05/08/14 13:09:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:00 ########### ########## Tcl recorder starts at 05/08/14 13:09:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:43 ########### ########## Tcl recorder starts at 05/08/14 13:09:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:54 ########### ########## Tcl recorder starts at 05/08/14 13:09:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:58 ########### ########## Tcl recorder starts at 05/08/14 13:11:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:11:03 ########### ########## Tcl recorder starts at 05/08/14 13:11:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:11:10 ########### ########## Tcl recorder starts at 05/08/14 13:12:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:12:06 ########### ########## Tcl recorder starts at 05/08/14 13:12:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:12:13 ########### ########## Tcl recorder starts at 05/08/14 13:12:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:12:54 ########### ########## Tcl recorder starts at 05/08/14 13:12:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:12:58 ########### ########## Tcl recorder starts at 05/08/14 13:13:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:13:45 ########### ########## Tcl recorder starts at 05/08/14 13:13:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:13:47 ########### ########## Tcl recorder starts at 05/08/14 13:16:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:16:08 ########### ########## Tcl recorder starts at 05/08/14 13:16:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:16:27 ########### ########## Tcl recorder starts at 05/08/14 13:16:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:16:35 ########### ########## Tcl recorder starts at 05/08/14 13:17:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:17:48 ########### ########## Tcl recorder starts at 05/08/14 13:17:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:17:50 ########### ########## Tcl recorder starts at 05/08/14 13:19:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:19:15 ########### ########## Tcl recorder starts at 05/08/14 13:19:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:19:34 ########### ########## Tcl recorder starts at 05/08/14 13:19:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:19:48 ########### ########## Tcl recorder starts at 05/08/14 13:20:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:20:38 ########### ########## Tcl recorder starts at 05/08/14 13:20:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:20:45 ########### ########## Tcl recorder starts at 05/08/14 13:23:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:23:43 ########### ########## Tcl recorder starts at 05/08/14 13:23:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:23:54 ########### ########## Tcl recorder starts at 05/08/14 13:24:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:24:45 ########### ########## Tcl recorder starts at 05/08/14 13:24:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:24:48 ########### ########## Tcl recorder starts at 05/08/14 13:26:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:26:05 ########### ########## Tcl recorder starts at 05/08/14 13:26:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:26:18 ########### ########## Tcl recorder starts at 05/08/14 13:26:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:26:23 ########### ########## Tcl recorder starts at 05/08/14 13:26:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:26:27 ########### ########## Tcl recorder starts at 05/08/14 13:27:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:27:17 ########### ########## Tcl recorder starts at 05/08/14 13:27:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:27:47 ########### ########## Tcl recorder starts at 05/08/14 13:27:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:27:49 ########### ########## Tcl recorder starts at 05/08/14 13:30:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:08 ########### ########## Tcl recorder starts at 05/08/14 13:30:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:19 ########### ########## Tcl recorder starts at 05/08/14 13:30:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:37 ########### ########## Tcl recorder starts at 05/08/14 13:30:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:40 ########### ########## Tcl recorder starts at 05/08/14 13:30:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:59 ########### ########## Tcl recorder starts at 05/08/14 13:31:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:31:01 ########### ########## Tcl recorder starts at 05/08/14 13:32:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:32:04 ########### ########## Tcl recorder starts at 05/08/14 13:32:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:32:07 ########### ########## Tcl recorder starts at 05/08/14 13:32:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:32:52 ########### ########## Tcl recorder starts at 05/08/14 13:32:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:32:54 ########### ########## Tcl recorder starts at 05/08/14 13:33:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:33:28 ########### ########## Tcl recorder starts at 05/08/14 13:34:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:34:33 ########### ########## Tcl recorder starts at 05/08/14 13:34:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:34:36 ########### ########## Tcl recorder starts at 05/08/14 13:35:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:35:22 ########### ########## Tcl recorder starts at 05/08/14 13:35:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:35:25 ########### ########## Tcl recorder starts at 05/08/14 13:36:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:36:20 ########### ########## Tcl recorder starts at 05/08/14 13:36:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:36:22 ########### ########## Tcl recorder starts at 05/08/14 21:08:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:08:50 ########### ########## Tcl recorder starts at 05/08/14 21:08:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:08:55 ########### ########## Tcl recorder starts at 05/08/14 21:09:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:09:10 ########### ########## Tcl recorder starts at 05/08/14 21:09:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:09:44 ########### ########## Tcl recorder starts at 05/08/14 21:10:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:10:50 ########### ########## Tcl recorder starts at 05/08/14 21:10:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:10:59 ########### ########## Tcl recorder starts at 05/08/14 21:13:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:13:20 ########### ########## Tcl recorder starts at 05/08/14 21:13:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:13:25 ########### ########## Tcl recorder starts at 05/08/14 21:14:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:14:21 ########### ########## Tcl recorder starts at 05/08/14 21:14:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:14:24 ########### ########## Tcl recorder starts at 05/08/14 21:15:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:15:55 ########### ########## Tcl recorder starts at 05/08/14 21:16:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:16:24 ########### ########## Tcl recorder starts at 05/08/14 21:16:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:16:58 ########### ########## Tcl recorder starts at 05/08/14 21:17:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:17:01 ########### ########## Tcl recorder starts at 05/08/14 21:23:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:23:57 ########### ########## Tcl recorder starts at 05/08/14 21:24:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:24:14 ########### ########## Tcl recorder starts at 05/08/14 21:28:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:28:07 ########### ########## Tcl recorder starts at 05/08/14 21:28:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:28:14 ########### ########## Tcl recorder starts at 05/08/14 21:29:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:29:46 ########### ########## Tcl recorder starts at 05/08/14 21:29:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:29:51 ########### ########## Tcl recorder starts at 05/08/14 21:31:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:31:14 ########### ########## Tcl recorder starts at 05/08/14 21:31:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:31:17 ########### ########## Tcl recorder starts at 05/08/14 21:32:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:32:38 ########### ########## Tcl recorder starts at 05/08/14 21:32:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:32:41 ########### ########## Tcl recorder starts at 05/08/14 21:34:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:34:59 ########### ########## Tcl recorder starts at 05/08/14 21:35:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:35:08 ########### ########## Tcl recorder starts at 05/08/14 21:36:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:36:10 ########### ########## Tcl recorder starts at 05/08/14 21:36:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:36:14 ########### ########## Tcl recorder starts at 05/08/14 21:42:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:42:21 ########### ########## Tcl recorder starts at 05/08/14 21:42:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:42:55 ########### ########## Tcl recorder starts at 05/08/14 21:57:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:57:57 ########### ########## Tcl recorder starts at 05/08/14 22:01:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:01:00 ########### ########## Tcl recorder starts at 05/08/14 22:01:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:01:33 ########### ########## Tcl recorder starts at 05/08/14 22:02:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:02:26 ########### ########## Tcl recorder starts at 05/08/14 22:02:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:02:28 ########### ########## Tcl recorder starts at 05/08/14 22:03:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:03:04 ########### ########## Tcl recorder starts at 05/08/14 22:04:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:04:15 ########### ########## Tcl recorder starts at 05/08/14 22:04:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:04:51 ########### ########## Tcl recorder starts at 05/08/14 22:07:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:07:57 ########### ########## Tcl recorder starts at 05/08/14 22:08:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:08:13 ########### ########## Tcl recorder starts at 05/08/14 22:10:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:10:54 ########### ########## Tcl recorder starts at 05/08/14 22:11:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:11:21 ########### ########## Tcl recorder starts at 05/08/14 22:13:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:13:17 ########### ########## Tcl recorder starts at 05/08/14 22:15:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:15:39 ########### ########## Tcl recorder starts at 05/08/14 22:15:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:15:56 ########### ########## Tcl recorder starts at 05/08/14 22:17:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:17:40 ########### ########## Tcl recorder starts at 05/08/14 22:17:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:17:44 ########### ########## Tcl recorder starts at 05/08/14 22:26:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:26:17 ########### ########## Tcl recorder starts at 05/08/14 22:26:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:26:23 ########### ########## Tcl recorder starts at 05/08/14 22:27:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:27:35 ########### ########## Tcl recorder starts at 05/08/14 22:27:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:27:41 ########### ########## Tcl recorder starts at 05/08/14 22:30:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:30:18 ########### ########## Tcl recorder starts at 05/08/14 22:30:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:30:30 ########### ########## Tcl recorder starts at 05/08/14 22:51:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:51:42 ########### ########## Tcl recorder starts at 05/08/14 22:52:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:52:05 ########### ########## Tcl recorder starts at 05/08/14 22:53:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:53:10 ########### ########## Tcl recorder starts at 05/08/14 22:53:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:53:19 ########### ########## Tcl recorder starts at 05/08/14 23:32:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:32:37 ########### ########## Tcl recorder starts at 05/08/14 23:32:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:32:43 ########### ########## Tcl recorder starts at 05/08/14 23:33:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:33:23 ########### ########## Tcl recorder starts at 05/08/14 23:34:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:34:00 ########### ########## Tcl recorder starts at 05/08/14 23:34:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:34:02 ########### ########## Tcl recorder starts at 05/08/14 23:37:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:37:34 ########### ########## Tcl recorder starts at 05/08/14 23:38:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:38:40 ########### ########## Tcl recorder starts at 05/08/14 23:38:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:38:53 ########### ########## Tcl recorder starts at 05/08/14 23:42:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:42:16 ########### ########## Tcl recorder starts at 05/08/14 23:42:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:42:30 ########### ########## Tcl recorder starts at 05/08/14 23:42:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:42:48 ########### ########## Tcl recorder starts at 05/08/14 23:43:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:43:00 ########### ########## Tcl recorder starts at 05/08/14 23:43:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:43:02 ########### ########## Tcl recorder starts at 05/08/14 23:43:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:43:19 ########### ########## Tcl recorder starts at 05/08/14 23:43:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:43:21 ########### ########## Tcl recorder starts at 05/08/14 23:45:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:45:02 ########### ########## Tcl recorder starts at 05/09/14 10:34:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:34:25 ########### ########## Tcl recorder starts at 05/09/14 10:35:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:35:00 ########### ########## Tcl recorder starts at 05/09/14 10:35:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:35:05 ########### ########## Tcl recorder starts at 05/09/14 10:37:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:37:01 ########### ########## Tcl recorder starts at 05/09/14 10:37:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:37:07 ########### ########## Tcl recorder starts at 05/09/14 10:37:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:37:21 ########### ########## Tcl recorder starts at 05/09/14 10:37:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:37:26 ########### ########## Tcl recorder starts at 05/09/14 10:38:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:38:15 ########### ########## Tcl recorder starts at 05/09/14 10:38:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:38:19 ########### ########## Tcl recorder starts at 05/09/14 10:39:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:39:27 ########### ########## Tcl recorder starts at 05/09/14 10:39:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:39:33 ########### ########## Tcl recorder starts at 05/09/14 10:40:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:40:25 ########### ########## Tcl recorder starts at 05/09/14 10:40:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:40:33 ########### ########## Tcl recorder starts at 05/09/14 10:40:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:40:47 ########### ########## Tcl recorder starts at 05/09/14 10:40:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:40:53 ########### ########## Tcl recorder starts at 05/09/14 10:44:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:44:11 ########### ########## Tcl recorder starts at 05/09/14 10:44:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:44:15 ########### ########## Tcl recorder starts at 05/09/14 10:45:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:45:18 ########### ########## Tcl recorder starts at 05/09/14 10:45:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:45:43 ########### ########## Tcl recorder starts at 05/09/14 10:45:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:45:57 ########### ########## Tcl recorder starts at 05/09/14 10:45:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:45:58 ########### ########## Tcl recorder starts at 05/09/14 10:47:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:47:08 ########### ########## Tcl recorder starts at 05/09/14 10:47:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:47:27 ########### ########## Tcl recorder starts at 05/09/14 10:47:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:47:31 ########### ########## Tcl recorder starts at 05/09/14 10:49:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:49:53 ########### ########## Tcl recorder starts at 05/09/14 10:49:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:49:57 ########### ########## Tcl recorder starts at 05/09/14 10:50:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:50:51 ########### ########## Tcl recorder starts at 05/09/14 10:50:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:50:59 ########### ########## Tcl recorder starts at 05/09/14 10:53:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:53:39 ########### ########## Tcl recorder starts at 05/09/14 10:53:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:53:57 ########### ########## Tcl recorder starts at 05/09/14 10:55:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:55:29 ########### ########## Tcl recorder starts at 05/09/14 10:55:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:55:33 ########### ########## Tcl recorder starts at 05/09/14 10:57:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:57:07 ########### ########## Tcl recorder starts at 05/09/14 10:58:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:58:25 ########### ########## Tcl recorder starts at 05/09/14 10:58:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:58:40 ########### ########## Tcl recorder starts at 05/09/14 11:03:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:03:55 ########### ########## Tcl recorder starts at 05/09/14 11:04:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:04:01 ########### ########## Tcl recorder starts at 05/09/14 11:07:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:07:22 ########### ########## Tcl recorder starts at 05/09/14 11:07:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:07:27 ########### ########## Tcl recorder starts at 05/09/14 11:11:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:11:16 ########### ########## Tcl recorder starts at 05/09/14 11:11:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:11:20 ########### ########## Tcl recorder starts at 05/09/14 11:14:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:14:57 ########### ########## Tcl recorder starts at 05/09/14 11:15:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:15:01 ########### ########## Tcl recorder starts at 05/09/14 11:15:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:15:17 ########### ########## Tcl recorder starts at 05/09/14 11:15:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:15:22 ########### ########## Tcl recorder starts at 05/09/14 11:15:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:15:34 ########### ########## Tcl recorder starts at 05/09/14 11:16:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:16:52 ########### ########## Tcl recorder starts at 05/09/14 11:17:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:17:04 ########### ########## Tcl recorder starts at 05/09/14 11:17:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:17:06 ########### ########## Tcl recorder starts at 05/09/14 11:20:12 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:20:12 ########### ########## Tcl recorder starts at 05/09/14 11:21:38 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:21:38 ########### ########## Tcl recorder starts at 05/09/14 11:22:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:22:17 ########### ########## Tcl recorder starts at 05/09/14 11:22:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:22:22 ########### ########## Tcl recorder starts at 05/09/14 11:22:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:22:39 ########### ########## Tcl recorder starts at 05/09/14 11:22:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:22:44 ########### ########## Tcl recorder starts at 05/09/14 11:23:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:23:26 ########### ########## Tcl recorder starts at 05/09/14 11:23:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:23:30 ########### ########## Tcl recorder starts at 05/09/14 11:24:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:24:38 ########### ########## Tcl recorder starts at 05/09/14 11:24:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:24:44 ########### ########## Tcl recorder starts at 05/09/14 11:24:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:24:59 ########### ########## Tcl recorder starts at 05/09/14 11:25:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:25:03 ########### ########## Tcl recorder starts at 05/09/14 11:26:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:26:27 ########### ########## Tcl recorder starts at 05/09/14 11:26:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:26:28 ########### ########## Tcl recorder starts at 05/09/14 12:46:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 12:46:05 ########### ########## Tcl recorder starts at 05/09/14 12:46:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 12:46:28 ########### ########## Tcl recorder starts at 05/09/14 12:47:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 12:47:48 ########### ########## Tcl recorder starts at 05/09/14 12:57:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 12:57:27 ########### ########## Tcl recorder starts at 05/09/14 13:02:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 13:02:10 ########### ########## Tcl recorder starts at 05/09/14 13:03:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 13:03:28 ########### ########## Tcl recorder starts at 05/09/14 13:03:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 13:03:47 ########### ########## Tcl recorder starts at 05/09/14 13:03:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 13:03:52 ########### ########## Tcl recorder starts at 05/09/14 16:25:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:25:13 ########### ########## Tcl recorder starts at 05/09/14 16:26:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:26:00 ########### ########## Tcl recorder starts at 05/09/14 16:26:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:26:09 ########### ########## Tcl recorder starts at 05/09/14 16:29:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:29:02 ########### ########## Tcl recorder starts at 05/09/14 16:29:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:29:16 ########### ########## Tcl recorder starts at 05/09/14 21:16:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:16:00 ########### ########## Tcl recorder starts at 05/09/14 21:16:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:16:29 ########### ########## Tcl recorder starts at 05/09/14 21:16:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:16:46 ########### ########## Tcl recorder starts at 05/09/14 21:38:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:38:44 ########### ########## Tcl recorder starts at 05/09/14 21:39:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:26 ########### ########## Tcl recorder starts at 05/09/14 21:39:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:30 ########### ########## Tcl recorder starts at 05/09/14 21:39:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:44 ########### ########## Tcl recorder starts at 05/09/14 21:39:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:49 ########### ########## Tcl recorder starts at 05/09/14 21:39:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:53 ########### ########## Tcl recorder starts at 05/09/14 21:58:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:58:01 ########### ########## Tcl recorder starts at 05/09/14 21:58:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:58:05 ########### ########## Tcl recorder starts at 05/09/14 22:02:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:02:48 ########### ########## Tcl recorder starts at 05/09/14 22:04:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:04:08 ########### ########## Tcl recorder starts at 05/09/14 22:04:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:04:13 ########### ########## Tcl recorder starts at 05/09/14 22:40:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:40:04 ########### ########## Tcl recorder starts at 05/09/14 22:40:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:40:13 ########### ########## Tcl recorder starts at 05/09/14 23:19:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:19:24 ########### ########## Tcl recorder starts at 05/09/14 23:23:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:23:27 ########### ########## Tcl recorder starts at 05/09/14 23:24:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:24:12 ########### ########## Tcl recorder starts at 05/09/14 23:26:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:26:22 ########### ########## Tcl recorder starts at 05/09/14 23:27:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:27:01 ########### ########## Tcl recorder starts at 05/09/14 23:29:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:29:59 ########### ########## Tcl recorder starts at 05/09/14 23:30:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:30:18 ########### ########## Tcl recorder starts at 05/09/14 23:30:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:30:47 ########### ########## Tcl recorder starts at 05/09/14 23:30:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:30:52 ########### ########## Tcl recorder starts at 05/09/14 23:31:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:31:51 ########### ########## Tcl recorder starts at 05/09/14 23:32:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:32:00 ########### ########## Tcl recorder starts at 05/09/14 23:35:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:35:08 ########### ########## Tcl recorder starts at 05/09/14 23:35:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:35:28 ########### ########## Tcl recorder starts at 05/09/14 23:39:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:39:41 ########### ########## Tcl recorder starts at 05/09/14 23:39:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:39:44 ########### ########## Tcl recorder starts at 05/09/14 23:40:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:40:45 ########### ########## Tcl recorder starts at 05/09/14 23:40:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:40:51 ########### ########## Tcl recorder starts at 05/09/14 23:42:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:42:44 ########### ########## Tcl recorder starts at 05/09/14 23:42:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:42:48 ########### ########## Tcl recorder starts at 05/09/14 23:44:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:44:11 ########### ########## Tcl recorder starts at 05/09/14 23:44:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:44:12 ########### ########## Tcl recorder starts at 05/09/14 23:45:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:45:55 ########### ########## Tcl recorder starts at 05/09/14 23:46:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:46:23 ########### ########## Tcl recorder starts at 05/09/14 23:46:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:46:40 ########### ########## Tcl recorder starts at 05/09/14 23:46:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:46:41 ########### ########## Tcl recorder starts at 05/09/14 23:47:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:47:33 ########### ########## Tcl recorder starts at 05/09/14 23:47:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:47:43 ########### ########## Tcl recorder starts at 05/09/14 23:48:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:48:32 ########### ########## Tcl recorder starts at 05/09/14 23:48:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:48:44 ########### ########## Tcl recorder starts at 05/09/14 23:49:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:49:04 ########### ########## Tcl recorder starts at 05/09/14 23:49:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:49:12 ########### ########## Tcl recorder starts at 05/09/14 23:50:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:50:56 ########### ########## Tcl recorder starts at 05/09/14 23:51:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:51:00 ########### ########## Tcl recorder starts at 05/09/14 23:54:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:54:15 ########### ########## Tcl recorder starts at 05/09/14 23:54:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:54:22 ########### ########## Tcl recorder starts at 05/09/14 23:55:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:55:33 ########### ########## Tcl recorder starts at 05/09/14 23:55:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:55:39 ########### ########## Tcl recorder starts at 05/09/14 23:58:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:58:14 ########### ########## Tcl recorder starts at 05/09/14 23:58:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:58:18 ########### ########## Tcl recorder starts at 05/09/14 23:59:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:59:17 ########### ########## Tcl recorder starts at 05/09/14 23:59:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:59:21 ########### ########## Tcl recorder starts at 05/10/14 00:01:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:01:50 ########### ########## Tcl recorder starts at 05/10/14 00:01:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:01:55 ########### ########## Tcl recorder starts at 05/10/14 00:05:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:05:59 ########### ########## Tcl recorder starts at 05/10/14 00:06:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:06:06 ########### ########## Tcl recorder starts at 05/10/14 00:06:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:06:46 ########### ########## Tcl recorder starts at 05/10/14 00:07:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:07:19 ########### ########## Tcl recorder starts at 05/10/14 00:07:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:07:26 ########### ########## Tcl recorder starts at 05/10/14 00:09:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:09:51 ########### ########## Tcl recorder starts at 05/10/14 00:09:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:09:59 ########### ########## Tcl recorder starts at 05/10/14 00:12:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:12:22 ########### ########## Tcl recorder starts at 05/10/14 00:12:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:12:27 ########### ########## Tcl recorder starts at 05/10/14 00:12:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:12:49 ########### ########## Tcl recorder starts at 05/10/14 00:12:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:12:51 ########### ########## Tcl recorder starts at 05/10/14 00:13:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:13:05 ########### ########## Tcl recorder starts at 05/10/14 00:13:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:13:07 ########### ########## Tcl recorder starts at 05/10/14 00:14:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:14:14 ########### ########## Tcl recorder starts at 05/10/14 00:14:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:14:32 ########### ########## Tcl recorder starts at 05/10/14 00:17:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:17:55 ########### ########## Tcl recorder starts at 05/10/14 00:18:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:18:03 ########### ########## Tcl recorder starts at 05/10/14 00:18:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:18:25 ########### ########## Tcl recorder starts at 05/10/14 00:19:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:19:13 ########### ########## Tcl recorder starts at 05/10/14 00:21:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:21:13 ########### ########## Tcl recorder starts at 05/10/14 00:21:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:21:24 ########### ########## Tcl recorder starts at 05/10/14 00:21:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:21:56 ########### ########## Tcl recorder starts at 05/10/14 00:23:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:23:17 ########### ########## Tcl recorder starts at 05/10/14 00:23:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:23:33 ########### ########## Tcl recorder starts at 05/10/14 00:24:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:24:12 ########### ########## Tcl recorder starts at 05/10/14 00:24:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:24:17 ########### ########## Tcl recorder starts at 05/10/14 00:25:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:25:51 ########### ########## Tcl recorder starts at 05/10/14 00:25:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:25:54 ########### ########## Tcl recorder starts at 05/10/14 00:26:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:26:30 ########### ########## Tcl recorder starts at 05/10/14 00:26:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:26:47 ########### ########## Tcl recorder starts at 05/10/14 00:26:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:26:52 ########### ########## Tcl recorder starts at 05/10/14 00:27:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:27:49 ########### ########## Tcl recorder starts at 05/10/14 00:27:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:27:56 ########### ########## Tcl recorder starts at 05/10/14 00:29:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:29:19 ########### ########## Tcl recorder starts at 05/10/14 00:29:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:29:24 ########### ########## Tcl recorder starts at 05/10/14 00:32:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:32:41 ########### ########## Tcl recorder starts at 05/10/14 00:33:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:33:12 ########### ########## Tcl recorder starts at 05/10/14 00:35:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:35:50 ########### ########## Tcl recorder starts at 05/10/14 00:35:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:35:57 ########### ########## Tcl recorder starts at 05/10/14 00:38:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:38:30 ########### ########## Tcl recorder starts at 05/10/14 00:38:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:38:39 ########### ########## Tcl recorder starts at 05/10/14 00:40:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:40:16 ########### ########## Tcl recorder starts at 05/10/14 00:40:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:40:33 ########### ########## Tcl recorder starts at 05/10/14 11:03:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:03:21 ########### ########## Tcl recorder starts at 05/10/14 11:03:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:03:29 ########### ########## Tcl recorder starts at 05/10/14 11:03:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:03:34 ########### ########## Tcl recorder starts at 05/10/14 11:04:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:04:07 ########### ########## Tcl recorder starts at 05/10/14 11:04:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:04:15 ########### ########## Tcl recorder starts at 05/10/14 11:04:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:04:30 ########### ########## Tcl recorder starts at 05/10/14 11:04:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:04:40 ########### ########## Tcl recorder starts at 05/10/14 11:08:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:08:29 ########### ########## Tcl recorder starts at 05/10/14 11:10:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:10:01 ########### ########## Tcl recorder starts at 05/10/14 11:10:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:10:05 ########### ########## Tcl recorder starts at 05/10/14 11:10:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:10:11 ########### ########## Tcl recorder starts at 05/10/14 11:11:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:11:40 ########### ########## Tcl recorder starts at 05/10/14 11:11:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:11:43 ########### ########## Tcl recorder starts at 05/10/14 11:12:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:12:27 ########### ########## Tcl recorder starts at 05/10/14 11:12:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:12:32 ########### ########## Tcl recorder starts at 05/10/14 11:15:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:15:18 ########### ########## Tcl recorder starts at 05/10/14 11:15:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:15:20 ########### ########## Tcl recorder starts at 05/10/14 11:18:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:18:23 ########### ########## Tcl recorder starts at 05/10/14 21:03:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 21:03:00 ########### ########## Tcl recorder starts at 05/10/14 21:03:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 21:03:04 ########### ########## Tcl recorder starts at 05/10/14 22:25:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:25:35 ########### ########## Tcl recorder starts at 05/10/14 22:25:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:25:40 ########### ########## Tcl recorder starts at 05/10/14 22:27:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:27:52 ########### ########## Tcl recorder starts at 05/10/14 22:27:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:27:55 ########### ########## Tcl recorder starts at 05/10/14 22:40:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:40:18 ########### ########## Tcl recorder starts at 05/10/14 22:40:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:40:20 ########### ########## Tcl recorder starts at 05/10/14 22:41:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:41:16 ########### ########## Tcl recorder starts at 05/10/14 22:41:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:41:26 ########### ########## Tcl recorder starts at 05/10/14 22:42:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:42:49 ########### ########## Tcl recorder starts at 05/10/14 22:42:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:42:57 ########### ########## Tcl recorder starts at 05/10/14 22:45:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:45:59 ########### ########## Tcl recorder starts at 05/10/14 22:47:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:47:01 ########### ########## Tcl recorder starts at 05/10/14 22:47:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:47:29 ########### ########## Tcl recorder starts at 05/10/14 22:48:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:48:02 ########### ########## Tcl recorder starts at 05/10/14 22:48:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:48:07 ########### ########## Tcl recorder starts at 05/10/14 22:49:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:49:34 ########### ########## Tcl recorder starts at 05/10/14 22:49:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:49:37 ########### ########## Tcl recorder starts at 05/10/14 22:49:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:49:44 ########### ########## Tcl recorder starts at 05/10/14 22:56:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:56:41 ########### ########## Tcl recorder starts at 05/10/14 23:05:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:05:30 ########### ########## Tcl recorder starts at 05/10/14 23:05:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:05:40 ########### ########## Tcl recorder starts at 05/10/14 23:08:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:08:44 ########### ########## Tcl recorder starts at 05/10/14 23:08:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:08:47 ########### ########## Tcl recorder starts at 05/10/14 23:10:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:10:30 ########### ########## Tcl recorder starts at 05/10/14 23:11:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:11:23 ########### ########## Tcl recorder starts at 05/10/14 23:12:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:12:23 ########### ########## Tcl recorder starts at 05/10/14 23:13:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:13:48 ########### ########## Tcl recorder starts at 05/10/14 23:13:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:13:57 ########### ########## Tcl recorder starts at 05/10/14 23:15:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:15:20 ########### ########## Tcl recorder starts at 05/10/14 23:15:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:15:24 ########### ########## Tcl recorder starts at 05/10/14 23:16:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:16:01 ########### ########## Tcl recorder starts at 05/10/14 23:16:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:16:06 ########### ########## Tcl recorder starts at 05/10/14 23:16:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:16:54 ########### ########## Tcl recorder starts at 05/10/14 23:17:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:17:04 ########### ########## Tcl recorder starts at 05/10/14 23:18:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:18:31 ########### ########## Tcl recorder starts at 05/10/14 23:18:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:18:37 ########### ########## Tcl recorder starts at 05/10/14 23:19:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:19:30 ########### ########## Tcl recorder starts at 05/10/14 23:19:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:19:35 ########### ########## Tcl recorder starts at 05/10/14 23:20:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:20:11 ########### ########## Tcl recorder starts at 05/10/14 23:20:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:20:13 ########### ########## Tcl recorder starts at 05/10/14 23:20:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:20:50 ########### ########## Tcl recorder starts at 05/10/14 23:20:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:20:52 ########### ########## Tcl recorder starts at 05/10/14 23:21:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:21:33 ########### ########## Tcl recorder starts at 05/10/14 23:21:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:21:35 ########### ########## Tcl recorder starts at 05/10/14 23:24:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:24:17 ########### ########## Tcl recorder starts at 05/10/14 23:24:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:24:24 ########### ########## Tcl recorder starts at 05/10/14 23:25:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:25:21 ########### ########## Tcl recorder starts at 05/10/14 23:25:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:25:23 ########### ########## Tcl recorder starts at 05/10/14 23:26:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:03 ########### ########## Tcl recorder starts at 05/10/14 23:26:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:09 ########### ########## Tcl recorder starts at 05/10/14 23:26:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:10 ########### ########## Tcl recorder starts at 05/10/14 23:26:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:56 ########### ########## Tcl recorder starts at 05/10/14 23:26:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:58 ########### ########## Tcl recorder starts at 05/10/14 23:27:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:27:35 ########### ########## Tcl recorder starts at 05/10/14 23:27:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:27:48 ########### ########## Tcl recorder starts at 05/10/14 23:27:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:27:50 ########### ########## Tcl recorder starts at 05/10/14 23:28:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:28:42 ########### ########## Tcl recorder starts at 05/10/14 23:29:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:29:00 ########### ########## Tcl recorder starts at 05/10/14 23:34:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:34:48 ########### ########## Tcl recorder starts at 05/10/14 23:34:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:34:58 ########### ########## Tcl recorder starts at 05/10/14 23:53:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:53:50 ########### ########## Tcl recorder starts at 05/10/14 23:53:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:53:56 ########### ########## Tcl recorder starts at 05/10/14 23:54:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:54:37 ########### ########## Tcl recorder starts at 05/10/14 23:54:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:54:39 ########### ########## Tcl recorder starts at 05/10/14 23:55:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:55:11 ########### ########## Tcl recorder starts at 05/10/14 23:55:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:55:13 ########### ########## Tcl recorder starts at 05/10/14 23:55:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:55:57 ########### ########## Tcl recorder starts at 05/10/14 23:56:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:56:04 ########### ########## Tcl recorder starts at 05/10/14 23:56:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:56:53 ########### ########## Tcl recorder starts at 05/10/14 23:57:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:57:06 ########### ########## Tcl recorder starts at 05/10/14 23:58:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:58:02 ########### ########## Tcl recorder starts at 05/10/14 23:58:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:58:05 ########### ########## Tcl recorder starts at 05/10/14 23:58:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:58:50 ########### ########## Tcl recorder starts at 05/10/14 23:58:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:58:56 ########### ########## Tcl recorder starts at 05/10/14 23:59:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:59:30 ########### ########## Tcl recorder starts at 05/10/14 23:59:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:59:31 ########### ########## Tcl recorder starts at 05/11/14 00:00:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:00:12 ########### ########## Tcl recorder starts at 05/11/14 00:00:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:00:14 ########### ########## Tcl recorder starts at 05/11/14 00:04:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:04:52 ########### ########## Tcl recorder starts at 05/11/14 00:05:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:05:00 ########### ########## Tcl recorder starts at 05/11/14 00:06:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:06:09 ########### ########## Tcl recorder starts at 05/11/14 00:06:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:06:13 ########### ########## Tcl recorder starts at 05/11/14 00:07:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:07:06 ########### ########## Tcl recorder starts at 05/11/14 00:07:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:07:09 ########### ########## Tcl recorder starts at 05/11/14 00:23:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:23:41 ########### ########## Tcl recorder starts at 05/11/14 00:23:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:23:59 ########### ########## Tcl recorder starts at 05/11/14 00:24:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:24:59 ########### ########## Tcl recorder starts at 05/11/14 00:25:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:25:01 ########### ########## Tcl recorder starts at 05/11/14 00:25:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:25:43 ########### ########## Tcl recorder starts at 05/11/14 00:25:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:25:45 ########### ########## Tcl recorder starts at 05/11/14 01:00:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:00:31 ########### ########## Tcl recorder starts at 05/11/14 01:00:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:00:35 ########### ########## Tcl recorder starts at 05/11/14 01:02:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:02:15 ########### ########## Tcl recorder starts at 05/11/14 01:02:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:02:27 ########### ########## Tcl recorder starts at 05/11/14 01:02:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:02:39 ########### ########## Tcl recorder starts at 05/11/14 01:02:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:02:43 ########### ########## Tcl recorder starts at 05/11/14 01:05:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:05:16 ########### ########## Tcl recorder starts at 05/11/14 01:05:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:05:22 ########### ########## Tcl recorder starts at 05/11/14 01:05:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:05:27 ########### ########## Tcl recorder starts at 05/15/14 12:28:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:28:02 ########### ########## Tcl recorder starts at 05/15/14 12:28:18 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:28:18 ########### ########## Tcl recorder starts at 05/15/14 12:30:18 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:30:18 ########### ########## Tcl recorder starts at 05/15/14 12:31:56 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:31:56 ########### ########## Tcl recorder starts at 05/15/14 12:41:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:41:02 ########### ########## Tcl recorder starts at 05/15/14 12:41:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:41:06 ########### ########## Tcl recorder starts at 05/15/14 12:49:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:49:15 ########### ########## Tcl recorder starts at 05/15/14 12:49:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:49:19 ########### ########## Tcl recorder starts at 05/15/14 12:52:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:52:17 ########### ########## Tcl recorder starts at 05/15/14 12:52:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:52:24 ########### ########## Tcl recorder starts at 05/15/14 12:52:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:52:57 ########### ########## Tcl recorder starts at 05/15/14 12:54:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:54:19 ########### ########## Tcl recorder starts at 05/15/14 12:54:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:54:43 ########### ########## Tcl recorder starts at 05/15/14 12:55:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:55:50 ########### ########## Tcl recorder starts at 05/15/14 12:55:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:55:54 ########### ########## Tcl recorder starts at 05/15/14 12:58:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:58:22 ########### ########## Tcl recorder starts at 05/15/14 12:58:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:58:34 ########### ########## Tcl recorder starts at 05/15/14 12:58:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:58:43 ########### ########## Tcl recorder starts at 05/15/14 12:59:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:59:41 ########### ########## Tcl recorder starts at 05/15/14 12:59:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:59:44 ########### ########## Tcl recorder starts at 05/15/14 13:01:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:01:38 ########### ########## Tcl recorder starts at 05/15/14 13:01:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:01:42 ########### ########## Tcl recorder starts at 05/15/14 13:04:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:04:38 ########### ########## Tcl recorder starts at 05/15/14 13:04:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:04:48 ########### ########## Tcl recorder starts at 05/15/14 13:05:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:05:36 ########### ########## Tcl recorder starts at 05/15/14 13:05:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:05:41 ########### ########## Tcl recorder starts at 05/15/14 17:03:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:03:32 ########### ########## Tcl recorder starts at 05/15/14 17:04:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:04:14 ########### ########## Tcl recorder starts at 05/15/14 17:04:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:04:20 ########### ########## Tcl recorder starts at 05/15/14 17:07:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:07:24 ########### ########## Tcl recorder starts at 05/15/14 17:07:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:07:28 ########### ########## Tcl recorder starts at 05/15/14 17:24:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:24:33 ########### ########## Tcl recorder starts at 05/15/14 17:24:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:24:37 ########### ########## Tcl recorder starts at 05/15/14 17:24:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:24:53 ########### ########## Tcl recorder starts at 05/15/14 17:24:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:24:53 ########### ########## Tcl recorder starts at 05/15/14 17:28:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:28:03 ########### ########## Tcl recorder starts at 05/15/14 17:28:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:28:43 ########### ########## Tcl recorder starts at 05/15/14 17:28:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:28:48 ########### ########## Tcl recorder starts at 05/15/14 17:32:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:32:00 ########### ########## Tcl recorder starts at 05/15/14 17:32:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:32:04 ########### ########## Tcl recorder starts at 05/15/14 17:32:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:32:22 ########### ########## Tcl recorder starts at 05/15/14 17:32:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:32:23 ########### ########## Tcl recorder starts at 05/15/14 17:34:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:34:15 ########### ########## Tcl recorder starts at 05/15/14 17:34:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:34:36 ########### ########## Tcl recorder starts at 05/15/14 17:40:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:40:22 ########### ########## Tcl recorder starts at 05/15/14 17:40:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:40:26 ########### ########## Tcl recorder starts at 05/15/14 17:43:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:43:32 ########### ########## Tcl recorder starts at 05/15/14 17:43:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:43:38 ########### ########## Tcl recorder starts at 05/15/14 17:44:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:44:44 ########### ########## Tcl recorder starts at 05/15/14 17:44:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:44:46 ########### ########## Tcl recorder starts at 05/15/14 17:45:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:45:39 ########### ########## Tcl recorder starts at 05/15/14 17:45:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:45:42 ########### ########## Tcl recorder starts at 05/15/14 17:46:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:46:34 ########### ########## Tcl recorder starts at 05/15/14 17:46:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:46:39 ########### ########## Tcl recorder starts at 05/15/14 19:18:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:18:31 ########### ########## Tcl recorder starts at 05/15/14 19:18:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:18:35 ########### ########## Tcl recorder starts at 05/15/14 19:19:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:19:40 ########### ########## Tcl recorder starts at 05/15/14 19:19:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:19:44 ########### ########## Tcl recorder starts at 05/15/14 19:20:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:20:41 ########### ########## Tcl recorder starts at 05/15/14 19:20:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:20:46 ###########