@E: CD255 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":496:50:496:50|No identifier "as" in scope @E|Parse errors encountered - exiting